CA1303225C - Register management system in a computer processor with out-of-sequence instruction execution - Google Patents

Register management system in a computer processor with out-of-sequence instruction execution

Info

Publication number
CA1303225C
CA1303225C CA000570361A CA570361A CA1303225C CA 1303225 C CA1303225 C CA 1303225C CA 000570361 A CA000570361 A CA 000570361A CA 570361 A CA570361 A CA 570361A CA 1303225 C CA1303225 C CA 1303225C
Authority
CA
Canada
Prior art keywords
register
dral
instruction
status
registers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CA000570361A
Other languages
French (fr)
Inventor
John S. Liptay
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of CA1303225C publication Critical patent/CA1303225C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits

Abstract

Abstract Of The Disclosure A register management system has more physical registers for general purpose use than are named in the architectural system. A renaming system identifies particular physical registers to perform as architected addressable or general purpose registers. An array control list (ACL) is provided to monitor the assignment and status of the physical registers. A decode register assignment list (DRAL) is provided to monitor the status of all of the architected registers and the correspondence to physical registers. A back-up register assignment list (BRAL) is used to preserve old status information while out of sequence and conditional branch instructions are executed. The physical registers may retain multiple copies of individual addressable registers representing the contents at different stages of execution. The addressable register status may be restored if instruction execution is out of sequence or on a conditional branch causing a problem requiring restoration. The register management system may be used in a processor having multiple execution units of different types.

Description

~322S

REGISTER MANAGEMENT SYSTEM IN A COMPUTER PROGESSOR
WITH OUT-OF-SEQUENCE INSTRUCTION EXECUTION

Field Of The Invention This invention relates to the management of addressable registers in a computer central processor.
More particularly, this invention relates to a control system and monitoring system for a register array for processing out-of-sequence instructions and providing a register content restoration process in branch instructions and interrupts. Such a system requires the retention of both the old and new contents of an addressable register while a sequence of instructions is completed. Addressable registers may include but are not limited to general purpose registers and floating point registers. An embodiment of the invention is shown relating to a computer processor conforming to the IBM* 370 System architecture having a plural.ity of physical array registers to serve the ~unction of a fixed number of addressable registers.

~ und of The Invention . . _ _ . .

The design of a typical computer system requires the establishment of a fixed number of addressable registers such as general purpose registers (GPR's) for the programmer to use in designing programs for the machine.
Changing the number of architecturally available GPR's once a~system is available would require substantial rewriting oE programs to make use of the new number of GPR's.

Similarly, the design of computers and computer programs is based on the assumption that computer program instructions are executed by the computer in the order in * Registered trade mark ~ .

PO'~ 005 ~3032~

which they are written and entered into the system. While instructions must logically appear to the computer system to have been e~ecuted in sequence, it has been learned in an effort to improve computer per~ormance that some instructions do not have to be physically performed in sequence, provided that certain dependencies do not exist with other instructions. Further, if some instructions - are executed out of order, and such an instruction is a branch instruction, where a branch prediction is made to select the instruction sequence, a need to restore the involved register to original values can occur if a wrong branch is predicted. In such a case the system is restored to the point where the branch is taken. The process of executing an instruction out of order efficiently requires that an established or old value be maintained for GPR's affected by the instruction while provision is made to contingently receive new values for the afected GPR's. The con~;ngency is removed and the new value becomes the established value for the GPR's when intervening instructions have completed and branch instructions are resolved.

Large processors have for many years employed overlapping techniques under which multiple instructions are in various states of e~ecution at the same time.
2S Whenever such a technique i5 employed, it carries with it a need to implement control logic which detects dependencies between instructions and is able to alter the usual overlapped operation so that the results achieved are those described by the "one instruction at a time"
architectural model. ~here are many different forms which overlapping can take, and each one has its own unique set of control problems.
~.
A common form of overlapping is what is called pipelining. Oversimplified, a pipelined machine provides PO9~4-~5 ~ 3~3~Z5 separate hardware for different stages of an instruction's processing. When an instruction ~inishes its processing at one stage, it moves to the next stage, and the following instruction may move into the stage just vacated. In such a machine, the instructions are kept in sequence with regard to any particular stage of their processing, even though different stages of processing for different instructions are occurring at the same time. In such a processor if the controls detect that a result which has not yet been generated is needed by some other instruction, then the controls must stop part of the pipeline until the result is generated and passed back to where it is needed. Although this control logic can at times be complex, the fact that instructions are kept in sequence in the pipeline is of definite help in keeping the complexity under control.

A more complex form of overlapping occurs if the processor includes separate execution units. Although less common, this technique has also been known and used for many years. Because different instructions have different execution times, and because the inter instruction dependencies will be variable, it is almost inevitable in SUC}l a processor that instructions will execute and produce their results in a sequence different from their sequence in the program. Keeping such a processor operating in a logically correct way requires a more complex control mechanism than that for the pipeline -organization.

However, multiple execution units in the prior art do not allow precise interruptions to be taken at an arbitrary point. For example, if an instruction creates an overflow condition, by the time this is detected, it is entixely possible that a later instruction in the program is already executed and the result placed in a register or PO98~-00~
~3~3~25 in main storage. This makes it impossible to take an interruption and preserve status of the processor with all prior but no subsequent instructions having executed. In this example, the overflow interrupt will actually be recognized later than i~ occurred. Other similar situations are possible in the prior art.

The designers of some prior machines chose to handle this situation by allowing all instructions which were in some state of execution to complete their execution as best they could, and then take an "imprecise" interruption which reported that some lnstruction in the recent past had an overflow condition. This is a reasonable way to handle interruptions for conditions like overflow where the results will be returned to a programmer who will fix a bug or correct the input data and then rerun the program from th~ beginning. However, it is an unacceptable way to handle interruptions li~e page faults where the system program will take some corrective action and then resume execution rom the point of interruption.

Applicant is aware of U.S. Patent Number 4,574,349 assigned to the same assignee as the present invention, in which additional registers are provided to be associated with each GPR and in which register renaming occurs with use of a pointer value. However, this patent does not solve the problem of precise recovery from interrupts or incorrectly guessed branches during out of sequence execution.

An article in the IBM Technical Disclosure Bulletin, entitled "General Purpose Register E~tension", August 1981, pages 1404-1405 shows a system for switching between multiple GPR sets to avoid use of storage when switching subroutines. Another article in the IB~I Technical Disclosure Bulletin, entitled "Vector-Register Rename PO~84-~05 ~393~ 5 Mechanism", June 1982, pages ~6-87 shows the use of a dummy register~during instructiOn execution. When execution is complete the register is renamed as the register named by the instruction for receiving results.
During execution, the register is transparent and this allows for extra physical registers. ~owever, neither of these articles deals with out-of-sequence instruction ~ execution.

An article in the IBM Technical Disclosure Bulletin, entitled "Use of A Second Set of General Purpose Registers to Allow Changing General-Purpose Registers During Conditional Branch Resolutions", August 1986, pages 991-993 shows a one-for-one matched secondary set of GPRs to hold the original GPR contents during conditional branch resolution and restore the system status if necessary. Conditional mode tags are used with the GPRs to regulate status of the registers or to restore the original contents of the register.

Summarv Of the Invention . ., ~ _ . .

The present invention provides a register management system for the addressable registers associated with the processor in a computer. The register management system provides for out of sequence execution of instructions and includes mechanisms for precise recovery from a wrong branch prediction or an interrupt where instructions are out of sequence.

The present invention assumes a central processor with an architecture having a fixed number of addressable registers for use by programs. A typical system would, for example, conform to the IBM System/370 architecture and the embodiment shown deals prlmarily with the GPRs in that architecture.

l'0~8~1-005 ~3~32~S

The present invention provides a register array (RA) having a plurality of registers which is greater than the number of architected registers. The number of registers actually provided may vary, and may be, for e~ample, twice the number of architected registers.

As computer program instructions call for use of an addressable register in the architecture, a register in the RA is assigned to perform the function of the addressable register such as a System/370 GPR. The instruction also receives an Instruction Identifier (IID) number. A circular rotation of IID's may be used. An array control list (ACL) is provided which has an entry for each register in the RA. Each position in the ~CL has several status fields relating to the associated register including a field indicating the availability status of the register, the IID assigned to the register and the name of the GPR assigned to the register. For purposes of the system architecture, once a register in the RA is assigned as a GPR it looks to the program the same as a permanent physical register with the same GPR number.

The re~ister management system also includes a Decode Register Assignment List (DRAL) and one or more Back Up Register Assignment Lists (BRAL) associated with the RA
and having one position for each GPR. Each position in the DRAL contains the number of the RA position assigned to the associated GPR. As each instruction is decoded, the GPR's it references are looked up in the DRAL to determine what RA positions are assigned to the GPR's referenced by the instruction. As new RA positions are assigned to receive results for GPR's, the DRALs are continuously updated with the new assignments.

There are one or more BRALs associated with the DRAL
to freeze and preserve the status of the DRAL at a precise P O '~ () O ~

point in the program execution to restore the DRAL to that precise point when necessary. ~hen a conditional branch is encountered, the DRAL at that point is copied into the BRAL. If a second branch is encountered, the DRAL at that point is copied into the second sRAL, if one is provided, or held up if one is not. A third BP~AL, or even additional BRAL's may be provided as desired. Each BRAL
serves to preserve the system status at a particular fixed time while the system goes forward with processing. The actual number of BRAL's provided is based on the s~stem designers perception of the maximum number of possible situations requiring restoration of an earlier system status that may be in progress at one time. If enough BRAL's are not provided, execution would stop until a condition is resolved.

The ACL and the DRAL work together as instructions are decoded and completed to manage the contents of the RA
according to the architected GPR which is the resource recognized by the program. When a new inskruction is decoded, the registers which it re~erences are looked up in the DRAL to find out what RA positions are assigned to them. Thereafter, the RA position addresses are used by the execution units rather than the GPR name. After the RA assignment is located in the DRAL, the ACL is accessed ~5 to determine the status and that information is forwarded to the execution unit.
.
;; When an instruction is completed, its IID is sent by the execution unit to the ACL for a compare with the IID's in the RA. For each RA position which has received a ~ 30 result from the same IID, control tags are changed to represent the completed status.

When a conditional branch is encountered, instructions are decoded in the direction of the guessed I~0~8-~-GOS

~L3~3225 branch. As a requirement for completing instructions in sequence, the processor does not issue a completion signal for any instructions in a guessed branch before the branch taken is resolved. The control field in each ACL position is set for each new assigned RA position after the branch guess is taken so that each such assignment may be voided, if necessary.
.
The branch recovery technique when a branch guess is incorrect has implications for all parts of the processor.
What it means for the register management process is that it is necessary to restore the GPR status to what it would have been if instruction decoding had stopped after the branch was reached. This process recognizes that since the branch was decoded, two types of updating to the GPR
control status have taken place. One type reflects progress toward completion and actual completion of instructions prior to the branch; the effects of this updating must be retained. The second type of updatlng reflects the decoding and execution of instructions which follow the branch; this updating must be removed from the GPR status.

Since (except for interruptions) the DRAL is only updated when instructions are decoded and is not affected in any way by their completion, the contents of the DRAL
would not have changed if no instructions had been decoded after the branch. Therefore, what is desired for the DRAL
is to restore it to its status immediately following the decode of the branch. To accomplish this, the RA
assignments revert to the correct status by restoring the 30 ~ appropriate BRAL if there is more than one, into the DRAL.
Whenever a conditional~branch is decoded, the contents of the DRAL immediately following the decode of the branch is moved to the BRAL. When the branch guess is resolved, the BRAL is either discarded or used to restore the DRAL.

PO98~-005 ~.303225 An interruption contxol is provided to prevent any instructions beyond the point o~ interruption from completing. An interrupt can call for either the completion or suppression of the instruction that caused it. Prior instructions are allowed to complete to the point allowed by the particular interrupt. At this point the DR~L is in a non-current or irrelevant state because it contains entries which go beyond the interrupt. The ACL however, contains correct information for all RA
positions in the assigned state. The ACL positions are cancelled and returned to available status for instructions beyond the interruption. The ACL is then used to provide current status values to the DRAL to recover from the intexrupt.

In summary, the register management system of the present invention handles out of order instructions and branch instruc~ions using a RA and a dual function control system. The first part of the control system, the DRAL, manages instructions from the viewpoint of the architectural GPR's. The second part of the control system, ~.he ACL, manages the actual contents of the register array. This way a branch condition or an interrupt can be restored even if instructions are executed out of order.

Brief Description Of The Dra~Jings FIGURES 1~ and lB are the left and right halves, -respectively, of a drawing of a~ embodiment of a computer system according to the present invention.
.
FIGURE 2 is a detailed drawing of the register management system of the present invention from the embodiment shown in FIGUR~ 1.

PO9R4-0~5 13~322S

FIGURE 3 is a detailed showing of the Array Control List (ACL) shown in FIGURE 2.

FIGURE 4 shows the field arrangement for the entries in the ACL shown in FIGURE 3.

Descri~tion Of The Preferred Embodiment . .

The present invention is a register management system for a computer system having an architectural design requirement of a specified plurality of addressable registers such as general purpose registers, for example, n general purpose registers. A register array (RA) having m registers, where m is greater than n, is provided to fulfill the functions of the n general purpose registers.
As an illustrative embodiment, a system according to the wel'1 known IBM System/370 ~rchitecture having 16 GPR's is described. ~he RA according to the present invention provides dynamic assignment o~ particular RA positions to fulfill the functions of the architectural registers.
When the function of a particular register assignment is completed, the position in the RA is released and may be reassigned as the same or another architectural GPR in due course.

The register management system of the present invention is not dependent upon the overall computer architecture and may be implemented in ,a variety of environments. Thus, without limiting the scope of the - ~ invention, a computer system 10, shown in FIGS. lA and iB, according to the present invention, has a main memory 12 connected with a cache memory svstem 14. The cache memory system 14 may be organized in any number of acceptable ways but is shown in the present example with an instruction cache 16 and a data cache 18 both connected to ain memory 12 for separate handllng oi instructicn ' . ~ . .

PO9~-005 ~3~3Z25 operations and data operations, respectively. I-t is known within the field o~ memory design to provide a cache memory with more than one level to provide both the ad~antages of memory speed and memory size in a cascaded arrangement and such a memory design, although not shown herer would also be consistent with the present invention.

Instructions pass from the instruction cache 16 through an instruction buffer unit 20 to an instruction register unit 22. For illustrative purposes, the instruction register unit 22 has more than one separate instruction registers, and two, three or four are desirable numbers of such instruction registers.

It is known in the field of computer design for a system to have more than one general purpose e~ecution unit. For example, the general purpose units may be designed along the lines of the type of function performed, arithmetic or logical, scalar or vector, scalar or floating point, etc. Because any such arrangement of general purpose execution units makes use of general purpose registers, this invention is applicable to many variations in the number, ~unction arrangement and design of the general purpose execution units in a computer.

For purposes of illustration, the present system is shown with general purpose execution units (GPE) 1 and 2, ~ 25 labeled 24 and 26, respectively. General purpose unit 24 - has its output connected to a store buffer unit 28 which in turn has its output connected to data cache 18.
General purpose unit 24 may actually be a single execution unit or a combination of units and, as shown- in this embodiment, unit 24 produces results which go to store buffer 28 where they are held until instruction completion and then may be stored in memory. General purpose unit 26 has its output connected to a general register array (RA) PO98'~-~05 ~L303~5 30, according to the present invention. GPE 26 operates on instructions which produce results ~hich are required to be available in registers rather than immediately stored. An instruction stack or queue 31 is provided to receive instructions from the instruction register unit 22 and direct them appropriately to GPE 24 or 26. Multiple execution units of various types mav be used with a single RA and register management system according to the present invention.

RA 30 contains 32 dynamically assignable real registers to fulfill the ~unctions of 16 GPR's recognized by the architecture in this embodiment of the invention.

The RA 30 ls controlled by and provides status information to the register management system (RMS) 32 through a control bus 34. The RMS 32 is connected to several other systems in order to receive and provide various types of status information. An interrupt control element 36 is connected to the instruction registers 22, the RMS 32 and the RA 30 to process the proper handling of interrupts and preserve the re~uired status information.

The RMS 32 is connected to the instruction register unit 22 and GPE's 24 and 26 for the purpose of following instructions from issuance through execution and the assignment of registers for input operands and output operands.

The-computer in this embodiment has an instruction queue 50 connected to receive instructions from instruction register unit 22 and having an output to instruction address computation element (I-ACE) 52. I-ACE
52 is also cGnnected to receive inputs directly from RA 30 and has an output connected to the instruction cache 16.

.

P~3~ 005 ~3~3225 Instruction queue 50 is connected to the RMS 32 to provide status information.

The computer in this embodiment has an address queue 60 connected to receive an output from the instruction register unit 22. The output of address queue 60 is connected as an input to data address computation elemcnt ~ (D-ACE) 62. The other input to D-ACE 62 is from RA 30.
D-ACE 62 is connected to RMS 32 to provide status information.

The output of D-ACE 62 is connected to address fetch queue 64 which, in turn, has a first output connected as an input to data cache 18 and a second output connected as an input to address store queue 66. Address store queue has an output connected to data cache 18 and has a connection with RMS 32 to provide status information.

The computer in the present embodiment has a floating point arithmetic unit 70 which is also connected to the RMS 32 to provide status information. As will be explained, it is important to note that the RMS 32 can work with units and registers not associated with RA 30.
For example, one RMS may work with more than one register array. To be more specific, one RMS may control two RAs which in turn may be connected with multiple execution units of the same or different types.

The inputs to 10ating point unit (FPU) 70 are provided by a floating point instruction queue 72 and by floating point data registers unit 7~. Floating point instruction queue 72 receives its input from I-REG 22.
Floating point data register unit 74 receives its input from data cache 18 and from FPU 70. The output of floating point unit 70 is connected to store buffer unit . ," , ,~

I~098~-005 ~303225 76 which has its output connectcd as an input to data cache 18.

Referring now to FIG. 2, the detailed structure of the registcr management system 32 i5 shown. A Decode Register Assignment List (DRAL) 100 is connected to incoming and outgoing status and control lines. Logic unit 101 is also connected to incoming and outgoing status and control lines for monitoring and controlling the contents of the DRAL. The D~AL is used when instructions are decoded to translate GPR assignments into RA
assignments. The DRAL may be organized in different ways.
There may, for example, be more than one DRAL with multiple copies at each D~L which contains one position for each GPR, and that position contains the number o~ the RA position that has been most recentls~ assigned to receive value for that GPR. As each instruction is decoded, the GPR's it references are looked up in the DRAL
to determine what RA positions are assigned to the GPR's, and as new R~ positions are assigned to receive results, the DRAL is updated to reflect these assignments. In this way, each instruction which uses a GPR is directed by the DRAL to find the RA position which is assigned to the most recent instruction to reference that GPR.

Back-up Register Assignment Lists 102, 104 and 106 are connected to receive the entire contents of the DRAL
100 at particular points of operation. There is normally at least one BRAL in the system corresponding to each DRAL. The register management system could work with no BRALs if the system was allowed to wait for-branch resolution. The use of one, two or three BRALs allows the processing of one, two or three conditional branches respectively, without waiting. It has the same structure as the DP~L, and is connected to it in such a way that in one cycle the entire contents of the DRAL may be copied , PO9~4-0~5 ~303;22~;

into the BRAL, or vice versa. These transfers arc controlled by logic unit 101. It is used, for example, when a conditional branch is encountered to save the contents of the DRAL in case the guess as to whether the branch taken is wrong.

If only one BRAL is provided for each DRAL, it is normally possible to decode past only one conditional branch. However, in the special case where a second conditional ~ranch is encountered with no intervening instructions that change GPR's, it is possible to decode past that branch al50 because the same DRAL contents is --saved for both branches in the BRAL.

An Array Control List (ACL) llO is connected to receive status information and send control information from the RA and the rest of the computer system. Logic unit 101 controls the contents of ACL 110 and coordinates the operation of the ACL and the DRAL. For each of the RA's which support the GPR's there is an ACI. register which remembers status information related to that R~.
There is one entry for each register position in the array, each entry, in this embodiment, consisting of 14 bits divided into five fields as shown in FIGURE's 3 and 4~ CTL, ABC, IID, PRV and REG. CTL is a control field which defines the overall status of the RA position. It may have the following values:

00: AVAILABLE - the RA position is not in use, and if needed it ma~ be assigned. ~When CTL="00", the ABC, IID, PRV, and REG fields have no meaning.

01: ASSIGNED - the RA position is assigned to the GPR designated by the REG field. If an interruption were taken with the current ', , ~

PO9~4-0~5 32z5 instructions completed, this is the R~
position which corresponds to that GPR.
At any yiven moment there is one and onl~
one RA position assigned to each GPR. When CTL="01", the ABC field is "000", and the IID and PRV fields have no meaning.
i ; : 10: PENDING AND NOT YET LOADED - the RA position has been assigned to receive the result of an instruction which has not yet completed execution, and thus far the instruction has not loaded its result into this RA posi-tion. The : IID field is the IID assigned to the instruction for which this RA position will receive a result. The ABC field is nonzero if this instruction has been issued conditionally and ll000ll otherwise. In most cases, the REG field is the number of the GPR for which this RA
position is receiving a result, and the PRV
field is the number of the RA position which holds the old value of that GPR. In the speclal case of compare instructions which do not change a GPR, an RA position is assigned anyway, the REG field is irrelevant, and the PRV field contains the number of this RA
position.

PENDING AND LOADED - the RA position is assigned to receive the result of an instxuction which has not yet completed execution, and it has received that result. The ABC, IID, PRV and REG
fields have the same meaning as when CTL="10", ~except that the special case for compare instructions does not occur in this state.

:
~ ~ ' ` : :

PO98~-G05 ~L3032Z5 The ABC field is used to identify the RA positions which are associated with instructions that are decoded beyond a conditional branch. It is not necessary to implement such a field in order to make the invention work since this information could be determined by examining the IIDs. ~owever, this field is implemented in this embodiment because it is more economical and faster to have a field devoted to remembering this one piece of information, than to determine it at periodic intervals by performing a test OL the IIDs.

In the present case where three BRALs are provided so that up to three conditional branches may be encountered, the ABC field has three bits which are initially set to be "000". When a first braneh is encountered, the first BRAL
is loaded with the DRAL eontents and the ABC field is ehanged to "100" to indieate loading of the BRAL and the presenee of an unresolved braneh. If a second branch is eneountered before the first braneh is reso~ved, the second BRAL is loaded with the contents of the DRAL at that time and the ABC field is ehanged to "110". Finally, if a third branch is encountered and the first two branehes are unresolved, the third BRAL reeeives the DRAL
eontents at that time and the ABC field beeomes "111".
~aeh bit in the ABC field is independently associated with a particular BRAL to show that the BRAL is active and storing particular DRAL eontents for an unresolved braneh.
Logic unit lOl eontrols these functions.

If a braneh is resolved favorably, the assigned BRAL
eontents will not be needed and the appropriate bit in the ABC field is set to 0. Referring to the previous e~ample, branches do not necessarily have to be resolved in the order of occurrence. If a first branch occurs (ABC =
"100") and then a second branch occurs (ABC = "110"), the second branch may be resolved first and the ABC field is : .

l~0'3~ 5 ~303225 simply reset to "100". If, as in the earlier example, three branches occur in order (ABC = "111") and the second branch is resolved first,t he second BRAL becomes available and the ABC field is set to "101". In addition, this means that a BRAL is available if another branch is encountered. The bits in the ABC field can be set and reset in any order representing branch occurrence and - resolution in any order. Thus, if the ABC field is "101"
a new branch may be encountered and set the B bit so that the ABC field becomes "111" even though this does not represent the order of branch occurrence.

When an instruction is decoded, the GPR's which it references are looked up in the DRAL to find out what RA
positions are assigned to them. This includes both GPR's which are used and GPR's which are changed. If the instruc~ion changes GPR values, RA positions are assigned to receive the new values and the DRAL is updated so that those new RA positions become the ones which are shown as associated with those GPR's. The RA position assignments are then passed to the e~ecution logic rather than the actual assignments of the GPR's.

If it is assumed that the processor has the ability to decode two instructions at a time, then the DRAL
provides the ability to look up an Rl, Rl+l, X and B
register (referring to the 370 instruction format) for each of them. Referring to architectures generally, an instruction may call for GPR's for an inde~ value and a base value to provide the required memory access. ~his is adequate for most instructions, and in cases where it is not adequate, multiple cycles are required to decode. A
load multiple instruction may reference up to 16 GPR's in the System/370 architecture. After each GPR is looked up in the DRAL, the RA positions thus found are looked up in the ACL to determine whether the RA posltion is loaded, PO9~4-005 ~L31)322~;

and this information is sent to the execution unit with the instruction. The RA position is considered loaded if it is in the ASSIGNED or PENDING AND LOADED states (CTL-"Xl").

The processor provides the ability to assign at least two new RA positions each cycle. For example, the GPRs may be arranged in two groups, one even and one odd with a separate RA for each group o~ GPRs. Then four GPRs could be assigned each cycle, two from each group of GPRs corresponding to the two RAs. The circuitry which accomplishes this examines the CTL field in ACL, and selects the ~irst RA position in the AVAILABLE state (CTL="00"). If an RA position is assigned., then it is set to the ASSIGNED AND NOT YET LOADED state (CTL="10"), the I
field is set with the IID of the instruc~ion for which the assignment is being made, the REG field is set with the number of the..GPR, and the PRV field is set with the number of the RA position previously assigned to that register ~as determined by looking it up in the DRAL), except in the case of compare instructions in which case the PRV field is set to point to this RA position which is just being assigned. The reason for having an RA position assigned to compare instructions, and for this manner of operation is described in greater detail later.

This control structure for the RA imposes some . . conditions on instruction decoding.

1. Although the ability to look up registers in the DRAL
meets the needs of the majority of instructions, there are some that have different requirements.
These instructions take more than one cycle to decode. A partial list of such instructions in the System/370 architecture are: MVCL, CLCL, AXR, SXR, LM, STM, EDMK and TRT.

, . . :

PO98~-005 ~3()3225 2. The ability to assign more than one RA position per cycle in each set of registers is important in some situations, A partial list of 370 architecture instructions which can change more than a single even/odd general register pair, or more than one floating point register is MVCL, CLCL, AXR, S~R and LM. These instructions cannot decode in a single cycle unless enough RA positions can be assigned in one cycle. Beyond the problems of individual instructions, this also puts requirements on what instructions can decode simultaneously. It is not possible to decode simultaneously any t~o instructions which change too many registers within the same set.
3- In order for the DRAL to work properl~ it is necessary that when a register position is looked up at decode time ~hat the DRAL contain the number for I~A positions which were assigned to receive the resul~ o~ the most recent preceding instruction which 2~ changed that register. This is no problem unless that instruction is the immediate preceding instruction and the processor is attempting to decode both instructions at the same time. To handle this situation, whenever one instruction changes a register and the following instruction references that register, the second instruction is not permitted to decode at the same time as the first one.
... .
As each instruction is completed, its IID is sent to the ACL. A compare is performed by logic unit 101 against all of the IID fields in the ACL to determine which RA
positions have received results from this instructiOn.
Then for each of the RA positions thus identified a ~ignal is created which changes its state from PENDING AND LOADED

L~O~ QOS

~3~32;~;

-21~
to ASSIGNED (change CTL from "11" to "01"). Also, for each of those RA positions, the PRV field is cxamined to determine which RA positions need to be made available.
For each of the R~ positions pointed to by the PRV fields a signal is generated which changes its state from ASSIGNED to AVAILABLE (change CTL from "01" to "00").

All of the logic which performs these functions operates in parallel. Thus, in one cycle all of the RA
positions containing old register values for the completed instruction are changed to the available state, and all of the RA positions containing new values are changed to the assigned state. The normal completion of instructions has no effect on the DRAL.

In ~heory, a branch is considered to be a conditional branch if it is not possible to tell whether it is taken by examina~ion of the instruction itself. The 37C
branches which fall into this category are BC(M~0 or F and R2~0), BCT, BCTR(R2~0), BXLE and BX~I. Whenever one of these branches is decoded the I element makes a decision about whether the branch should be guessed taken or not taken. It then proceeds to decode, and execute instructions in the direction guessed while the processor is determining whether the branch really goes that way.
The only thing the processor cannot do is complete those instructions since they logically follow the branch and cannot complete befoxe it does. During this period of time all new RA positions selected to receive register values have the ABC field set to "1" in their entries for the BRAL assigned to that branch. At some later time when the branch's direction is definitely determlned it may be necessary to undo all of the instruction processing past the branch, and start processing instructions in the other direction.

.

PO9~4-~05 ~.3032~

In practice, all branches of any type are tre~ted as conditional because of the common use of a branch history table (BHT) to identify the targe~ address of the hranch instruction. The location of the target address in the BHT makes the instruction conditional at least until the correct target address is determined. When the BHT first identifies a target address, it is not clear until later - that the target address is correct. At the time the correctness of the target address is resolved, the conditional status of the branch is removed.

Branch recovery from a failed branch has implications for all parts of the processor. What it means for the register management system is that it is necessary to restore the GPR status to what it would have been if instruction decoding had stopped after the branch was reached. III the interim since the branch was decoded, two types of updating to the register control status have taken place. One type reflects progress toward completion and actual completion of instructions prior to the branch;
the effects of this updating must be retained. The second type of updating reflects the decoding and execution of instructions which follow the branch; this updatin~ must be removed from the GPR status as being invalid or meaningless.

Normally the DRAL is only updated when instructions are decoded and is not affected in any way by their completion. Thus, the contents of the DRAL are not changed if no instructions are decoded after a branch.
The situation of an interrupt is different and will be described separately. Therefore, what is desired for the DRAL is to restore it to its status immediately following the decode of the branch. This is accomplished by using the BRAL. Whenever a conditional branch is decoded, the contents of the DRAL immediately following the decode of the branch is moved to the BRAL at the time the ," ~

PO98~-005 ~3~225 appropriate bit in the ABC field is set to "1". It is saved there until it is deter~ined whether the guess for the branch was right or wrong and it is then either discarded or restored to the DR~L.

Restoring the ACL to the correct status is required after a wrong conditional branch. All of the ACL entries which were made after the conditional branch was decoded are identified by having the ABC field set to "1" in the particular bit position used for the BRAL for that particular branch. These entries are all for RA positions which were in the AVAILABLE state at the time the conditional branch was decoded, or which were placed in the AVAILABLE state after that by the completion of some earlier instruction and then picked up for reuse by some instruction after the conditional branch. None of these RA positions can have proceeded beyond one of the PE~DING
states since none o~ the instructions beyond the conditional branch can have completed. If no instructions beyond the conditional branch have been decoded, then all of these RA positions would be in the AVAILABLE state, and that is what they should be returned to.

The RA positions which have the ABC field set to "0"
for the particular branch include the set of RA positions that is in the ASSIGNED state, and those which are ~5 associated with some pending instruction prior to the conditional branch. If no decoding beyond the branch has occurred, these entries should remain in the same state that they are in, and therefore, they should be left alone. Therefore, the procedure for restoring the ACL to its correct state after a wrongly guessed conditional branch is to set to the AVAILABLE state (CTL="00") all ACL
entries for which ABC="l" in the particular bit position for the branch. If a conditional branch is found to have been guessed correctly, then all of thc ABC bits in the ` 1~0~ 005 ~31)3Z25 ACL are set to "0" for the particular bit position for the branch.

A branch is considered to be unconditional if it can be determined by inspection at decode time that it is ta};en. Unconditional branch instructions create no uncertainty about how instruction processing is to proceed, and therefore the register management controls ; take no note of them. BAL and BALR are 370 instructions which fall into this categPry and also change a GPR; and they are therefore treated as any other instruction which changes a register. In machines with a BHT Lhere may be no instructions in this category.

Interruptions need to be handled somewhat differently than other situations. As soon as an interruption condition is detected, a signal is sent to the interruption control element 36. This system interacts with the instruction completion controls to prevent any instructions beyond the point of interruption from completing. Th~ point of int~rruption is either immediately beore or immediately ollowing the i.nstruction which caused it, depending on whether the type of interruptioll calls for suppression or completion of the instruction. Those instructions prior to the point of interruption are then allowed to complete. At that point the DRAL contents reflect actions that would have been taken if some number of instructions beyond the one CaUSlng the interruption had been executed.
.
The ACL is in a state where all of the RA positions which are in the ASSIGNED state are the ones which contain the correct values for the assigned GPR's. In addition, the ACL may have a number of RA positions which are in one of the PENDING states. These are all associated with ` instructions beyond the point of interruption, and the PO9~ 005 ~3032~5 next step is for all of these RA positions to be returned to the AVAILABLE stAte (if CTL="lX" it is set to "C0").
The ACL is set to the correct state, and it is necessary to bring the DRAL to a corresponding state.

The DRAL is set over a period of several cycles bv stepping a counter through each of the GPR addresses. As ~ it steps through a new value each cycle, the value is compared against each of the ACL entries. This function can be accomplished by logic unit 101. A compare is detected if the REG field matches the value in the counter and the RA position is in the ASSIGNED state (CTL="01").
Since there must be exactly one RA position assigned to each GPR, this compare process must produce a compare against exactly one RA position every cycle. The result of these compares is encoded to produce the number of the RA position, and these RA pOSitiOII numbers are sent to the DRAL and sequentially written into the DRAL entries. At the end of this process, each of the DRAL entries points to the RA position which is assigned to the GPR that that entry corresponds to respectively, which is the correct state for the DRAL.

The process of xestoring the DRAL to its correct state can be performed while the process of swapping program status words (PSWs) is occurring so that it does not add any time to the interruption process.

While the invention has been described with reference to the preferred embodiments thereof, ~arious ~;~; modiications and changes may be made to those skilled in ` the art without departing from the true spirit and scope of the invention as defined by the claims hereof.

Claims (14)

1. A computer system which has provision for out of sequence instruction execution comprising:

a register array (RA) means of m hardware registers in a system architecture requiring that n addressable registers be provided, where m is greater than n, an instruction execution means for executing instructions in said register array (RA) and being connected to send and receive information to said register array (RA), said instruction execution means is comprised of at least one general purpose execution unit and at least one special purpose processing unit, a processor store for holding instructions and data and for providing instructions and data to said instruction execution means, an instruction control means for controlling the movement of instructions from said processor store to said instruction execution means, said instruction control means being connected to said processor store and said instruction execution means, logic unit means connected with said register array means for assigning a hardware register equivalent location for each addressable register during instruction execution and where an additional hardware register location or locations is assigned equivalent to the same addressable register during execution of an instruction out of order so that both a new value and an old value or values are maintained during out of order instruction execution, and an array control list (ACL) connected to and controlled by said logic unit means which records the assignment information for each of the m registers in the RA, and a decode register assignment list (DRAL) connected to and controlled by said logic unit means which records the status of each of the addressable registers.
2. The system of Claim 1 and further comprising a back-up register assignment list BRAL connected to said DRAL and which receives and copies the contents of the DRAL upon occurrence of a predetermined condition and which can copy said contents back into the DRAL upon occurrence of a further selected condition thereby allowing recovery of the computer system to a previously existing status.
3. The system of Claim 2 and further comprising a plurality of BRAL's each of which independently copies the contents of the DRAL upon occurrence of predetermined independent conditions and which can copy said contents back into the DRAL upon occurrence of predetermined independent conditions thereby allowing recovery of the computer system to a previously existing status.
4. A computer system which has provision for out of sequence instruction execution and conditional branch instructions comprising:
memory means for storing instructions and data, a register array (RA) of m registers in a system architecture having n addressable registers, where m is greater than n, said register array (RA) being connected to receive and send information to and from said memory means, an array control list (ACL) means having m positions where each position is a status identifying field associated with one of the m registers in said register array (RA), said ACL means being connected to receive and send status signals to and from said register array (RA), a decode register assignment list (DRAL) means connected with said register array (RA) for keeping track of instructions, said DRAL means having n positions where each position is a status identifying field associated with one of the n addressable registers in the system architecture, said DRAL means being connected to receive and send status signals to and from said register array (RA), at least one instruction execution means for receiving instructions and data and for producing results of instructions, said instruction unit being connected to provide status signals to said ACL means and said DRAL
means, instruction decoding and register means for receiving instructions from said memory means and connected to said instruction execution means for sending decoded instructions to be executed, said instruction decoding register means being connected to send status signals to said DRAL means and said ACL means, wherein said ACL means and said DRAL means receive instruction status signals and maintain register status information for at least some old values for some of said n addressable registers while out of sequence and conditional branch instructions complete execution and provide new values for assigned positions of said n addressable registers by using multiple positions in the register array (RA) and wherein the old values for the assigned positions of said n addressable registers may be restored up until the time said new positions are assigned a complete of execution status.
5. The system of Claim 4 and further comprising a back-up register assignment list BRAL connected to said DRAL and which receives and copies the contents of the DRAL upon occurrence of a selected condition and which can copy said contents back into the DRAL upon occurrence of a further selected condition.
6. The system of Claim 4 and further comprising a plurality of BRAL's each of which independently copies the contents of the DRAL upon occurrence of independent conditions and which can copy said contents back into the DRAL upon occurrence of independent conditions.
7. The system of Claim 6 wherein said ACL has an "ABC" status field for each register containing a status bit for each BRAL to indicate if the contents of the associated register are dependent on a condition which has resulted in the DRAL contents at a selected time being saved in the said BRAL.
8. A register management system for addressable registers for out of sequence instruction execution comprising:

a register array (RA) means of m physical registers in a system architecture requiring that n addressable registers be provided, where m is greater than n, an instruction execution means for executing instructions in said register array (RA) and being connected to send and receive information to said register array (RA), a processor store for holding instructions and data and for providing instructions and data to said instruction execution means, an instruction control means for controlling the movement of instructions from said processor store to said instruction execution means, said instruction control means being connected to said processor store and said instruction execution means, an array control list (ACL) means having m positions where each position is a status identifying field associated with one of the m registers in said register array (RA), said ACL means being connected to receive and send status signals to and from said register array (RA), a decode register assignment list (DRAL) means connected with said register array (RA) for keeping track of instructions, said DRAL means having n positions where each position is a status identifying field associated with the one of the n addressable registers in the system architecture, said DRAL means being connected to receive and send status signals to and from said register array (RA), and logic unit means connected with said array control list means, said decode register assignment list means and said register array means for assigning a physical register equivalent location for each addressable register during instruction execution and where an additional physical register location or locations is assigned equivalent to the same addressable register during execution of an instruction out of order so that both a new value and an old value or values are maintained during out of order instruction execution.
9. The system of Claim 8 wherein said ACL means and said DRAL means receive instruction status signals and maintain register status information for at least some old values for some of said n addressable registers while out of sequence and conditional branch instructions complete execution and provide new values for assigned positions of said n addressable registers by using multiple positions in the register array (RA) and wherein the old values for the assigned positions of said n addressable registers may be restored up until the time said new positions are assigned a complete of execution status.
10. The system of Claim 8 and further comprising a back-up register assignment list BRAL connected to said DRAL and which receives and copies the contents of the DRAL upon occurrence of a selected condition and which can copy said contents back into the DRAL upon occurrence of a further selected condition.
11. The system of Claim 8 and further comprising a plurality of BRAL's each of which independently copies the contents of the DRAL upon occurrence of independent conditions and which can copy said contents back into the DRAL upon occurrence of independent conditions.
12. The system of Claim 10 wherein said ACL has an "ABC" status field for each register containing a status bit for each BRAL to indicate if the contents of the associated register are dependent on a condition which has resulted in the DRAL contents at a selected time being saved in the said BRAL.
13. A computer system which has provision for out of sequence instruction execution and provision for processing a conditional branch instruction based on a branch direction guess, comprising:

memory means for storing instructions and data, a register array (RA) comprising m physical registers in a system architecture having n logical registers, wherein m is greater than n, said register array (RA) being connected to receive and send information to and from said memory means, array control list (ACL) means for storing register status information, said ACL means having m positions, wherein each position comprises a register status identifying field associated with one of the m physical registers in said register array (RA) and an instruction identification field indicative of an instruction addressing said one of said m registers, decode register assignment list (RAL) means connected with said register array (RA), for maintaining assignment status, said RAL means having n positions wherein each position comprises an assignment status identifying field associated with the one of said n logical registers, instruction execution means for receiving instructions and data and for producing results of instructions, said instruction execution means being connected to provide execution status signals to said ACL
means and said DRAL means.

logic means, connected with said ACL means and said DRAL means, for:
assigning, in accord with said register status, a selected one of said physical registers to perform functions for a first logical register addressed by an instruction decoded by said instruction decoding and register means;

writing first data identifying said selected one of said physical registers into said assignment status identifying field associated with said first logical register; and writing second data identifying said instruction into said instruction identifying field.
14. The system of claim 13 further comprising:

back-up register assignment list means connected to said DRAL means and said instruction decoding and register means for receiving and copying said first data from said DRAL means upon decoding of a conditional branch instruction and for copying said first data back into said DRAL means upon a determination that said branch direction guess is not correct.
CA000570361A 1987-07-20 1988-06-24 Register management system in a computer processor with out-of-sequence instruction execution Expired - Lifetime CA1303225C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US075,483 1987-07-20
US07/075,483 US4901233A (en) 1987-07-20 1987-07-20 Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries

Publications (1)

Publication Number Publication Date
CA1303225C true CA1303225C (en) 1992-06-09

Family

ID=22126064

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000570361A Expired - Lifetime CA1303225C (en) 1987-07-20 1988-06-24 Register management system in a computer processor with out-of-sequence instruction execution

Country Status (8)

Country Link
US (1) US4901233A (en)
EP (1) EP0301220B1 (en)
JP (1) JPH0668726B2 (en)
AR (1) AR242863A1 (en)
AU (1) AU606180B2 (en)
BR (1) BR8803228A (en)
CA (1) CA1303225C (en)
DE (1) DE3851488T2 (en)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5265220A (en) * 1986-12-23 1993-11-23 Nec Corporation Address control device for effectively controlling an address storing operation even when a request is subsequently cancelled
US5134561A (en) * 1987-07-20 1992-07-28 International Business Machines Corporation Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
US5050067A (en) * 1987-08-20 1991-09-17 Davin Computer Corporation Multiple sliding register stacks in a computer
JP2858140B2 (en) * 1988-10-19 1999-02-17 アポロ・コンピューター・インコーポレーテッド Pipeline processor device and method
US5123095A (en) * 1989-01-17 1992-06-16 Ergo Computing, Inc. Integrated scalar and vector processors with vector addressing by the scalar processor
US5768575A (en) * 1989-02-24 1998-06-16 Advanced Micro Devices, Inc. Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions
US5226126A (en) * 1989-02-24 1993-07-06 Nexgen Microsystems Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags
US5781753A (en) 1989-02-24 1998-07-14 Advanced Micro Devices, Inc. Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for speculative and out-of-order execution of complex instructions
JP2655191B2 (en) * 1989-07-05 1997-09-17 三菱電機株式会社 Arithmetic processing unit
WO1991004536A1 (en) * 1989-09-20 1991-04-04 Dolphin Server Technology A/S Instruction cache architecture for parallel issuing of multiple instructions
US5450564A (en) * 1990-05-04 1995-09-12 Unisys Corporation Method and apparatus for cache memory access with separate fetch and store queues
US5197132A (en) * 1990-06-29 1993-03-23 Digital Equipment Corporation Register mapping system having a log containing sequential listing of registers that were changed in preceding cycles for precise post-branch recovery
US5257354A (en) * 1991-01-16 1993-10-26 International Business Machines Corporation System for monitoring and undoing execution of instructions beyond a serialization point upon occurrence of in-correct results
EP0495162A3 (en) * 1991-01-16 1994-05-18 Ibm Storage management
US5226164A (en) * 1991-04-24 1993-07-06 International Business Machines Corporation Millicode register management and pipeline reset
EP0510429A3 (en) * 1991-04-24 1993-12-01 Ibm Millicode register management system
EP0514763A3 (en) * 1991-05-20 1993-08-25 Motorola, Inc. A data processor having a logical register content-addressable memory
US5355457A (en) * 1991-05-21 1994-10-11 Motorola, Inc. Data processor for performing simultaneous instruction retirement and backtracking
US5345567A (en) * 1991-06-10 1994-09-06 International Business Machines Corporation System and method for modifying program status word system mask, system access key, and address space code with overlap enabled
US5630157A (en) * 1991-06-13 1997-05-13 International Business Machines Corporation Computer organization for multiple and out-of-order execution of condition code testing and setting instructions
JPH06110688A (en) * 1991-06-13 1994-04-22 Internatl Business Mach Corp <Ibm> Computer system for parallel processing of plurality of instructions out of sequence
JP3441071B2 (en) * 1991-07-08 2003-08-25 セイコーエプソン株式会社 Microprocessor and data processing device
US5539911A (en) * 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
US5493687A (en) * 1991-07-08 1996-02-20 Seiko Epson Corporation RISC microprocessor architecture implementing multiple typed register sets
US5269017A (en) * 1991-08-29 1993-12-07 International Business Machines Corporation Type 1, 2 and 3 retry and checkpointing
US5293613A (en) * 1991-08-29 1994-03-08 International Business Machines Corporation Recovery control register
EP0529303A3 (en) * 1991-08-29 1993-09-22 International Business Machines Corporation Checkpoint synchronization with instruction overlap enabled
GB9123271D0 (en) * 1991-11-02 1991-12-18 Int Computers Ltd Data processing system
AU3424693A (en) * 1992-01-02 1993-07-28 Amdahl Corporation Software control of hardware interruptions
CA2124333A1 (en) * 1992-02-27 1993-09-02 John A. Saba Cpu having pipelined instruction unit and effective address calculation unit with retained virtual address capability
EP0636256B1 (en) * 1992-03-31 1997-06-04 Seiko Epson Corporation Superscalar risc processor instruction scheduling
WO1993022722A1 (en) 1992-05-01 1993-11-11 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
US5434985A (en) * 1992-08-11 1995-07-18 International Business Machines Corporation Simultaneous prediction of multiple branches for superscalar processing
EP0663083B1 (en) * 1992-09-29 2000-12-20 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US6735685B1 (en) * 1992-09-29 2004-05-11 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US5481683A (en) * 1992-10-30 1996-01-02 International Business Machines Corporation Super scalar computer architecture using remand and recycled general purpose register to manage out-of-order execution of instructions
US5446913A (en) * 1992-12-16 1995-08-29 International Business Machines Corporation Method and system for nonsequential execution of intermixed scalar and vector instructions in a data processing system utilizing a finish instruction array
US5628021A (en) * 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
EP0682789B1 (en) 1992-12-31 1998-09-09 Seiko Epson Corporation System and method for register renaming
US5694564A (en) * 1993-01-04 1997-12-02 Motorola, Inc. Data processing system a method for performing register renaming having back-up capability
US5493669A (en) * 1993-03-03 1996-02-20 Motorola, Inc. Data processor for simultaneously searching two fields of the rename buffer having first and second most recently allogated bits
TW242673B (en) * 1993-08-18 1995-03-11 Ibm
US5440703A (en) * 1993-09-20 1995-08-08 International Business Machines Corporation System and method for saving state information in a multi-execution unit processor when interruptable instructions are identified
JP3532975B2 (en) * 1993-09-27 2004-05-31 株式会社ルネサステクノロジ Microcomputer and method of executing instructions using the same
US5446912A (en) * 1993-09-30 1995-08-29 Intel Corporation Partial width stalls within register alias table
US6073231A (en) * 1993-10-18 2000-06-06 Via-Cyrix, Inc. Pipelined processor with microcontrol of register translation hardware
EP0649084A1 (en) * 1993-10-18 1995-04-19 Cyrix Corporation Microprocessor branch processing
EP0649086B1 (en) * 1993-10-18 2000-07-19 National Semiconductor Corporation Microprocessor with speculative execution
US5784589A (en) * 1993-10-18 1998-07-21 Cyrix Corporation Distributed free register tracking for register renaming using an availability tracking register associated with each stage of an execution pipeline
DE69408769T2 (en) * 1993-10-18 1998-07-09 Cyrix Corp Assembly line control and register translation in microprocessor
US5630149A (en) * 1993-10-18 1997-05-13 Cyrix Corporation Pipelined processor with register renaming hardware to accommodate multiple size registers
US6138230A (en) * 1993-10-18 2000-10-24 Via-Cyrix, Inc. Processor with multiple execution pipelines using pipe stage state information to control independent movement of instructions between pipe stages of an execution pipeline
US5666507A (en) * 1993-12-29 1997-09-09 Unisys Corporation Pipelined microinstruction apparatus and methods with branch prediction and speculative state changing
US5826109A (en) * 1994-01-04 1998-10-20 Intel Corporation Method and apparatus for performing multiple load operations to the same memory location in a computer system
US5452426A (en) * 1994-01-04 1995-09-19 Intel Corporation Coordinating speculative and committed state register source data and immediate source data in a processor
US5524263A (en) * 1994-02-25 1996-06-04 Intel Corporation Method and apparatus for partial and full stall handling in allocation
US6047369A (en) * 1994-02-28 2000-04-04 Intel Corporation Flag renaming and flag masks within register alias table
US5742831A (en) * 1994-06-30 1998-04-21 Intel Corporation Methods and apparatus for maintaining cache coherency during copendency of load and store operations
US6205538B1 (en) * 1994-08-24 2001-03-20 Sun Microsystems, Inc. Instruction result labeling in a counterflow pipeline processor
WO1996009584A2 (en) * 1994-09-19 1996-03-28 Philips Electronics N.V. A microcontroller system for performing operations of multiple microcontrollers
US5634119A (en) * 1995-01-06 1997-05-27 International Business Machines Corporation Computer processing unit employing a separate millicode branch history table
US5732005A (en) * 1995-02-10 1998-03-24 International Business Machines Corporation Single-precision, floating-point register array for floating-point units performing double-precision operations by emulation
EP0727736A3 (en) * 1995-02-14 1997-04-16 Hal Computer Systems Inc Method and apparatus for efficiently writing results to renamed registers
US5740414A (en) * 1995-02-14 1998-04-14 Hal Computer Systems, Inc. Method and apparatus for coordinating the use of physical registers in a microprocessor
US5675759A (en) * 1995-03-03 1997-10-07 Shebanow; Michael C. Method and apparatus for register management using issue sequence prior physical register and register association validity information
US5694617A (en) * 1995-03-31 1997-12-02 International Business Machines Corporation System for prioritizing quiesce requests and recovering from a quiescent state in a multiprocessing system with a milli-mode operation
US5611062A (en) * 1995-03-31 1997-03-11 International Business Machines Corporation Specialized millicode instruction for string operations
US5713035A (en) * 1995-03-31 1998-01-27 International Business Machines Corporation Linking program access register number with millicode operand access
US5802359A (en) * 1995-03-31 1998-09-01 International Business Machines Corporation Mapping processor state into a millicode addressable processor state register array
US5673391A (en) * 1995-03-31 1997-09-30 International Business Machines Corporation Hardware retry trap for millicoded processor
US5694587A (en) * 1995-03-31 1997-12-02 International Business Machines Corporation Specialized millicode instructions for test PSW validity, load with access test, and character translation assist
US5680598A (en) * 1995-03-31 1997-10-21 International Business Machines Corporation Millicode extended memory addressing using operand access control register to control extended address concatenation
US5974240A (en) * 1995-06-07 1999-10-26 International Business Machines Corporation Method and system for buffering condition code data in a data processing system having out-of-order and speculative instruction execution
US6356918B1 (en) 1995-07-26 2002-03-12 International Business Machines Corporation Method and system for managing registers in a data processing system supports out-of-order and speculative instruction execution
US5765215A (en) * 1995-08-25 1998-06-09 International Business Machines Corporation Method and system for efficient rename buffer deallocation within a processor
US5860104A (en) * 1995-08-31 1999-01-12 Advanced Micro Devices, Inc. Data cache which speculatively updates a predicted data cache storage location with store data and subsequently corrects mispredicted updates
US5987561A (en) 1995-08-31 1999-11-16 Advanced Micro Devices, Inc. Superscalar microprocessor employing a data cache capable of performing store accesses in a single clock cycle
US6043782A (en) * 1995-12-18 2000-03-28 Ppg Industries Ohio, Inc. Antenna connector arrangement
US5768556A (en) * 1995-12-22 1998-06-16 International Business Machines Corporation Method and apparatus for identifying dependencies within a register
US5621909A (en) * 1996-03-12 1997-04-15 International Business Machines Corporation Specialized millicode instruction for range checking
US5754810A (en) * 1996-03-12 1998-05-19 International Business Machines Corporation Specialized millicode instruction for certain decimal operations
US5794024A (en) * 1996-03-25 1998-08-11 International Business Machines Corporation Method and system for dynamically recovering a register-address-table upon occurrence of an interrupt or branch misprediction
US5838943A (en) * 1996-03-26 1998-11-17 Advanced Micro Devices, Inc. Apparatus for speculatively storing and restoring data to a cache memory
US5841999A (en) * 1996-04-17 1998-11-24 International Business Machines Corporation Information handling system having a register remap structure using a content addressable table
US5812813A (en) * 1996-07-29 1998-09-22 Integrated Device Technology, Inc. Apparatus and method for of register changes during execution of a micro instruction tracking sequence
US5838941A (en) * 1996-12-30 1998-11-17 Intel Corporation Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers
EP0863460B1 (en) * 1997-03-03 2005-08-24 International Business Machines Corporation Management of renamed registers in a superscalar computer system
US5875336A (en) * 1997-03-31 1999-02-23 International Business Machines Corporation Method and system for translating a non-native bytecode to a set of codes native to a processor within a computer system
US5898885A (en) * 1997-03-31 1999-04-27 International Business Machines Corporation Method and system for executing a non-native stack-based instruction within a computer system
US5838962A (en) * 1997-04-09 1998-11-17 Hewlett-Packard Company Interrupt driven dynamic adjustment of branch predictions
US5974535A (en) * 1997-05-09 1999-10-26 International Business Machines Corporation Method and system in data processing system of permitting concurrent processing of instructions of a particular type
US5956495A (en) * 1997-09-22 1999-09-21 International Business Machines Corporation Method and system for processing branch instructions during emulation in a data processing system
US6239800B1 (en) 1997-12-15 2001-05-29 International Business Machines Corporation Method and apparatus for leading a user through a software installation procedure via interaction with displayed graphs
JP3564041B2 (en) * 1998-01-20 2004-09-08 インターナショナル・ビジネス・マシーンズ・コーポレーション Computer system
US6052771A (en) * 1998-01-20 2000-04-18 International Business Machines Corporation Microprocessor with pipeline synchronization
US6047367A (en) * 1998-01-20 2000-04-04 International Business Machines Corporation Microprocessor with improved out of order support
US6119219A (en) * 1998-04-30 2000-09-12 International Business Machines Corporation System serialization with early release of individual processor
US6079013A (en) * 1998-04-30 2000-06-20 International Business Machines Corporation Multiprocessor serialization with early release of processors
SG81954A1 (en) * 1999-01-21 2001-07-24 Ibm Microprocessor with improved out of order support via register management with synchronization of multiple pipelines
US6633970B1 (en) * 1999-12-28 2003-10-14 Intel Corporation Processor with registers storing committed/speculative data and a RAT state history recovery mechanism with retire pointer
US6898695B2 (en) * 2001-03-28 2005-05-24 Intel Corporation Use of a future file for data address calculations in a pipelined processor
US7349398B1 (en) * 2001-12-31 2008-03-25 Redback Networks, Inc. Method and apparatus for out-of-order processing of packets
US7349399B1 (en) * 2002-09-20 2008-03-25 Redback Networks, Inc. Method and apparatus for out-of-order processing of packets using linked lists
US6973552B2 (en) * 2003-05-12 2005-12-06 International Business Machines Corporation System and method to handle page validation with out-of-order fetch
JP5917928B2 (en) * 2012-01-31 2016-05-18 日本板硝子株式会社 Window glass with seal member
JP6211823B2 (en) * 2013-06-20 2017-10-11 日本板硝子株式会社 Window glass for automobile and manufacturing method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4574349A (en) * 1981-03-30 1986-03-04 International Business Machines Corp. Apparatus for addressing a larger number of instruction addressable central processor registers than can be identified by a program instruction
US4594660A (en) * 1982-10-13 1986-06-10 Honeywell Information Systems Inc. Collector
JPS607540A (en) * 1983-06-24 1985-01-16 Mitsubishi Electric Corp Interruption control circuit
WO1985000453A1 (en) * 1983-07-11 1985-01-31 Prime Computer, Inc. Data processing system
US4612612A (en) * 1983-08-30 1986-09-16 Amdahl Corporation Virtually addressed cache
JPS6051948A (en) * 1983-08-31 1985-03-23 Hitachi Ltd Branch destination buffer storage device
US4807115A (en) * 1983-10-07 1989-02-21 Cornell Research Foundation, Inc. Instruction issuing mechanism for processors with multiple functional units
JPH0658631B2 (en) * 1983-12-19 1994-08-03 株式会社日立製作所 Data processing device
JPS6151243A (en) * 1984-08-20 1986-03-13 Toshiba Corp Register type operation processor
JPH0769818B2 (en) * 1984-10-31 1995-07-31 株式会社日立製作所 Data processing device
JPH081601B2 (en) * 1984-12-07 1996-01-10 株式会社日立製作所 Information processing device
JPH0754461B2 (en) * 1985-02-08 1995-06-07 株式会社日立製作所 Information processing equipment
JPH0762823B2 (en) * 1985-05-22 1995-07-05 株式会社日立製作所 Data processing device
US4722049A (en) * 1985-10-11 1988-01-26 Unisys Corporation Apparatus for out-of-order program execution

Also Published As

Publication number Publication date
JPH0668726B2 (en) 1994-08-31
DE3851488D1 (en) 1994-10-20
AU1907588A (en) 1989-01-27
US4901233A (en) 1990-02-13
AR242863A1 (en) 1993-05-31
EP0301220B1 (en) 1994-09-14
AU606180B2 (en) 1991-01-31
EP0301220A3 (en) 1990-07-25
BR8803228A (en) 1989-02-08
EP0301220A2 (en) 1989-02-01
DE3851488T2 (en) 1995-03-30
JPS6436336A (en) 1989-02-07

Similar Documents

Publication Publication Date Title
CA1303225C (en) Register management system in a computer processor with out-of-sequence instruction execution
US5134561A (en) Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
US6356918B1 (en) Method and system for managing registers in a data processing system supports out-of-order and speculative instruction execution
US5345567A (en) System and method for modifying program status word system mask, system access key, and address space code with overlap enabled
US4074353A (en) Trap mechanism for a data processing system
US4530052A (en) Apparatus and method for a data processing unit sharing a plurality of operating systems
US5280593A (en) Computer system permitting switching between architected and interpretation instructions in a pipeline by enabling pipeline drain
EP0333366B1 (en) Context switching method and apparatus for use in a vector processing system
US5197138A (en) Reporting delayed coprocessor exceptions to code threads having caused the exceptions by saving and restoring exception state during code thread switching
US5113521A (en) Method and apparatus for handling faults of vector instructions causing memory management exceptions
US5257354A (en) System for monitoring and undoing execution of instructions beyond a serialization point upon occurrence of in-correct results
KR101485068B1 (en) System comprising a plurality of processors and methods of operating the same
KR100242403B1 (en) Method and system for buffering of data in data-processing system
EP3362889A1 (en) Move prefix instruction
US5778220A (en) Method and apparatus for disabling interrupts in a highly pipelined processor
JP3142813B2 (en) Information processing system and method for managing register renaming
US7640450B1 (en) Method and apparatus for handling nested faults
JP3207124B2 (en) Method and apparatus for supporting speculative execution of a count / link register change instruction
US5943494A (en) Method and system for processing multiple branch instructions that write to count and link registers
US5841999A (en) Information handling system having a register remap structure using a content addressable table
KR100508320B1 (en) Processor having replay architecture with fast and slow replay paths
US5673391A (en) Hardware retry trap for millicoded processor
TWI756212B (en) Processing vector instructions
IE880818L (en) Apparatus and method for synchronization of arithmetic¹exceptions in central processing units having pipelined¹execution units simultaneously executing instructions
JPH0754467B2 (en) Data processing device

Legal Events

Date Code Title Description
MKEX Expiry