CA2277134A1 - `o- and memory bus system for dfps as units with two- or multi-dimensionally programmable cell architectures - Google Patents

`o- and memory bus system for dfps as units with two- or multi-dimensionally programmable cell architectures Download PDF

Info

Publication number
CA2277134A1
CA2277134A1 CA002277134A CA2277134A CA2277134A1 CA 2277134 A1 CA2277134 A1 CA 2277134A1 CA 002277134 A CA002277134 A CA 002277134A CA 2277134 A CA2277134 A CA 2277134A CA 2277134 A1 CA2277134 A1 CA 2277134A1
Authority
CA
Canada
Prior art keywords
bus
page
gate
element according
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002277134A
Other languages
French (fr)
Inventor
Martin Vorbach
Robert Munch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PACT Informationstechnologie GmbH
Original Assignee
Pact Informationstechnologie Gmbh
Martin Vorbach
Robert Munch
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=7816346&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CA2277134(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Pact Informationstechnologie Gmbh, Martin Vorbach, Robert Munch filed Critical Pact Informationstechnologie Gmbh
Publication of CA2277134A1 publication Critical patent/CA2277134A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers

Abstract

The invention relates to a bus system produced by concentrating individual conductors or buses within a unit of the type DFP, FPGA, DPGA, as well as all units with two- or multi-dimensionally programmable cell structure, and via which the units can be assembled to form several and/or can be connected to a memory and/or a periphery.

Description

FILE, Pfi~t~tN THIS AMENDED
T-E~ TRANSLATION
[2885/22]
I/O AND MEMORY BUS SYSTEM FOR DFPS AND UNITS WITH TWO- OR MULTI
DIMENSIONAL PROGRAMMABLE CELL ARCHITECTURES
1. Background of the Invention 1.1 Background Art 1.1.1 ... in DFP-based Systems In DFPs according to German Patent 44 16 881 A1, the lines of each edge cell, i.e., a cell at the edge of a cell array, often in direct contact with the terminals of the unit, lead outward via the terminals of the unit. The lines do not have any specific function, and instead they assume the function written in the edge cells. If several DFPs are interconnected, all terminals are connected to form a matrix. For internal data transfer, the unit has individual lines for bit-by-bit transfer of data. The user is responsible for bundling the lines into bus systems. Control of external units, regardless of whether they are memories, peripherals or additional DFPs, must also be implemented by the user.
1.1.2 ... in systems with two- or mufti-dimensional programmable cell architectures (FPGAs, DPGAs) In systems with two- or mufti-dimen;-~onal programmable cell architectures (FPGAs, DPGAs), a cer'~3in subset of internal bus systems and lines of the edge CELLS is connected to the outside via the unit terminals. The lines do not have any specific function, and instead they assume the function written in the edge cells. If several FPGAs/DPGAs are interconnected, the terminals assume the function implemented in the hardware or software. As is known from U.S. Patent No. 5,570,040, individual conductors lead from the cell array to fixedly i~~lemented IO drivers and from the IO drivers to the cell array. These conductors are not bundled but instead operate bit-by-bit. The IO drivers do not have any dedicated structures for generating addresses for memories or peripherals or for supporting communication protocols between similar units of the FPGA or DPGA type.
1.2 Problems 1.2.1 ... in DFP-based Systems The wiring complexity for peripherals or for interconnecting DFPs is very high, because the programmer must also ensure at the same time that the respective functions are integrated into the cells of the DFP(s). For connecting a memory, a memory management unit must be integrated into the unit. For connecting peripherals, they must be supported, just as cascading of DFPs must be similarly taken into account. This is relatively complicated, and at the same time, space in the unit is lost for the respective implementations.
1.2.2 ... in systems with two- or multi-dimensional programmable cell architectures (FPGAs, DPGAs) The above also applies to FPGAs and DPGAs, in particular when they are used for implementation of algorithms and when they work as arithmetic (co)processors.
1.3 Improvement through the invention; object The wiring complexity, in particular the number of unit terminals, is greatly reduced. A uniform bus system operates without any special consideration by a programmer. There is permanent implementation of the bus system control. Memories and peripherals can be connected to the bus system without any special measures.
Likewise, units can be cascaded with the help of the bus system.
2. Description of the Invention 2.1 Overview of the Invention, Abstract The present invention describes a general bus system which combines a number of internal lines and leads them as a bundle to the terminals. The bus system control is predefined and does not require any influence by the programmer. Any number of memories, peripherals or other units can be connected to the bus system (for cascading). Details and specific embodiments as well as features of the bus system according to this invention are the object of the patent claims.
2.2 Detailed Description of the Invention The following description encompasses several architectures which are conventionally controlled and configured by a primary logic unit, as in DFPs, FPGAs, DPGAs, etc. Parts of the primary logic unit may be integrated on the unit. As an alternative, there is the possibility (Figures 6, 7) of dynamically controlling or reconfiguring the architectures directly through the unit itself.
The architectures may be implemented in a permanent form on the unit, or they may be created only by configuring and possibly combining multiple logic cells, i.e., configurable cells which fulfill simple logic or arithmetic functions according to their configuration (cf. DFP, FPGA, DPGA).
2.2.1 Bundling Internal Lines To obtain appropriate bus architectures, a plurality of internal lines are combined in buses (I-BUSn, where n denotes the number of the bus). The lines may be internal bus systems or lines of the edge cells. For write access to the external bus (E-Bus) over clocked latches or registers (I-GATE-REG), the individual buses are connected to gates that function as switches to the E-BUS.
Such a unit is called an OUTPUT CELL. Access to the E-BUS takes place in such a way that the individual latches are switched via the gates to the common E-BUS. Only one gate is always open. Each I-BUSn has a unique identification number (n: e.g., I-BUST, I-BUS976, . . . ) .
For read access, the incoming E-BUS is stored temporarily in clocked latches or registers (E-GATE-REG) and then distributed over the gates to the I-BUSn. Such a unit is called an INPUT CELL.
Pick-up from the E-BUS takes place in such a way that an E-BUS
transfer is written into one or more E-GATE-REGs. The E-GATE-REGs can then be switched either individually or together to their internal bus systems.
Read-write access can take place in any order. Under some circumstances, it is appropriate to subdivide the internal buses I-BUSn into two groups, writing output buses IO-BUSn and reading input buses II-BUSn.
2.2.2 Address generation For most access to external units, it is necessary to generate addresses for selecting a unit or parts of a unit. The addresses may be permanent, i.e., they do not change (this is the case especially with peripheral addresses) or the addresses may change by (usually) fixed values with each access (this is the case especially with memory addresses). For generating the addresses, there are programmable counters for read access and programmable counters for write access. The counters are set at a base value by S the primary logic unit, which is the unit that configures the configurable units (DFPs, FPGAs, DPGAs, etc.) based on cell architecture. With each access to the gate, the counter is incremented or decremented by a value defined by the primary logic unit, depending on the setting. Likewise, each counter can also be used as a register, which means that counting is not performed with each access, and the value set in the counter is unchanged.
The value of the counter belonging to the gate is assigned as an address to each bus transfer. The counter is set by a setting register (MODE PLUREG) to which the primary logic unit has write access .
2.2.3 Masks and States Each gate is assigned a number of bits in MODE PLUREG which is described below, indicating whether the gate is active or is skipped by the controller, i.e., is masked out (MASK). This means that the gate is skipped in running through all gates to connect to the respective bus system.
The following mask records are conceivable:
always skip the INPUT/OUTPUT CELL, skip the INPUT/OUTPUT CELL only in writing, skip the INPUT/OUTPUT CELL only in reading if the E-BUS
MASTER has not accessed the INPUT/OUTPUT CELL, never skip the INPUT/OUTPUT CELL.
Each gate is assigned a state register which may be designed as an RS flip-flop. This register indicates whether data has been written into the register belonging to the gate.
2.2.4 MODE PLUREG
The MODE PLUREG can be written and read by the primary logic unit.
It serves to set the bus system.
One possible MODE PLUREG architecture from the standpoint of the primary logic unit:
Bit 1-m Bit k-1 Bit 2-k Bit 1 Bit 0 Mask Predefined Increment 0 = additive 0 =

value counting register 1 = 1 =

subtractive counter counting Masking Settings for address generator 2.2.5 Description of the INPUT CELL
A distinction is made according to whether data goes from the E-BUS to the unit (the component required for this is called an INPUT CELL) or whether data goes from the unit to the E-BUS (the component required for this is called an OUTPUT CELL).
An INPUT CELL may be designed as follows. A latch (I-GATE-REG) which is controlled either by the external E-BUS MASTER or the internal state machine serves as a buffer for the data received from the E-BUS. The clock pulse of the latch is sent to (for example) an RS flip-flop (SET-REG) which retains access to the I-GATE-REG. Downstream from the I-GATE-REG is a gate (I-GATE) which is controlled by the state machine. The data goes from the I-GATE-REG to the I(I)-BUSn via the I-GATE.
There is also a programmable incrementer/decrementer in the INPUT
CELL. It can be controlled by the state machine after each active read access to the E-BUS to increment or decrement an adjustable value. It can also serve as a simple register. This counter generates the addresses for bus access where the unit is E-BUS
MASTER. The addresses are sent to the E-BUS via a gate (ADR-GATE).
The ADR-REG is controlled by the state machine.
The E-BUS MASTER can poll the state of the SET-REG via another gate (STATE-GATE). Each INPUT CELL has a MODE PLUREG in which the primary logic unit configures the counter and turns the INPUT CELL
on or off (masks it).
2.2.6 Description of the OUTPUT CELL
An OUTPUT CELL may be configured as follows. A latch (E-GATE-REG) which is controlled by the internal state machine provides buffer storage for the data obtained from the I-BUS.
In addition, a programmable incrementer/decrementer is provided in the OUTPUT CELL. The clock signal of the latch is sent to (for example) an RS flip-flop (SET-REG) which retains access to the E-GATE-REG. It can be controlled by the state machine after each read access to the E-BUS to increment or decrement a selectable value. It can also function as a simple register. Tnis counter generates the addresses for bus access in which try unit is E-BUS
MASTER.
The data of the E-GATE-REG, the addresses and the state of the SET-REG are sent to the [E-BUS] via a gate (E-GATE) which is controlled either by the external E-BUS MASTER or the internal state machine. Each OUTPUT CELL has a MODE PLUREG in which the primary logic unit configures the counter and turns the OUTPUT
CELL on and off (masks it).
2.2.7 Controlling the Bus System At a higher level than the individual gates, address generators and masks, there is a controller consisting of a simple, known state machine. Two operating modes are differentiated:
1. An active mode in which the state machine controls the internal bus (I-BUS) and the external bus (E-BUS). This mode is called E-BUS MASTER because the state machine has control of the E-BUS.
2. A passive mode in which the state machine controls only the internal bus (I-BUS). The E-BUS is controlled by another external unit. The state machine reacts in this mode to the requirements of the external E-BUS MASTER. This mode of operation is called E-BUS
SLAVE.
The controller manages the E-BUS protocol. The sequence differs according to whether the controller is functioning in E-BUS MASTER
or E-BU5 SLAVE mode. No bus protocol is described in this paper, because a number of known protocols can be implemented.
2.2.8 E-BUS MASTER and E-B~_~ SLAVE, EB-REG
The E-BUS control register i~~D-REG) is provided to manage the data traffic on the E-BUS. It is connected in series with the gates and can be addressed and operated frc~ the E-BUS. The data exchange can be regulated through the following records:
I-WRITE: indicates that the I-BUS is written completely into the INPUT/OUTPUT CELLS, I-READ: indicates that the I-BUS has completely read the INPUT/OUTPUT CELLS, E-WRITE: indicates that the E-BUS has been written completely into the INPUT/OUTPUT CELLS, E-READ: indicates that the E-BUS has completely read the INPUT/OUTPUT CELLS.
The EB-REG is always active only on the side of the E-BUS SLAVE, and the E-BUS MASTER has read-write access to it.
All I-... records are written by E-BUS SLAVE and read by E-BUS MASTER.
~ All E-... records are written by E-BUS MASTER and read by E-BUS SLAVE.
An E-BUS SLAVE can request control of the E-BUS by setting the REQ
MASTER bit in its EB-REG. If the E-BUS MASTER recognizes the REQ
MASTER bit, it must relinquish the bus control as soon as possible. It does this by setting the MASTER bit in the EB-REG of an E-BUS SLAVE. It then immediately switches the E-BUS to passive mode. The old E-BUS SLAVE becomes the new E-BUS MASTER, and the old E-BUS MASTER becomes the new E-BUS SLAVE. The new E-BUS MASTER
assumes control of the E-BUS. To recognize the first E-BUS MASTER
after a RESET of the system, there is a terminal on each unit which indicates by the preset polarity whether the unit is E-BUS
MASTER or E-BUS SLAVE after a RESET. The MASTER record in the EB-REG can also be set and reset by the primary logic unit. The primary logic unit must be sure that there are no bus collisions on the EB-BUS and that no ongoing transfers are interrupted.
2.2.9 E-BUS MASTER writes data to E-BUS SLAVE
The E-BUS MASTER can write data to the E-BUS SLAVE as follows:
The data transfer begins when the state machine of the E-BUS
MASTER selects an OUTPUT CELL that is not masked out.
~ Data has already been stored in the I-GATE REG, depending on the design of the state machine, or the data is stored now.
The gate is activated.
The valid read address is transferred to the bus.
The data goes to the E-BUS and is stored in the E-GATE
REG of the E-BUS SLAVE.
The SET-REG in the E-BUS SLAVE is thus activated.
The gate in the E-BUS MASTER is deactivated.
The address counter generates the address for the next access.
~ The transfer is terminated for the E-BUS MASTER.
There are two possible embodiments of the E-BUS SLAVE for transferring data from the bus to the unit:
1. The data gate is always open and the data goes directly from the E-GATE-REG to the I-BUSn.
2. The state machine recognizes that SET-REG is activated, and it activates the gate, so that SET-REG can be reset.
The E-BUS MASTER can notify the E-BUS SLAVE when a complete bus cycle is terminated (a bus cycle is defined as the transfer of multiple data strings to different E-GATE-REGs, where each E-GATE-REG may be addressed exactly once).
~ The E-BUS MASTER sets the E-WRITE bit in the EB-REG of the E-BUS SLAVE at the end of a bus cycle.
The E-BUS SLAVE can respond by polling the INPUT CELLS.
When it has polled all the INPUT CELLs, it sets the I-READ

bit in its EB-REG.
It then resets E-WkITE and all the SET-REGs of the INPUT
CELLS.
The E-BUS MASTER can poll I-READ and begin a new bus cycle after its activation.
I-READ is reset by E-WRITE being written or the first bus transfer.
The E-BUS SLAVE can analyze whether the INPUT CELLS can/must be read again on the basis of the status of the EB-REG or the individual SET-REGs of the INPUT CELLS.
2.2.10 E-BUS MASTER reads data from E-BUS SLAVE
From the standpoint of the E-BUS MASTER, there are two basic methods of reading data from the E-BUS SLAVE:
1. Method by which E-BUS data goes directly to I-BUS:
~ The data transfer begins with the state machine of the E-BUS
MASTER selecting an INPUT CELL which is not masked out.
I-GATE and ADR-GATE are activated.
The valid read address is transferred to the bus.
I-GATE-REG is transparent, i.e., it allows the data through to the I-BUSn.
The gate in the E-BUS MASTER is deactivated.
The address counter generates the address for the next access.
The transfer is terminated for the E-BUS MASTER.
2. Method by which E-BUS data is stored temporarily in I-GATE-REG:

The data transfer begins with the state machine of the E-BUS
MASTER selecting an INPUT CELL which is not masked out.
I-GATE and ADR-GATE are activated.
The valid read address is transferred to the bus.
~ I-GATE-REG stores the data.
The gate in the E-BUS MASTER is deactivated.
The address counter generates the address for the next access.
The E-BUS transfer is terminated for the E-BUS MASTER.
~ All INPUT CELLS involved in the E-BUS transfer, which can be ascertained on the basis of the masks in the MODE PLUREG or the state of the SET-REG, are run through and the data is transferred to the respective I-BUS.
For the E-BUS SLAVE, the access is as follows:
The gate is activated by the E-BUS.
The data and the state of any SET-REG that may be present go to the E-BUS.
~ The gate is deactivated.
The E-BUS MASTER can notify the E-BUS SLAVE when a complete bus cycle is terminated.
~ To do so, at the end of a bus cycle, the E-BUS MASTER sets the E-READ bit in the EB-REG of the E-BUS SLAVE.
E-BUS SLAVE can react by writing to the OUTPUT CELLS anew.
When it has polled all the OUTPUT CELLS, it sets the I-WRITE
bit in its EB-REG.
~ In doing so, it resets E-READ and all the SET-REGs of the OUTPUT CELLS.
The E-BUS MASTER can poll I-WRITE and begin a new bus cycle after its activation.

I-WRITE is reset by writing E-READ or the first bus transfer.
E-BUS SLAVE can evaluate on the basis of the state of the EB-REG
or the individual SET-REGs of the OUTPUT CELLS whether the OUTPUT
CELLS can/must be written anew.
2.2.11 Connection of Memories and Peripherals, Cascading In addition to cascading identical units (DFPs, FPGAs, DPGAs), memories and peripherals can also be connected as lower-level SLAVE units (SLAVE) to the bus system described here. Memories and peripherals as well as other units (DFPs, FPGAs) can be combined here. Each connected SLAVE analyzes the addresses on the bus and recognizes independently whether it has been addressed. In these modes, the unit addressing the memory or the peripheral, i.e., the SLAVE units, is the bus MASTER (MASTER), i.e., the unit controls the bus and the data transfer. The exception is intelligent peripheral units, such as SCSI controllers that can initiate and execute transfers independently and therefore are E-BUS MASTERs.
2.2.12 Abstract Through the method described here, bus systems can be connected easily and efficiently to DFPs and FPGAs. Both memories and peripherals as well as other units of the types mentioned above can be connected over the bus systems.
The bus system need not be implemented exclusively in DFPs, FPGAs and DPGAs. Hybrid operation of this bus system with traditional unit terminal architectures is of course possible. Thus the advantages of the respective technique can be utilized optimally.
Other sequencing methods are also conceivable for the bus system described here. However, they will not be detailed here because they are free embodiment options that do not depend on the basic principle described here.
3. Brief Description of the Diagrams Figure 1: Drawing of a basic unit as a type A FPGA

Figure 2: Drawing of a basic unit as a type B FPGA

Figure 3: Drawing of a basic unit as a DFP

Figure 4: Line bundling in FPGAs Figure 5: Line bundling in DFPs Figure 6: An OUTPUT CELL

Figure 7: An INPUT CELL

Figure 8: Address generation Figure 9: Complete bus system with controller Figure 10:Connection of memories and peripherals Figure 11:EB-REG

Figure 12:Embodiment Figure 13:Embodiment 2 Figure 14:Bus IO of the second embodiment Figure 15a: Address generator of the second embodiment Figure 15b: Alternative address generator, generating end-of-data identification Figure 15c: Function sequence with the address generator wi th end-of-data identification Figure 16: Interaction of two segments in indirect addressing Figure 17: State machine for indirect addressing 4. Detailed Description of the Diagrams Figure 1 shows a known FPGA, where 0101 represents the internal bus systems, 0102 includes one or more FPGA cells. 0103 denotes subbuses which are a subset of 0101 and are connected to 0101 via switches (crossbars). 0103 can also manage internal data of 0102 that is not switched to 0101. The FPGA cells are arranged in a S two-dimensional array. 0104 is an edge cell located at the edge of the array and is thus in direct proximity to the terminals at the edge of the unit.
Figure 2 shows another known FPGA. This embodiment does not work with bus systems like 0101 but instead mainly with next-neighbor connections (0201), which are direct connections from an FPGA cell (0203) to a neighboring cell. There may nevertheless be global bus systems (0202), although they are not very wide. The FPGA cells or a group of FPGA cells have a connection to 0202. The FPGA cells are arranged in a two-dimensional array. 0204 is an edge cell located at the edge of the array and thus in close proximity to the terminals at the edge of the unit.
Figure 3 shows a DFP according to German Patent No 196 51 075.9.
PAE cells (0303) are wired to the bus systems (0301) via a bus interface (0304). Bus systems 0301 can be wired together via a bus switch (0302). The PAE cells are arranged in a two-dimensional array. 0305 is an edge cell located on the edge of the array and is thus in close proximity to the terminals at the edge of the unit.
Figure 4a shows an FPGA e~'_. according to Figure 1. Outside the edge cells (0401) there ar~~ arranged a plurality of INPUT/OUTPUT
CELLS (0402) connecting fi'°°"=~ internal bus systems (0403) individually or in groups the E-BUS (0404). The number of INPUT/OUTPUT CELLS depends on their own width in relation to the width of the internal bus systems. 0405 is an EB-REG. 0406 is a state machine. A bus system (0407) by means of which the state machine controls the INPUT/OUTPUT CELLS runs from the state machine to the EB-REG and each individual INPUT/OUTPUT CELL.
There may be several 0405s and 0406s by combining a number of 0402s into groups, each managed by a 0405 and 0406.
Figure 4b shows an FPGA edge according to Figure 2. Several INPUT/OUTPUT CELLS (0412) are arranged outside the edge cells (0411) and are connected individually or in groups to the E-BUS
(0414) via the internal bus systems (0413) and the direct connections of the edge cells (0417). The number of INPUT/OUTPUT
CELLS depends on their own width in relation to the width of the internal bus systems (0413) and the number of direct connections (0418). 0415 is an EB-REG. 0416 is a state machine. A bus system (0417) by means of which the state machine controls the INPUT/OUTPUT CELLS goes from the state machine to the EB-REG and each individual INPUT/OUTPUT CELL. There may be multiple 0415s and 0416s by combining a number of 0412s into groups, each managed by a 0415 and 0416.
Figure 5 shows a DFP edge according to Figure 3. Outside the edge cells (0501) are arranged several INPUT/OUTF~.TT CELLS (0502) which are connected individually or in groups to the E-BUS (0504) by the internal bus systems (0503). The number of INPUT/OUTPUT CELLS
depends on their own width in relation to tb~ width of the internal bus systems (0503). 0505 is an EB-i;G. 0506 is a state machine. The state machine controls the INLW'/OUTPUT CELLS via a bus system (0507) which goes from the stag -:achine to the EB-REG
and each individual INPUT/OUTPUT CELL. ThP~ may be multiple 0505s and 0506s by combining a number of 0412s in'~:o groups, each managed by a 0505 and 0506.
Figure 6 shows an OUTPUT CELL 0601. Outside of 0601 there are the EB-REG (0602) and the state machine (0603) plus a gate (0604) which connects the state machine to the E-BUS (0605) if it is the E-BUS MASTER. Access to the EB-REG is possible via the E-BUS
(0605), the I-BUS (0613) and the primary logic unit bus (0609).
In addition, when the unit is reset, the MASTER bit can be set via an external terminal (0614) leading out of the unit. The state machine (0603) has read-write access to 0602. In the OUTPUT CELL
there is a multiplexer (0606) which assigns control of the E-GATE
(0607) to either the E-BUS MASTER or the state machine (0603).
MODE PLUREG (0608) is set via the primary logic unit bus (0609) or I-BUS (0613) and it configures the address counter (0610) and the state machine (e.g., masking out the OUTPUT CELL). If data of the I-BUS (0613) is stored in I-GATE-REG (0611), the access is noted in SET-REG (0612). The state of 0612 can be polled via 0607 on the E-BUS. Read access (E-GATE 0607 is activated) resets 0612. The addresses generated by 0610 and the data of 0611 are transferred to the E-BUS via gate 0607. There is the possibility of dynamically reconfiguring and controlling the OUTPUT CELL via the unit itself (DFP, FPGA, DPGA, etc.) rather than through the primary logic unit. The I-BUS connection to the EB-REG (0602) and MODE PLUREG (0608) serves this function.
Figure 7 shows an INPUT CELL 0701. Outside of 0701 there are EB-REG (0702) and the state machine (0703), as well as a gate (MASTER
GATE) (0704) which connects the state machine to E-BUS (0705) if it is in the E-BUS MASTER mode. Access to EB-REG is possible via E-BUS (0705), I-BUS (0713) and primary logic unit bus (0709).
Furthermore, when the unit is reset, the MASTER bit can be set via an external terminal (0714) leading out of the unit. The state machine (0703) has read-write access to 0702. In the INPUT CELL
there is a multiplexer (0706) which assigns control of E-GATE-REG
(0707) to either E-BUS MASTER or the state machine (0703). MODE
PLUREG (0708) is set via the primary logic unit bus (0709) or I-BU5 (0713) and configures the address counter (0710) and the state machine (e.g., masking out the INPUT CELL). If data of the E-BUS
(0705) is stored in the E-GATE-REG (0707), this access is noted in the SET-REG (0712). The state of 0712 can be polled on the E-BUS
via a gate (0715) whose control is the same as that of the latch (0707). A read access - E-GATE 0711 is activated and the data goes to the I-BUS (0713) - resets 0712 via 0717. As an alternative, 0712 can be reset (0718) via the state machine (0703).
The addresses generated by 0710 are transferred via the gate (ADR-GATE) 0716 to the E-BUS. 0716 is activated by the state machine (0703) when it is the E-BUS MASTER. There is the possibility of dynamically reconfiguring and controlling the INPUT CELL via the unit itself (DFP, FPGA, DPGA, etc.) instead of through the primary logic unit. The I-BUS connection to the EB-REG (0702) and the MODE
PLUREG (0708) serves this function.
Figure 8 shows the MODE PLUREG (0801) of an INPUT or OUTPUT CELL
written by the primary logic unit via the primary logic unit bus (0802) or via an I-BUS (0808). The respective bus system is selected by the multiplexer (0809) (control of the multiplexer is not shown because an ordinary decoder logic can be used). The counter settings such as increment, counting direction and enabling of the counter are sent directly (0807) to the counter (0803). The basic address can either be written directly (0805) to the counter via a load (0804) or stored temporarily in an extension (0811) of 0801. Records in 0801 that are relevant for the state machine go to the state machine via a gate (0806) which is opened by the state machine for the INPUT CELL or OUTPUT CELL
activated at the time.
Figure 9a shows a bus interface circuit with a state machine (0901), MASTER GATE (0902) and EB-REG (0903). INPUT CELLS (0904) transfer data from the E-BUS (0905) to the II-BUS (0906). OUTPUT

CELLS (0907) transfer data from the IO-BUS (0908) to the E-BUS
(0905). All units are linked together by the control bus (0909).
Figure 9b shows a bus interface circuit with a state machine S (0901), MASTER GATE (0902) and EB-REG (0903). INPUT CELLS (0904) transfer data from the E-BUS (0905) to the bidirectional I-BUS
(0910). OUTPUT CELLS (0907) transfer data from the bidirectional I-BUS (0910) to the E-BUS (0905). All units are linked together over the control bus (0909). Interface circuits utilizing both possibilities (Figures 9a and 9b) in a hybrid design are also conceivable.
Figure l0a shows the interconnection of two units (DFPs, FPGAs, DPGAs, etc.) (1001) linked together via the E-BUS (1002).
Figure lOb shows the interconnection of a number of units (DFPs, FPGAs, DPGAs, etc.) (1001) via the E-BUS (1002).
Figure lOc shows the interconnection of a number of units (DFPs, FPGAs, DPGAs, etc.) (1001) via the E-BUS (1002). This interconnection can be expanded to a matrix. One unit (1001) may also manage multiple bus systems (1002).
Figure lOd shows the interconnection [of a] unit (DFP, FPGA, DPGA, etc.) (1001) to a memory unit or a memory bank (1003) via the E-BUS (1002) .
Figure l0e shows the interconnection [of a] unit (DFP, FPGA, DPGA, etc.) (1001) to a peripheral device or a peripheral group (1004) via the E-BUS (1002).
Figure lOf shows the interconnection [of a] unit (DFP, FPGA, DPGA, etc.) (1001) to a memory unit or a memory bank (1003) and to a peripheral device or a peripheral group (1004) via the E-BUS
(1002) .
Figure lOg shows the interconnection [of a] unit (DFP, FPGA, DPGA, etc.) (1001) to a memory unit or a memory bank (1003) and to a peripheral device or a peripheral group (1004) plus another unit (DFP, FPGA, DPGA, etc.) (1001) via the E-BUS (1002).
Figure 11 shows the architecture of EB-REG. The bus systems E-BUS
(1103), the primary logic unit bus (1104) over which the primary logic unit has access to EB-REG, and the local internal bus between the INPUT/OUTPUT CELLS, the state machine and EB-REG
(1105, see 0407, 0417, 0517) and possibly an I-BUS (1114) are connected to a multiplexes (1106). The multiplexes (1106) selects either one of the buses or feedback to the register (1108) and switches the data through to the input of the register (1108). The MASTER bit is sent to the register (1108) separately over the multiplexes (1107). The multiplexes is controlled by the RESET
signal (1101) (resetting or initializing the unit). If a RESET
signal is a~piied, the multiplexes (1107) switches the signal of an external chip connection (1102) through to the input of the register (1108); otherwise the output of the multiplexes (1106) is switched through to the input of the register (1108). MASTER may thus be preallocated. The register (1108) is clocked by the system clock (1112!. The contents of the register (1108) are switched via a gate (1109, 1110, 1111, 1113) to the respective bus system (1103, 1104, 1105, 1114) having read access at that time. Control of the gates (1109, 1110, 1111, 1113) and of the multiplexes (1106) is not shown because an ordinary decoder logic may be used.
5. Embodiments Figure 12 shows an example using the standard bus system RAMBUS

(1203). One unit (DFP, FPGA, DPGA, etc.) (1201) is connected to other units (memories, peripherals, other DFPs, FPGAs, DPGAs, etc.) (1202) by the bus system (1203). Independently of the bus system (1203), this unit (1201) may have additional connecting lines (1204), e.g., for connecting any desired circuits, as is customary in the related art.
Figure 13 shows an example of implementation of an IO and memory bus system. 1310 forms the RAM bus connecting RAM bus interface (1308) to RAM bus memory. RAM bus interface is connected to a cache RAM (1306). A tag RAM (1307) and a cache controller (1305) are provided for cache RAM (1306). With the help of the cache controller and tag RAM, a check is performed to determine whether the required data is in the cache memory or whether it must be loaded out of the external RAM bus memory. Cache RAM, cache controller and RAM bus interface are controlled by a state machine (1304). The cache is a known implementation.
Arbiter (1303) regulates access of individual bus segments to cache RAM and thus also to external memory. In this exemplary implementation, access to eight bus segments is possible. Each connection to a bus segment (1309) has a bus IO (1301) and an address generator (1302). In addition, each bus IO is also connected to the primary logic bus (1307) and to an internal test bus (1311). Every n-th bus IO is connected to (n+1)-th bus I0, where n is defined as n = (1, 3, 5, ...). Through this connection, data requested from memory by the n-th address generator is used by the (n+1)-th segment as the address for a memory access.
Indirect addressing of memory is thus possible. The value of the counter (1509) of segment n indicates a memory location in RAM.
Data from this memory location is transferred to segment (n+1), where it serves as the basic address for addressing memory.

Figure 14 shows the bus IO unit. It is connected to the internal bus system (1406), test bus system (1408) and primary logic bus (1407). Bus (1412) and bus (1413) serve to connect the n-th bus IO
to the (n+1)-th bus I0. In other words, bus (1413) is present only with every n-th segment, and bus (1412) is present only with every (n+1)-th segment. The n-th bus IO sends data over bus (1413), and (n+1)-th bus IO receives this data over bus (1412). Bus systems (1406, 1407, 1412) are connected by gates (1401, 1402, 1403, 1411) to bus (1409) which connects the bus IO to the address generator.
Arbiter (1404) selects a bus system (1406, 1407, 1412) for data transmission and delivers a control signal to state machine (1405) which in turn controls gates (1401, 1402, 1403, 1411). In addition, state machine (1405) also sends control signals (1410) to the address generator and to RAM.
There are two possibilities:
a) Segment n: State machine (1405) receives from the address generator a configuration signal (1415) which determines whether indirect addressing is to take place. After a read trigger signal (1416) from internal bus (1406) or primary logic bus (1407), state machine (1405) enables the respective gate (1401, 1402, 1403, 1411) and generates control signals (1410). The memory location addressed by the loadable incrementer/decrementer (1509) is read out. Data contained in the RAM memory location is not sent back to the bus but instead is transmitted by the bus (1413) to the (n+1)-th segment, where it serves as a basic address for addressing RAM.
After having received data from RAM, the state machine (1405) delivers an acknowledge signal for synchronization to state machine (1414), which controls the sequence in indirect addressing. This state machine (1414) is referred to below as ind state machine. It generates all the necessary control signals and sends them to the following segment (1413).

b) Segment (n+1): The (n+1)-th segment receives data transmitted from the n-th segment over bus (1412). Arbiter (1404) receives a write signal and sends a request to the state machine, which enables gate (1411). Gate (1411) adds the internal address of the basic address entry to the data from 1412, so that decoder (1502) enables the basic address latches.
Figure 15a shows the address generator. Data and address information is transmitted from the bus IO to the address generator over bus (1409). Bus (1410) transmits control signals CLK (1517, 1508) and output enable signal (1518) as well as control signals to RAM (1519). Output enable signal (1518) enables the gates (1503, 1515). Gate (1503) switches data from bus (1409) to data bus (1504) to RAM. Gate (1515) switches the addresses thus generated to address bus (1520) leading to RAM.
Addresses are generated as follows: Four entries in the address generator generate addresses. Each entry is stored in two latches (1501), with one latch storing the higher-order address and the other latch storing the lower-order address. The basic address entry contains the start address of a memory access. The increment entry is added to or subtracted from the basic address in loadable incrementer/decrementer (1509). The (incrementing/decrementing) function of loadable incrementer/decrementer (1509) is coded in one bit of the basic address and transmitted to loadable incrementer/decrementer (1509).
The end address is stored in the end address entry, and one bit is encoded according to whether address generation is terminated on reaching the end address or whether the end address entry is ignored. If the counter counts up to an end address, the value of the end address entry is compared with the initial value of the loadable incrementer/decrementer. This takes place in the comparator (1510), which generates a high as soon as the end address is reached or exceeded. With an active enable end address signal (1507), AND gate (1512) delivers this high to OR gate (1514), which then relays a trigger signal (1521) to the primary logic bus.
The data count entry contains the number of data transfers and thus of the addresses to be calculated. Here again, one bit in the data count entry determines whether this function is activated and the enable data counter signal (1506) is sent to AND gate (1513) or whether the data count entry is ignored. Counter (1505) receives the value of the data count entry and decrements it by one with each clock pulse. Comparator (1511) compares the value of counter (1505) [with] zero and delivers a signal to AND gate (1513). If enable data counter signal (1506) is active, the signal of comparator (1511) is sent to OR gate (1514) and as trigger signal (1521) to the primary logic bus.
Bus (1409) contains control signals and addresses for the decoder (1502), which selects one of the latches (1501) according to the address. Configuration register (1516) can also be controlled by decoder (1502), determining whether the segment is used for indirect addressing. Data of the configuration register is transmitted to the bus IO of the segment over connection (1415).
Figure 15b shows a modification of the address generator from Figure 15a, which deposits the end address of the data block at the beginning of ~: data block in the memory. The advantage of this design is that [with] a variable size of the data block, the end is defined precisely for subsequent access. This structure corresponds basically to the structure of the address generator from Figure 15a, but with the addition of two multiplexers (1522, 1523) and an additional entry in the configuration register (1523). This entry is hereinafter called the calculate end address and determines whether the end address of the data block is S deposited as the first entry of the data block at the location defined by the base address entry. These multiplexers are controlled by state machine (1405). Multiplexer (1522) serves to switch the basic address or output of counter (1509) to gate (1515). Multiplexer (1523) switches either data coming from bus (1404) or the output of counter (1509) to gate (1503).
Figure 15c shows the sequence in the state machine and the pattern of memory access by the address generator shown in Figure 15b.
State machine (1405) is first in the IDLE state (1524). If the calculate end address entry is set in configuration register (1523), after writing increment (1529), state machine (1405) goes into state (1525) where the address for RAM access is written into the loadable incrementer/decrementer from the basic address entry, and the increment is added or subtracted, depending on counter mode (incrementing/decrementing). RAM is accessed and the state machine returns to IDLE state (1524). The following data transfers are performed as specified by the basic addresses and increment entries. The pattern in memory is thus as follows. Basic address (1526) has not been written. First entry (1527) is in the position defined by the basic address plus (minus) the increment. The next entries (1528) follow one another at increment intervals.
When the end.of the transfer has been reached, a trigger signal is generated (1521). On the basis of the trigger signal (1521) or an external trigger signal (1417), state machine (1405) goes from IDLE state (1524) into state (1530) where multiplexers (1522, 1523) are switched, so that the basic address is applied to the input of gate (1515), and the address is applied to gate (1503) after the end of the data block. Then state machine (1405) enters state (1531) and writes the address to RAM at the position of the basic address after the end of the data block. The pattern in memory is then as follows. The entry of basic address (1526) indicates the address after the end of the data block. The first entry in the data block is at address (1527), and then the remaining entries follow. Another possible embodiment of the state machine is for the state machine to first correct the count in 1509 on the basis of one of trigger signals (1521 or 1417) so that 1509 indicates the last data word of the data block. This is implemented technically by performing an inverse operation to that preset in 1509, i.e., if 1509 adds the increment according to the presettings, the increment is now subtracted; if 1509 subtracts according to the presettings, it is added. To perform the correction, an additional state (1540) is necessary in the state machine described below in conjunction with Figure 15c to control 1509 accordingly.
Figure 16 shows the interaction of multiple segments in indirect addressing. Segment n (1601) receives a read signal over the bus (1605) (primary logic bus (1407) or internal bus (1406)). Bus IO
(1603) enables the respective gate and generates the required control signals. The memory location determined by 1509 is addressed. Data (1607) coming from RAM is sent to segment (n+1) (1602). Ind state machine (1604) generates the required control signals and likewise sends them to segment (n+1) (1602). In segment (n+1) (1602), signals pass through gate (1411) of bus IO
(1608) described in conjunction with Figure 14, where an address is added for decoder (1502) described in conjunction with Figure 15, so that the basic address entry of address generator (1608) is addressed by segment (n+1) (1602). Data coming from segment n (1601) thus serves as the basic address in segment (n+1) (1602), i.e., read-write access over bus (1609) (primary logic bus (1407) or internal bus (1406)) can use this basic address for access to RAM. Bus (1610) serves to transmit addresses to RAM, and bus (1612) transmits data to and from RAM, depending on whether it is a read or write access.
Figure 17 illustrates the ind state machine. The basic state is IDLE state (1701). It remains in this state until the acknowledge signal of state machine (1405) from Figure 14 arrives. Then ind state machine goes into a write state (1702), generating a write enable signal which is sent with the data to segment (n+1), where it serves to activate the decoder selecting the various entries.
Next it enters a wait for ack state. After the acknowledge signal of segment (n+1), the ind state machine returns to IDLE state (1701) .

Claims (15)

Claims
1. An element for managing the external terminals of a unit with a programmable cell structure in a multidimensional arrangement, in particular of the FPGA, DPGA, DFP type, characterized in that the element is assigned to the cell structure by at least one bus system or conductor bundle (0613), 0614) and controls automatically the externally connected units in that a) the protocols of the external units and buses (Figure 12) are generated automatically in the element (2.2.7 last paragraph (page 8, lines l7ff) and 2.2.11 next-to-last sentence (page 14, line 13)), b) the addresses of the external units are generated automatically in the element (0610, 0710), c) synchronization of the external units and buses with the internal cell structure is controlled automatically by the element (2.2.7 modes 1 + 2 (page 8, lines 8ff)).
2. Element according to Claim 1, characterized in that the dedicated element of the address generator is programmable 2.2.2.3 sentence (page 4, line 29)).
3. Element according to Claim 1, characterized inn that the dedicated element contains a sequence control system which controls the exchange of information between at least two of the elements according to Claim 1 (2.2.9 and 2.2.10 (page 10, line 6 through page 15, line 5)).
4. Element according to Claims 1 and 2, characterized in that the increment of the counter is adjustable (2.2.4 table (top of page 6)).
5. Element according to Claims 1 and 2, characterized in that the counting direction of the counter is adjustable (2.2.4 table (top of page 6)).
6. Element according to Claims 1 and 2, characterized in that the starting value of the counter is adjustable (2.2.4 table (top of page 6)).
7. Element according to Claims 1 and 2, characterized in that the counter can be used as a fixed, non-counting register (2.2.4 table (top of page 6)).
8. Element according to Claim 1, characterized in that a) internal conductors leading to a dedicated element are combined into buses (2.2.1 (page 3, lines 28ff)); or b) essentially bus systems of a certain bit size are provided in the units (2.2.1 (page 3, lines 28 ff)).
9. Element according to Claims 1 and 8, characterized in that a) the internal bus systems are operated unidirectionally (2.2.1 last paragraph (page 4, line 18)); or b) the internal bus systems are operated bidirectionally (2.2.1 last paragraph (page 4, line 18)).
10. Element according to Claim 1, characterized in that the element is controlled, programmed and managed by a higher-level control unit (0609, 0709, primary logic unit).
11. Element according to Claim 1, characterized in that the element is controlled, programmed and managed by the cell structure (Figure 6, paragraph 3 (page 19, line 16)).
12. Element according to Claims 1, 9 and 10, characterized in that the element is controlled, programmed and managed by a higher-level control unit as well as by the cell structure (Figure 6, paragraph 3 (page 19, line 16)).
13. Element according to Claims 1, 2 and 3, characterized in that the element controls a plurality of subordinate external elements of the same type and has control over the external bus (2.2.8 page 8, lines 22 ff)).
14. Element according to Claims 1, 2 and 3, characterized in that after at least one subordinate external element of the same type has requested control of the bus, the element transfers control to a certain element of the same type as the requesting external elements and thereafter behaves like a subordinate element of the bus (2.2.8, 3 rd paragraph (page 9, last paragraph ff)).
15. Element according to Claims 1, 2, 3, 13 and 14, characterized in that if the element is connected to the bus as a subordinate element, it requests control of the bus as needed (2.2.8, 3 rd paragraph (page 9, last paragraph ff)).
CA002277134A 1996-12-20 1997-12-21 `o- and memory bus system for dfps as units with two- or multi-dimensionally programmable cell architectures Abandoned CA2277134A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE19654595.1 1996-12-20
DE19654595A DE19654595A1 (en) 1996-12-20 1996-12-20 I0 and memory bus system for DFPs as well as building blocks with two- or multi-dimensional programmable cell structures
PCT/DE1997/003013 WO1998028697A1 (en) 1996-12-20 1997-12-21 IO- AND MEMORY BUS SYSTEM FOR DFPs AS UNITS WITH TWO- OR MULTI-DIMENSIONALLY PROGRAMMABLE CELL STRUCTURES

Publications (1)

Publication Number Publication Date
CA2277134A1 true CA2277134A1 (en) 1998-07-02

Family

ID=7816346

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002277134A Abandoned CA2277134A1 (en) 1996-12-20 1997-12-21 `o- and memory bus system for dfps as units with two- or multi-dimensionally programmable cell architectures

Country Status (8)

Country Link
US (4) US6119181A (en)
EP (2) EP1361517A3 (en)
JP (1) JP2001506785A (en)
AT (1) ATE243868T1 (en)
AU (1) AU5748898A (en)
CA (1) CA2277134A1 (en)
DE (3) DE19654595A1 (en)
WO (1) WO1998028697A1 (en)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
WO2003025781A2 (en) 2001-09-19 2003-03-27 Pact Xpp Technologies Ag Router
DE19651075A1 (en) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Unit for processing numerical and logical operations, for use in processors (CPU's), multi-computer systems, data flow processors (DFP's), digital signal processors (DSP's) or the like
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654595A1 (en) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0 and memory bus system for DFPs as well as building blocks with two- or multi-dimensional programmable cell structures
JP3961028B2 (en) * 1996-12-27 2007-08-15 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Data flow processor (DFP) automatic dynamic unloading method and modules with 2D or 3D programmable cell structure (FPGA, DPGA, etc.)
DE19654846A1 (en) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Process for the independent dynamic reloading of data flow processors (DFPs) as well as modules with two- or multi-dimensional programmable cell structures (FPGAs, DPGAs, etc.)
DE19704728A1 (en) * 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Method for self-synchronization of configurable elements of a programmable module
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
DE19861088A1 (en) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Repairing integrated circuits by replacing subassemblies with substitutes
DE19807872A1 (en) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US8230411B1 (en) 1999-06-10 2012-07-24 Martin Vorbach Method for interleaving a program over a plurality of cells
EP2226732A3 (en) 2000-06-13 2016-04-06 PACT XPP Technologies AG Cache hierarchy for a multicore processor
AU2001289737A1 (en) * 2000-07-24 2002-02-05 Pact Informationstechnolgie Gmbh Integrated circuit
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US6990555B2 (en) * 2001-01-09 2006-01-24 Pact Xpp Technologies Ag Method of hierarchical caching of configuration data having dataflow processors and modules having two- or multidimensional programmable cell structure (FPGAs, DPGAs, etc.)
US6941559B2 (en) * 2001-02-28 2005-09-06 Sharp Laboratories Of America Software bus and interface for digital television application software environments
US20090300262A1 (en) * 2001-03-05 2009-12-03 Martin Vorbach Methods and devices for treating and/or processing data
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US20070299993A1 (en) * 2001-03-05 2007-12-27 Pact Xpp Technologies Ag Method and Device for Treating and Processing Data
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US7624204B2 (en) * 2001-03-22 2009-11-24 Nvidia Corporation Input/output controller node in an adaptable computing environment
KR100401508B1 (en) 2001-05-25 2003-10-17 주식회사 하이닉스반도체 Circuit for control bank of rambus dram
US10031733B2 (en) * 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US7657877B2 (en) 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data
US20030123563A1 (en) * 2001-07-11 2003-07-03 Guangming Lu Method and apparatus for turbo encoding and decoding
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US7594229B2 (en) * 2001-10-09 2009-09-22 Nvidia Corp. Predictive resource allocation in computing systems
US7644279B2 (en) * 2001-12-05 2010-01-05 Nvidia Corporation Consumer product distribution in the embedded system market
EP1470478A2 (en) * 2002-01-18 2004-10-27 PACT XPP Technologies AG Method and device for partitioning large computer programs
US8281108B2 (en) 2002-01-19 2012-10-02 Martin Vorbach Reconfigurable general purpose processor having time restricted configurations
AU2003214003A1 (en) 2002-02-18 2003-09-09 Pact Xpp Technologies Ag Bus systems and method for reconfiguration
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
WO2004088502A2 (en) * 2003-04-04 2004-10-14 Pact Xpp Technologies Ag Method and device for data processing
AU2003223892A1 (en) * 2002-03-21 2003-10-08 Pact Xpp Technologies Ag Method and device for data processing
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US7093255B1 (en) * 2002-05-31 2006-08-15 Quicksilver Technology, Inc. Method for estimating cost when placing operations within a modulo scheduler when scheduling for processors with a large number of function units or reconfigurable data paths
US7620678B1 (en) 2002-06-12 2009-11-17 Nvidia Corporation Method and system for reducing the time-to-market concerns for embedded system design
US7802108B1 (en) 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
US20070083730A1 (en) * 2003-06-17 2007-04-12 Martin Vorbach Data processing device and method
US20110238948A1 (en) * 2002-08-07 2011-09-29 Martin Vorbach Method and device for coupling a data processing unit and a data processing array
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
WO2004021176A2 (en) 2002-08-07 2004-03-11 Pact Xpp Technologies Ag Method and device for processing data
DE10238057A1 (en) * 2002-08-20 2004-03-04 Universität Mannheim Comparison of a number of parameter sequences, for the design and formation of biological molecules, uses matrix elements with arithmetical logic computing units for faster complex computations of data sets
JP4388895B2 (en) 2002-09-06 2009-12-24 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Reconfigurable sequencer structure
US7502915B2 (en) * 2002-09-30 2009-03-10 Nvidia Corporation System and method using embedded microprocessor as a node in an adaptable computing machine
US8949576B2 (en) * 2002-11-01 2015-02-03 Nvidia Corporation Arithmetic node including general digital signal processing functions for an adaptive computing machine
US7617100B1 (en) 2003-01-10 2009-11-10 Nvidia Corporation Method and system for providing an excitation-pattern based audio coding scheme
US8296764B2 (en) * 2003-08-14 2012-10-23 Nvidia Corporation Internal synchronization control for adaptive integrated circuitry
JP4700611B2 (en) * 2003-08-28 2011-06-15 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Data processing apparatus and data processing method
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
US20050283743A1 (en) * 2004-06-07 2005-12-22 Mulholland Philip J Method for generating hardware information
JP4120631B2 (en) * 2004-10-05 2008-07-16 株式会社日立製作所 Semiconductor integrated circuit
TWI256013B (en) * 2004-10-12 2006-06-01 Uli Electronics Inc Sound-effect processing circuit
US7765250B2 (en) * 2004-11-15 2010-07-27 Renesas Technology Corp. Data processor with internal memory structure for processing stream data
JP2008530642A (en) * 2005-02-07 2008-08-07 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Low latency mass parallel data processor
US20070067542A1 (en) * 2005-08-29 2007-03-22 Atmel Corporation Microcontroller architecture including a predefined logic area and customizable logic areas
US8250503B2 (en) 2006-01-18 2012-08-21 Martin Vorbach Hardware definition method including determining whether to implement a function as hardware or software
US7999820B1 (en) 2006-10-23 2011-08-16 Nvidia Corporation Methods and systems for reusing memory addresses in a graphics system
US20080111923A1 (en) * 2006-11-09 2008-05-15 Scheuermann W James Processor for video data
US8169789B1 (en) 2007-04-10 2012-05-01 Nvidia Corporation Graphics processing unit stiffening frame
US7987065B1 (en) 2007-04-17 2011-07-26 Nvidia Corporation Automatic quality testing of multimedia rendering by software drivers
US8572598B1 (en) 2007-04-18 2013-10-29 Nvidia Corporation Method and system for upgrading software in a computing device
US8726283B1 (en) 2007-06-04 2014-05-13 Nvidia Corporation Deadlock avoidance skid buffer
US7948500B2 (en) * 2007-06-07 2011-05-24 Nvidia Corporation Extrapolation of nonresident mipmap data using resident mipmap data
US7944453B1 (en) 2007-06-07 2011-05-17 Nvidia Corporation Extrapolation texture filtering for nonresident mipmaps
US8245105B2 (en) * 2008-07-01 2012-08-14 International Business Machines Corporation Cascade interconnect memory system with enhanced reliability
US20100005335A1 (en) * 2008-07-01 2010-01-07 International Business Machines Corporation Microprocessor interface with dynamic segment sparing and repair
US8234540B2 (en) * 2008-07-01 2012-07-31 International Business Machines Corporation Error correcting code protected quasi-static bit communication on a high-speed bus
US8201069B2 (en) * 2008-07-01 2012-06-12 International Business Machines Corporation Cyclical redundancy code for use in a high-speed serial link
US8082475B2 (en) * 2008-07-01 2011-12-20 International Business Machines Corporation Enhanced microprocessor interconnect with bit shadowing
US8139430B2 (en) * 2008-07-01 2012-03-20 International Business Machines Corporation Power-on initialization and test for a cascade interconnect memory system
US8082474B2 (en) * 2008-07-01 2011-12-20 International Business Machines Corporation Bit shadowing in a memory system
US7895374B2 (en) * 2008-07-01 2011-02-22 International Business Machines Corporation Dynamic segment sparing and repair in a memory system
US7979759B2 (en) * 2009-01-08 2011-07-12 International Business Machines Corporation Test and bring-up of an enhanced cascade interconnect memory system
US20100180154A1 (en) * 2009-01-13 2010-07-15 International Business Machines Corporation Built In Self-Test of Memory Stressor
US11016822B1 (en) * 2018-04-03 2021-05-25 Xilinx, Inc. Cascade streaming between data processing engines in an array
US11188497B2 (en) 2018-11-21 2021-11-30 SambaNova Systems, Inc. Configuration unload of a reconfigurable data processor
US10831507B2 (en) 2018-11-21 2020-11-10 SambaNova Systems, Inc. Configuration load of a reconfigurable data processor
US10698853B1 (en) 2019-01-03 2020-06-30 SambaNova Systems, Inc. Virtualization of a reconfigurable data processor
US10768899B2 (en) 2019-01-29 2020-09-08 SambaNova Systems, Inc. Matrix normal/transpose read and a reconfigurable data processor including same
US11386038B2 (en) 2019-05-09 2022-07-12 SambaNova Systems, Inc. Control flow barrier and reconfigurable data processor
US11055141B2 (en) 2019-07-08 2021-07-06 SambaNova Systems, Inc. Quiesce reconfigurable data processor
KR20210025403A (en) * 2019-08-27 2021-03-09 삼성전자주식회사 Apparatus and method for operating multi-fpga in wireless communication system
US11809908B2 (en) 2020-07-07 2023-11-07 SambaNova Systems, Inc. Runtime virtualization of reconfigurable data flow resources
US11782729B2 (en) 2020-08-18 2023-10-10 SambaNova Systems, Inc. Runtime patching of configuration files
US11556494B1 (en) 2021-07-16 2023-01-17 SambaNova Systems, Inc. Defect repair for a reconfigurable data processor for homogeneous subarrays
US11327771B1 (en) 2021-07-16 2022-05-10 SambaNova Systems, Inc. Defect repair circuits for a reconfigurable data processor
US11409540B1 (en) 2021-07-16 2022-08-09 SambaNova Systems, Inc. Routing circuits for defect repair for a reconfigurable data processor
US11487694B1 (en) 2021-12-17 2022-11-01 SambaNova Systems, Inc. Hot-plug events in a pool of reconfigurable data flow resources

Family Cites Families (609)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
US828858A (en) 1905-10-18 1906-08-14 Gottfried Maass Lubricating-pad frame.
US2067477A (en) 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
GB971191A (en) 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3564506A (en) 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
US3753008A (en) 1970-06-20 1973-08-14 Honeywell Inf Systems Memory pre-driver circuit
DE2057312A1 (en) 1970-11-21 1972-05-25 Bhs Bayerische Berg Planetary gear with load pressure compensation
US5459846A (en) 1988-12-02 1995-10-17 Hyatt; Gilbert P. Computer architecture system having an imporved memory
US3855577A (en) 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US4151611A (en) 1976-03-26 1979-04-24 Tokyo Shibaura Electric Co., Ltd. Power supply control system for memory systems
US4233667A (en) 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
US4442508A (en) 1981-08-05 1984-04-10 General Instrument Corporation Storage cells for use in two conductor data column storage logic arrays
US4498134A (en) 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (en) 1982-08-25 1984-02-29 Nec Corp Processor unit
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4594682A (en) 1982-12-22 1986-06-10 Ibm Corporation Vector processing
US4739474A (en) * 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) * 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) * 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (en) 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd Dynamic logical circuit
US4761755A (en) * 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
EP0190813B1 (en) 1985-01-29 1991-09-18 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Processing cell for fault tolerant arrays
US4720778A (en) 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) * 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) * 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5225719A (en) * 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US5015884A (en) * 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) * 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4720780A (en) 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
DE3687400T2 (en) * 1985-11-04 1993-07-15 Ibm DIGITAL NEWS TRANSMISSION NETWORKS AND STRUCTURE OF TRANSMISSION WAYS IN THESE NETWORKS.
US4852048A (en) * 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4882687A (en) 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5021947A (en) * 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) * 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
FR2606184B1 (en) 1986-10-31 1991-11-29 Thomson Csf RECONFIGURABLE CALCULATION DEVICE
US4918440A (en) 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) * 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5226122A (en) * 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5119290A (en) * 1987-10-02 1992-06-02 Sun Microsystems, Inc. Alias address support
CA1286421C (en) 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
US5115510A (en) 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5113498A (en) * 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US4918690A (en) * 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
NL8800053A (en) 1988-01-11 1989-08-01 Philips Nv VIDEO PROCESSOR SYSTEM, IMAGE SYSTEM AND IMAGE STORAGE SYSTEM, PROVIDED WITH SUCH A VIDEO PROCESSOR SYSTEM.
NL8800071A (en) 1988-01-13 1989-08-01 Philips Nv DATA PROCESSOR SYSTEM AND VIDEO PROCESSOR SYSTEM, PROVIDED WITH SUCH A DATA PROCESSOR SYSTEM.
USRE34444E (en) 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
DE68917326T2 (en) 1988-01-20 1995-03-02 Advanced Micro Devices Inc Organization of an integrated cache memory for flexible use to support multiprocessor operations.
US5303172A (en) * 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
JPH06101043B2 (en) 1988-06-30 1994-12-12 三菱電機株式会社 Microcomputer
US4939641A (en) 1988-06-30 1990-07-03 Wang Laboratories, Inc. Multi-processor system with cache memories
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
JPH03500461A (en) 1988-07-22 1991-01-31 アメリカ合衆国 Data flow device for data-driven calculations
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US5204935A (en) * 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US4901268A (en) * 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
ATE98833T1 (en) 1988-09-22 1994-01-15 Siemens Ag CIRCUIT ARRANGEMENT FOR TELECOMMUNICATION SWITCHING SYSTEMS, IN PARTICULAR PCM TIME MULTIPLEX TELEPHONE SWITCHING SYSTEMS WITH CENTRAL SWITCHING SYSTEM AND ATTACHED SUB-COUPLING SECTIONS.
DE68929518T2 (en) 1988-10-05 2005-06-09 Quickturn Design Systems, Inc., Mountain View A method of using an electronically reconfigurable gate array logic and apparatus manufactured thereby
JP2930341B2 (en) * 1988-10-07 1999-08-03 マーチン・マリエッタ・コーポレーション Data parallel processing unit
US5014193A (en) * 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
US5136717A (en) * 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5081375A (en) * 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
GB8906145D0 (en) * 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5203005A (en) 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) * 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (en) 1989-06-09 1997-02-26 株式会社日立製作所 Logic circuit test apparatus having test data change circuit
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
CA2021192A1 (en) 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5489857A (en) * 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5233539A (en) * 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5212652A (en) * 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5128559A (en) * 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (en) * 1989-11-08 1999-10-25 株式会社リコー Central processing unit
GB8925723D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925721D0 (en) * 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5522083A (en) * 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
EP0428770B1 (en) 1989-11-21 1995-02-01 Deutsche ITT Industries GmbH Data controlled array processor
US5099447A (en) 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
WO1991011765A1 (en) 1990-01-29 1991-08-08 Teraplex, Inc. Architecture for minimal instruction set computing system
US5125801A (en) * 1990-02-02 1992-06-30 Isco, Inc. Pumping system
US5036493A (en) 1990-03-15 1991-07-30 Digital Equipment Corporation System and method for reducing power usage by multiple memory modules
US5142469A (en) * 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
EP0463721A3 (en) 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
WO1991017507A1 (en) 1990-05-07 1991-11-14 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system
US5198705A (en) * 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) * 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
US5111079A (en) * 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (en) * 1990-08-02 1990-08-02 Carlstedt Elektronik Ab PROCESSOR
DE4129614C2 (en) 1990-09-07 2002-03-21 Hitachi Ltd System and method for data processing
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5076482A (en) 1990-10-05 1991-12-31 The Fletcher Terry Company Pneumatic point driver
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5588152A (en) * 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5734921A (en) 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
EP0485690B1 (en) 1990-11-13 1999-05-26 International Business Machines Corporation Parallel associative processor system
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
US5708836A (en) 1990-11-13 1998-01-13 International Business Machines Corporation SIMD/MIMD inter-processor communication
CA2051222C (en) 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) * 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (en) 1991-02-01 1999-03-10 日本電気株式会社 Microcomputer
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
EP0642094B1 (en) * 1991-02-22 1998-09-02 Siemens Aktiengesellschaft Method for programming a logic unit
JPH04290155A (en) * 1991-03-19 1992-10-14 Fujitsu Ltd Parallel data processing system
JPH04293151A (en) * 1991-03-20 1992-10-16 Fujitsu Ltd Parallel data processing system
US5617547A (en) 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
WO1992018935A1 (en) * 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
JPH04328657A (en) 1991-04-30 1992-11-17 Toshiba Corp Cache memory
US5446904A (en) 1991-05-17 1995-08-29 Zenith Data Systems Corporation Suspend/resume capability for a protected mode microprocessor
CA2109799A1 (en) * 1991-05-24 1992-12-10 Daniel Mark Nosenchuck Optimizing compiler for computers
US5659797A (en) * 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (en) * 1991-07-09 2002-02-25 株式会社東芝 Cache memory controller
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5317209A (en) * 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5581731A (en) * 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
FR2681791B1 (en) 1991-09-27 1994-05-06 Salomon Sa VIBRATION DAMPING DEVICE FOR A GOLF CLUB.
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
AU2939892A (en) 1991-12-06 1993-06-28 Richard S. Norman Massively-parallel direct output processor array
US5208491A (en) * 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (en) 1992-01-14 1996-12-20 Andre Thepaut MULTIPROCESSOR DATA PROCESSING SYSTEM.
US5412795A (en) * 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (en) 1992-03-13 1998-08-27 株式会社東芝 Hierarchical synchronization system and large scale integrated circuit using the same
JP2560945B2 (en) * 1992-03-23 1996-12-04 日本電信電話株式会社 Digital processing circuit
US5452401A (en) 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (en) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション Massively parallel computing system equipment
US5493663A (en) 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
JP2572522B2 (en) 1992-05-12 1997-01-16 インターナショナル・ビジネス・マシーンズ・コーポレイション Computing device
US5611049A (en) 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
JP3737104B2 (en) * 1992-06-04 2006-01-18 ジリンクス,インコーポレーテッド Timing driven method of placing user circuitry in a programmable integrated circuit device
DE4221278C2 (en) 1992-06-29 1996-02-29 Martin Vorbach Bus-linked multi-computer system
US5475803A (en) * 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (en) 1992-07-13 2000-04-17 シャープ株式会社 Digital signal sampling frequency converter
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
ATE237861T1 (en) 1992-09-03 2003-05-15 Sony Corp DATA RECORDING DEVICE AND METHOD
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (en) 1992-10-02 1994-06-28 Hudson Soft Co Ltd Interruption processing method and device therefor
US5329179A (en) * 1992-10-05 1994-07-12 Lattice Semiconductor Corporation Arrangement for parallel programming of in-system programmable IC logical devices
US5497498A (en) * 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5392437A (en) 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5361373A (en) * 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5311079A (en) 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) * 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06266605A (en) * 1993-03-16 1994-09-22 Yokogawa Medical Syst Ltd Storage device
JPH06276086A (en) * 1993-03-18 1994-09-30 Fuji Xerox Co Ltd Field programmable gate array
US5548773A (en) * 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5596742A (en) 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) * 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
WO1994025917A1 (en) * 1993-04-26 1994-11-10 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
DE4416881C2 (en) * 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Method for operating a data processing device
US5435000A (en) * 1993-05-19 1995-07-18 Bull Hn Information Systems Inc. Central processing unit using dual basic processing units and combined result bus
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
IT1260848B (en) 1993-06-11 1996-04-23 Finmeccanica Spa MULTIPROCESSOR SYSTEM
US5444394A (en) * 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
GB2280293B (en) * 1993-07-19 1997-12-10 Hewlett Packard Co Architecture for programmable logic
JPH0736858A (en) * 1993-07-21 1995-02-07 Hitachi Ltd Signal processor
US5581734A (en) 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
CA2129882A1 (en) 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) * 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5502838A (en) 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) * 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
JPH09509797A (en) * 1993-12-13 1997-09-30 ラティス・セミコンダクター・コーポレイション Application specific module in programmable logic device
US5535406A (en) * 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
GB9403030D0 (en) * 1994-02-17 1994-04-06 Austin Kenneth Re-configurable application specific device
CN1104683C (en) 1994-03-22 2003-04-02 海珀奇普公司 Efficient direct cell replacement fault tolerant structure support completely integrated system with means of direct communication with system operator
US5561738A (en) * 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5574927A (en) 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5430687A (en) * 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5896551A (en) 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
US5426378A (en) * 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
EP0707721B1 (en) * 1994-05-04 2002-01-30 Atmel Corporation Programmable logic device with regional and universal signal routing
JP2671804B2 (en) 1994-05-27 1997-11-05 日本電気株式会社 Hierarchical resource management method
US5532693A (en) * 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (en) 1994-06-30 1996-01-03 Tandem Computers Incorporated Tool and method for diagnosing and correcting errors in a computer programm
JP3308770B2 (en) 1994-07-22 2002-07-29 三菱電機株式会社 Information processing apparatus and calculation method in information processing apparatus
US5600845A (en) 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5801973A (en) 1994-07-29 1998-09-01 Discovision Associates Video decompression
JP3365581B2 (en) * 1994-07-29 2003-01-14 富士通株式会社 Information processing device with self-healing function
JPH08102492A (en) * 1994-08-02 1996-04-16 Toshiba Corp Programmable wiring circuit and test board device
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) * 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (en) 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (en) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk Single-chip microcomputer with built-in self-test function
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
JPH08148989A (en) * 1994-11-18 1996-06-07 Hitachi Ltd Superconducting fpga device
US5584013A (en) * 1994-12-09 1996-12-10 International Business Machines Corporation Hierarchical cache arrangement wherein the replacement of an LRU entry in a second level cache is prevented when the cache entry is the only inclusive entry in the first level cache
EP0721157A1 (en) 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Microprocessor with selectable clock frequency
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
JP3598139B2 (en) 1994-12-28 2004-12-08 株式会社日立製作所 Data processing device
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5532957A (en) * 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5493239A (en) * 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5659785A (en) * 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
JPH08221164A (en) * 1995-02-14 1996-08-30 Kumamoto Techno Porisu Zaidan Trial manufacture supporting device, substrate for ic mounting, and bus device
US5537057A (en) * 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5862403A (en) 1995-02-17 1999-01-19 Kabushiki Kaisha Toshiba Continuous data server apparatus and data transfer scheme enabling multiple simultaneous data accesses
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
US5570040A (en) * 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
JP3313007B2 (en) 1995-04-14 2002-08-12 三菱電機株式会社 Microcomputer
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
WO1996034346A1 (en) 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
US5541530A (en) * 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5821774A (en) 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (en) * 1995-05-31 1996-12-13 Nec Corp Memory access control circuit
JP3677315B2 (en) 1995-06-01 2005-07-27 シャープ株式会社 Data-driven information processing device
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5646544A (en) * 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
ZA965340B (en) 1995-06-30 1997-01-27 Interdigital Tech Corp Code division multiple access (cdma) communication system
US5889982A (en) 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) * 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5583450A (en) * 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5652894A (en) 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
US5745734A (en) * 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5754827A (en) 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5773994A (en) 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (en) 1995-12-19 1997-09-05 Fujitsu Ltd Shift register and programmable logic circuit and programmable logic circuit system
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
JP3247043B2 (en) 1996-01-12 2002-01-15 株式会社日立製作所 Information processing system and logic LSI for detecting failures using internal signals
JP2795244B2 (en) 1996-01-17 1998-09-10 日本電気株式会社 Program debugging system
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5898602A (en) 1996-01-25 1999-04-27 Xilinx, Inc. Carry chain circuit with flexible carry function for implementing arithmetic and logical functions
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5727229A (en) 1996-02-05 1998-03-10 Motorola, Inc. Method and apparatus for moving data in a parallel processor
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (en) 1996-02-17 1999-01-15 김광호 Fifo method and apparatus of graphic data
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5892370A (en) 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
JP2000513523A (en) 1996-06-21 2000-10-10 オーガニック システムズ インコーポレイテッド Dynamically reconfigurable hardware system for immediate process control
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6023742A (en) 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
KR100280285B1 (en) 1996-08-19 2001-02-01 윤종용 Multimedia processor suitable for multimedia signals
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
JP3934710B2 (en) * 1996-09-13 2007-06-20 株式会社ルネサステクノロジ Microprocessor
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
SG125044A1 (en) 1996-10-14 2006-09-29 Mitsubishi Gas Chemical Co Oxygen absorption composition
US5901279A (en) 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5832288A (en) 1996-10-18 1998-11-03 Samsung Electronics Co., Ltd. Element-select mechanism for a vector processor
US5892962A (en) 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5895487A (en) 1996-11-13 1999-04-20 International Business Machines Corporation Integrated processing and L2 DRAM cache
US5860119A (en) * 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
DE19651075A1 (en) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Unit for processing numerical and logical operations, for use in processors (CPU's), multi-computer systems, data flow processors (DFP's), digital signal processors (DSP's) or the like
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654593A1 (en) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Reconfiguration procedure for programmable blocks at runtime
DE19654595A1 (en) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0 and memory bus system for DFPs as well as building blocks with two- or multi-dimensional programmable cell structures
DE19654846A1 (en) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Process for the independent dynamic reloading of data flow processors (DFPs) as well as modules with two- or multi-dimensional programmable cell structures (FPGAs, DPGAs, etc.)
JP3961028B2 (en) 1996-12-27 2007-08-15 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト Data flow processor (DFP) automatic dynamic unloading method and modules with 2D or 3D programmable cell structure (FPGA, DPGA, etc.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858168A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor array
EP0858167A1 (en) 1997-01-29 1998-08-12 Hewlett-Packard Company Field programmable processor device
DE19704044A1 (en) 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Address generation with systems having programmable modules
US5865239A (en) 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (en) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Method for self-synchronization of configurable elements of a programmable module
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (en) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internal bus system for DFPs, as well as modules with two- or multi-dimensional programmable cell structures, for coping with large amounts of data with high networking effort
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
WO1998038958A1 (en) 1997-03-05 1998-09-11 Massachusetts Institute Of Technology A reconfigurable footprint mechanism for omnidirectional vehicles
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US5884075A (en) 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
US5857097A (en) 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6272257B1 (en) * 1997-04-30 2001-08-07 Canon Kabushiki Kaisha Decoder of variable length codes
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6035371A (en) 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6339840B1 (en) 1997-06-02 2002-01-15 Iowa State University Research Foundation, Inc. Apparatus and method for parallelizing legacy computer code
US6011407A (en) 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5996048A (en) * 1997-06-20 1999-11-30 Sun Microsystems, Inc. Inclusion vector architecture for a level two cache
US6240502B1 (en) 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5838988A (en) 1997-06-25 1998-11-17 Sun Microsystems, Inc. Computer product for precise architectural update in an out-of-order processor
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6026478A (en) 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6038656A (en) 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3612186B2 (en) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ Data processing device
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
SG82587A1 (en) * 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
JP4128251B2 (en) 1997-10-23 2008-07-30 富士通株式会社 Wiring density prediction method and cell placement apparatus
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JP4197755B2 (en) 1997-11-19 2008-12-17 富士通株式会社 Signal transmission system, receiver circuit of the signal transmission system, and semiconductor memory device to which the signal transmission system is applied
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69841256D1 (en) 1997-12-17 2009-12-10 Panasonic Corp Command masking for routing command streams to a processor
JP2003526129A (en) 1997-12-17 2003-09-02 エリクセントリミティド Implementation of a multiplier in a programmable array
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
DE69737750T2 (en) 1997-12-17 2008-03-06 Hewlett-Packard Development Co., L.P., Houston First and second processors used method
DE19861088A1 (en) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Repairing integrated circuits by replacing subassemblies with substitutes
US6049222A (en) 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6172520B1 (en) 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6260114B1 (en) 1997-12-30 2001-07-10 Mcmz Technology Innovations, Llc Computer cache memory windowing
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6389579B1 (en) 1998-01-26 2002-05-14 Chameleon Systems Reconfigurable logic for table lookup
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6366999B1 (en) 1998-01-28 2002-04-02 Bops, Inc. Methods and apparatus to support conditional execution in a VLIW-based array processor with subword execution
KR100572945B1 (en) 1998-02-04 2006-04-24 텍사스 인스트루먼츠 인코포레이티드 Digital signal processor with efficiently connectable hardware co-processor
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US6198304B1 (en) 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
DE19807872A1 (en) 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
US6298043B1 (en) 1998-03-28 2001-10-02 Nortel Networks Limited Communication system architecture and a connection verification mechanism therefor
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6456628B1 (en) 1998-04-17 2002-09-24 Intelect Communications, Inc. DSP intercommunication network
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6173419B1 (en) * 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6449283B1 (en) 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6092174A (en) 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
JP3123977B2 (en) 1998-06-04 2001-01-15 日本電気株式会社 Programmable function block
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
DE69803373T2 (en) 1998-07-06 2002-08-14 Hewlett Packard Co Wiring cells in logical fields
KR100385370B1 (en) 1998-07-21 2003-05-27 시게이트 테크놀로지 엘엘씨 Improved memory system apparatus and method
EP0974906A3 (en) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw Method for determining an optimized memory organization of a digital device
US6137307A (en) 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
SE516920C2 (en) 1998-08-04 2002-03-19 Kent Loerd Method of making label material
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
JP2000076066A (en) 1998-09-02 2000-03-14 Fujitsu Ltd Signal processing circuit
US7100026B2 (en) 2001-05-30 2006-08-29 The Massachusetts Institute Of Technology System and method for performing efficient conditional vector operations for data parallel architectures involving both input and conditional vector values
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
JP3551353B2 (en) 1998-10-02 2004-08-04 株式会社日立製作所 Data relocation method
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
EP1351154A2 (en) 1998-11-20 2003-10-08 Altera Corporation Reconfigurable programmable logic device computer system
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
JP2000181566A (en) 1998-12-14 2000-06-30 Mitsubishi Electric Corp Multiclock parallel processor
US6044030A (en) 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6757847B1 (en) 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
US6496902B1 (en) 1998-12-31 2002-12-17 Cray Inc. Vector and scalar data cache for a vector multiprocessor
JP3585800B2 (en) 1999-01-13 2004-11-04 株式会社東芝 Information processing equipment
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
DE10028397A1 (en) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registration method in operating a reconfigurable unit, involves evaluating acknowledgement signals of configurable cells with time offset to configuration
US6243808B1 (en) 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6512804B1 (en) * 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
GB9909196D0 (en) 1999-04-21 1999-06-16 Texas Instruments Ltd Transfer controller with hub and ports architecture
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
JP2000311156A (en) 1999-04-27 2000-11-07 Mitsubishi Electric Corp Reconfigurable parallel computer
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US7007096B1 (en) 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
US6337106B1 (en) * 1999-06-01 2002-01-08 Rohm And Haas Method of producing a two-pack fast-setting waterborne paint composition and the paint composition therefrom
DE19926538A1 (en) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware with decoupled configuration register partitions data flow or control flow graphs into time-separated sub-graphs and forms and implements them sequentially on a component
EP1061439A1 (en) 1999-06-15 2000-12-20 Hewlett-Packard Company Memory and instructions in computer architecture containing processor and coprocessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
US6347346B1 (en) 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
JP3420121B2 (en) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 Nonvolatile semiconductor memory device
GB2352548B (en) 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6349346B1 (en) 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (en) 1999-09-29 2001-04-12 Infineon Technologies Ag Reconfigurable gate array
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
JP2001167066A (en) 1999-12-08 2001-06-22 Nec Corp Inter-processor communication method and multiprocessor system
US6625654B1 (en) 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
EP1115204B1 (en) 2000-01-07 2009-04-22 Nippon Telegraph and Telephone Corporation Function reconfigurable semiconductor device and integrated circuit configuring the semiconductor device
JP2001202236A (en) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd Data processing method for programmable logic circuit device and the same device and information processing system and circuit reconstituting method for the same device
US6701431B2 (en) 2000-01-28 2004-03-02 Infineon Technologies Ag Method of generating a configuration for a configurable spread spectrum communication device
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US6519674B1 (en) 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
JP2001236221A (en) 2000-02-21 2001-08-31 Keisuke Shindo Pipe line parallel processor using multi-thread
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (en) 2000-02-25 2005-07-20 日本電気株式会社 Array type processor
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (en) 2000-03-14 2008-06-25 소니 가부시끼 가이샤 Transmission apparatus, reception apparatus, transmission method, reception method and recording medium
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6624819B1 (en) 2000-05-01 2003-09-23 Broadcom Corporation Method and system for providing a flexible and efficient processor for use in a graphics processing system
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US6725334B2 (en) 2000-06-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for exclusive two-level caching in a chip-multiprocessor
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
EP2226732A3 (en) 2000-06-13 2016-04-06 PACT XPP Technologies AG Cache hierarchy for a multicore processor
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
DE10036627A1 (en) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
DE10129237A1 (en) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Integrated cell matrix circuit has at least 2 different types of cells with interconnection terminals positioned to allow mixing of different cell types within matrix circuit
JP2002041489A (en) 2000-07-25 2002-02-08 Mitsubishi Electric Corp Synchronizing signal generation circuit, processor system using the same and synchronizing signal generating method
US6538468B1 (en) 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US6542844B1 (en) 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
AU2001283549A1 (en) 2000-08-07 2002-02-18 Altera Corporation Software-to-hardware compiler
EP1182559B1 (en) 2000-08-21 2009-01-21 Texas Instruments Incorporated Improved microprocessor
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
ATE437476T1 (en) 2000-10-06 2009-08-15 Pact Xpp Technologies Ag CELL ARRANGEMENT WITH SEGMENTED INTERCELL STRUCTURE
US6525678B1 (en) 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20040015899A1 (en) 2000-10-06 2004-01-22 Frank May Method for processing data
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
US6398383B1 (en) 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (en) 2000-12-06 2005-04-06 松下電器産業株式会社 Semiconductor integrated circuit
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
EP1346280A1 (en) 2000-12-20 2003-09-24 Koninklijke Philips Electronics N.V. Data processing device with a configurable functional unit
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6392912B1 (en) 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US20020099759A1 (en) 2001-01-24 2002-07-25 Gootherts Paul David Load balancer with starvation avoidance
US6847370B2 (en) 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7210129B2 (en) 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
US6836849B2 (en) 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
WO2002082267A1 (en) 2001-04-06 2002-10-17 Wind River Systems, Inc. Fpga coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6802026B1 (en) 2001-05-15 2004-10-05 Xilinx, Inc. Parameterizable and reconfigurable debugger core generators
US6976239B1 (en) 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
US7657877B2 (en) 2001-06-20 2010-02-02 Pact Xpp Technologies Ag Method for processing data
JP3580785B2 (en) 2001-06-29 2004-10-27 株式会社半導体理工学研究センター Look-up table, programmable logic circuit device having look-up table, and method of configuring look-up table
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7036114B2 (en) 2001-08-17 2006-04-25 Sun Microsystems, Inc. Method and apparatus for cycle-based computation
US6868476B2 (en) 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US6874108B1 (en) 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US20030056091A1 (en) 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US7472230B2 (en) 2001-09-14 2008-12-30 Hewlett-Packard Development Company, L.P. Preemptive write back controller
US20030055861A1 (en) 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US20030052711A1 (en) 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6854073B2 (en) 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6625631B2 (en) 2001-09-28 2003-09-23 Intel Corporation Component reduction in montgomery multiplier processing element
US7000161B1 (en) 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20060264508A1 (en) 2001-10-16 2006-11-23 Stone Richard A Modulation of ocular growth and myopia by gaba drugs
US20030123579A1 (en) 2001-11-16 2003-07-03 Saeid Safavi Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US20030154349A1 (en) 2002-01-24 2003-08-14 Berg Stefan G. Program-directed cache prefetching for media processors
DE20221985U1 (en) 2002-02-01 2010-03-04 Tridonicatco Gmbh & Co. Kg Electronic ballast for gas discharge lamp
US6476634B1 (en) 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
US6732354B2 (en) 2002-04-23 2004-05-04 Quicksilver Technology, Inc. Method, system and software for programming reconfigurable hardware
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US20070083730A1 (en) 2003-06-17 2007-04-12 Martin Vorbach Data processing device and method
WO2004021176A2 (en) 2002-08-07 2004-03-11 Pact Xpp Technologies Ag Method and device for processing data
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
US6976131B2 (en) * 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6931494B2 (en) 2002-09-09 2005-08-16 Broadcom Corporation System and method for directional prefetching
US6803787B1 (en) 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6802206B2 (en) 2002-10-11 2004-10-12 American Axle & Manufacturing, Inc. Torsional actuation NVH test method
US7873811B1 (en) 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US7412581B2 (en) 2003-10-28 2008-08-12 Renesas Technology America, Inc. Processor for virtual machines and method therefor
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
US7455450B2 (en) 2005-10-07 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for temperature sensing in integrated circuits
US7759968B1 (en) 2006-09-27 2010-07-20 Xilinx, Inc. Method of and system for verifying configuration data
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer
US20090193384A1 (en) 2008-01-25 2009-07-30 Mihai Sima Shift-enabled reconfigurable device
JP2010277303A (en) 2009-05-28 2010-12-09 Renesas Electronics Corp Semiconductor device and failure detection method

Also Published As

Publication number Publication date
US8195856B2 (en) 2012-06-05
US6119181A (en) 2000-09-12
ATE243868T1 (en) 2003-07-15
EP0951682B1 (en) 2003-06-25
US20100082863A1 (en) 2010-04-01
EP1361517A3 (en) 2005-06-08
DE59710354D1 (en) 2003-07-31
EP1361517A2 (en) 2003-11-12
US20100287318A1 (en) 2010-11-11
EP0951682A1 (en) 1999-10-27
US20080222329A1 (en) 2008-09-11
AU5748898A (en) 1998-07-17
DE19654595A1 (en) 1998-07-02
WO1998028697A1 (en) 1998-07-02
US7650448B2 (en) 2010-01-19
DE19781483D2 (en) 2000-01-05
JP2001506785A (en) 2001-05-22
US7899962B2 (en) 2011-03-01

Similar Documents

Publication Publication Date Title
CA2277134A1 (en) `o- and memory bus system for dfps as units with two- or multi-dimensionally programmable cell architectures
US6338106B1 (en) I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
JP4637123B2 (en) Data processing method and data processing unit, method for dynamic reconfiguration of configurable elements, system and process
US7028107B2 (en) Process for automatic dynamic reloading of data flow processors (DFPS) and units with two- or three- dimensional programmable cell architectures (FPGAS, DPGAS, and the like)
US8572297B2 (en) Programmable system-on-chip hub
EP1170669B1 (en) Arbitration method and circuit architecture therefor
US20150261474A1 (en) Methods and Systems for Transferring Data between a Processing Device and External Devices
US20040054864A1 (en) Memory controller
US9250908B2 (en) Multi-processor bus and cache interconnection system
GB2123189A (en) Communication between computers
CN100357870C (en) Method of proceeding access multikind storage on chip select outer unibus
US9141390B2 (en) Method of processing data with an array of data processors according to application ID
KR920010977B1 (en) Memory bus architecture
KR100261154B1 (en) Dma controller
JPH04157556A (en) Identification number attaching system
JPH1031648A (en) Dma controller
JPH06243090A (en) Priority order arbitration processor
JPH10173618A (en) Bus switch device and its transfer order converting method
HU195587B (en) Electronic apparatus with distributed intelligence
JPH09259030A (en) Multi-cpu device

Legal Events

Date Code Title Description
EEER Examination request
FZDE Discontinued