CN100430526C - Electropolishing and/or electroplating apparatus and methods - Google Patents

Electropolishing and/or electroplating apparatus and methods Download PDF

Info

Publication number
CN100430526C
CN100430526C CNB038102064A CN03810206A CN100430526C CN 100430526 C CN100430526 C CN 100430526C CN B038102064 A CNB038102064 A CN B038102064A CN 03810206 A CN03810206 A CN 03810206A CN 100430526 C CN100430526 C CN 100430526C
Authority
CN
China
Prior art keywords
wafer
assembly
module
exemplary
electropolishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038102064A
Other languages
Chinese (zh)
Other versions
CN1653211A (en
Inventor
王晖
沃哈·纳持
费利克斯·古特曼
穆罕默德·阿夫南
希曼舒·J·乔克什
马克·J·范柯克威科
戴蒙·L·克勒
佩奥尔·伊
麦·H·源
张如皋
弗雷德里克·霍
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Publication of CN1653211A publication Critical patent/CN1653211A/en
Application granted granted Critical
Publication of CN100430526C publication Critical patent/CN100430526C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Abstract

In one aspect of the present invention, exemplary apparatus and methods are provided for electropolishing and/or electroplating processes for semiconductor wafers. One exemplary apparatus includes a cleaning module having an edge clean assembly (930) to remove metal residue on the bevel or edge portion of a wafer (901). The edge cleaning apparatus includes a nozzle head (1030) configured to supply a liquid and a gas to a major surface of the wafer, and supplies the gas radially inward of the location the liquid is supplied to reduce the potential of the liquid from flowing radially inward to the metal film formed on the wafer.

Description

Electropolishing and/or electroplating device and method
Cross reference with related application
The application requires to enjoy the right of priority of the following U.S. Provisional Patent Application of formerly submitting to: submit on April 14th, 2002, name is called " electropolishing and/or electroplate the main frame of assembly " No. 60/372542; Submit on April 8th, 2002, name is called " end effector sealed structure " No. 60/379919; Submit on April 8th, 2002, name is called " method and apparatus that is used for clean wafers " No. 60/370955; Submit on April 14th, 2002, name is called " being used to carry out electropolishing and/or electric plating method and equipment " No. 60/372566; Submit on April 8th, 2002, name is called " method and apparatus that is used to carry liquid " No. 60/370956; Submit on April 8th, 2002, name is called " method and apparatus that is used for wafer is carried out leveling " No. 60/370929; Submit on April 14th, 2002, name be called " method and apparatus that metal level is carried out electropolishing on being used for substrate " the 60/372567th; Submit on June 21st, 2002, name is called " electroplating device " No. 60/390460, all these contents in first to file all are incorporated into herein as with reference to data.
Technical field
Present invention relates in general to semiconductor processing equipment and method, more specifically, the present invention relates to a kind of being used for the execution electropolishing of the conductive layer on the semiconducter device and/or galvanized electropolishing and/or electroplating device.
Background technology
Semiconducter device be utilize many different treatment steps built in or processing on semiconductor wafer, formed some transistors and interconnection element therefrom.For transistor terminals that will be relevant with this semiconductor wafer are connected with each other, need in dielectric materials, make (for example making) raceway groove, path or the similar structures of conduction with metal as the semiconducter device integral part on circuit.Raceway groove and path be transmission of electric signals and electric energy between the external circuit of the internal circuit of transistor, semiconducter device and semiconducter device.
In making the process of interconnection element, semiconductor wafer for example will stand mask, etching and deposition process, forms the required electronic circuit of semiconducter device thus.Especially, can carry out repeatedly in the next dielectric layer on semiconductor wafer of mask and etching step and make the Butut of being made up of sunk area, these sunk areas are as the raceway groove and the path that form the circuit interconnection line.Can carry out a deposition process then and come deposition one metal level on semiconductor wafer, thus in raceway groove and path all deposit metal, and on the non-sunk area of semiconductor wafer also deposit metal level.In order to keep apart each bar interconnection line-, the metal that is deposited on the non-sunk area of semiconductor wafer be removed for example through the raceway groove and the path of layout-design.
With being deposited on the ordinary method that the metallic membrane on the non-sunk area is got rid of on the semiconductor wafer dielectric layer for example is chemically machinery polished (CMP).In semi-conductor industry, the CMP method is known, and has obtained to use widely, and it is used to polish and polish raceway groove and the interior metal level of path, makes metal level concordant with the non-sunk area of dielectric layer, forms interconnection line thus.
But the CMP method is owing to relating to bigger mechanicals efforts, so can produce several respects disadvantageous effect to the semiconductor structure of bottom.By way of example, along with the geometrical dimension of line narrows down in 0.13 micron gradually, then very big difference can occur between the mechanical characteristics of the dielectric film of electro-conductive material and low k value, electro-conductive material wherein for example is the copper that is used in the technology of common Damascus (damascene).By way of example, the Young's modulus of low k value dielectric film may be less than 1/10th of copper Young's modulus.Therefore, except other problem, if in CMP technology, apply stronger mechanicals efforts to dielectric film and copper, then will on semiconductor structure, produce the defective relevant with stress, these defectives comprise that layering, dish are recessed, the perk of burn into film, scraping and similarly damaged.
Thereby people wish and can come metal level is carried out deposit and polishing with new treatment facility and technology.For example, can utilize electropolishing or electroplating technology that metal level is got rid of or is deposited on the wafer from wafer.Usually, in electropolishing or electroplating technology, be immersed in the electrolytic solution being performed polishing or galvanized part on the wafer, and apply electric charge to wafer.These conditions will make copper be deposited on the wafer or be removed from wafer, specifically be that the associated charge that is applied on the wafer is depended in deposit or removal.
Summary of the invention
One aspect of the present invention relates to a kind of exemplary equipment and method, and it is used for the conducting film on the wafer is carried out electropolishing and/or plating.The various devices that this exemplary equipment comprises multiple processing module and is provided with for the processing of carrying out disparate modules, processing module wherein for example is cleaning module, processing module and arranges module, and described various device for example is mechanical manipulator, end effector, liquid conveying system etc.
Another aspect of the present invention comprises plurality of devices and treatment process.Wherein a kind of exemplary equipment comprises a cleaning module, and it has a Waffer edge cleaning assembly, and it is used to remove the metal residue on wafer bevel edged surface or the major surfaces outer peripheral portion.The edge washing unit comprises an injector head, and it is designed to carry liquids and gases to the major surfaces of wafer.Nozzle is transported to liquid near in the outer peripheral zone of wafer major surfaces, and delivers gas to the position that is positioned at radially inner side with respect to liquid feed position.Thereby the design that gas is directed to the position that is positioned at liquid feed position radially inner side on the wafer surface can reduce liquid in the possibility that radially flows to the inside on the wafer on the metal level of making on the wafer.
After having read hereinafter detailed description considered in conjunction with the accompanying drawings and claims, can better understanding be arranged to the present invention.
Description of drawings
Fig. 1 has represented a kind of exemplary semiconductor processes assembly, and it is used to wafer is carried out electropolishing and/or plating;
Fig. 2 has represented a kind of mechanical manipulator that is used to transmit semiconductor wafer, and it has an exemplary end effector;
Fig. 3 is the vertical view of an end effector;
Fig. 4 A and Fig. 4 B are respectively a kind of vertical view and sectional view of exemplary end effector;
Fig. 5 is a kind of vertical view of exemplary end effector;
Fig. 6 is the vertical view of the exemplary end effector of another kind;
Vertical view among Fig. 7 has been represented a kind of exemplary end effector;
Fig. 8 is the side-view of an exemplary vacuum cup;
Fig. 9 A has represented a kind of purge chamber gas dome, exemplary module that has;
Fig. 9 B is the figure of local interior of purge chamber's module;
Fig. 9 C is the decomposition view of purge chamber's module, has expressed the detailed structure of relevant washing nozzle among the figure;
Figure 10 A and Figure 10 B are respectively a kind of top view and side-view of exemplary edge cleaning assembly;
Each view among Figure 11 A-Figure 11 H has been represented a kind of exemplary injector head, and it is comprised in the oblique angle face cleaning assembly, with as an one building block;
Figure 12 is the decomposition view of an exemplary chuck electric machine assembly, and this chuck electric machine assembly is used as the parts of purge chamber's module;
Decomposition view among Figure 13 has been represented purge chamber's window, and it is comprised in purge chamber's module;
Decomposition view among Figure 14 has been represented a kind of exemplary optical pickocff, and it is comprised in purge chamber's module;
Figure 15 has represented a kind of exemplary method, and this method is used to judge whether wafer is correctly being placed at chuck;
Figure 16 A-16C and Figure 17 A-17C have represented exemplary wafer cleaning process;
Decomposition view among Figure 18 has been represented a kind of exemplary Processing Room assembly;
Decomposition view among Figure 19 has been represented a kind of exemplary processing drive system, and it can be used in the Processing Room assembly shown in Figure 180;
Figure 20 has represented a kind of nozzle that energy strengthens element that has;
Decomposition view among Figure 21 has been represented a kind of exemplary electroplating device;
Figure 22 is the decomposition view of exemplary plating spray header assembly shown in Figure 21;
Decomposition view among Figure 23 has represented to be used for the exemplary plating spray header of 300mm wafer;
Decomposition view among Figure 24 has represented to be used for the exemplary plating spray header of 200mm wafer;
Figure 25 A-25E is each view that Figure 22 arrives spray header shown in Figure 24;
Figure 26 A and Figure 26 B are the vertical view and the sectional views of an exemplary leveling instrument and wafer chuck;
Figure 26 C is the sectional view of the illustrative sensors shown in Figure 26 A, the 26B; And
Synoptic diagram among Figure 27 has represented to be used for the software operation panel of leveling instrument.
Embodiment
In order to make the reader to the present invention more thorough understanding be arranged, following description has been enumerated material, parameter of various concrete details features-for example concrete etc.But should be noted that: to the description of details is not to be for scope of the present invention is made qualification, and just for exemplary embodiment is done better to describe.
I. exemplary electropolishing and/or plating assembly
A first aspect of the present invention comprises a kind of being used for semiconductor wafer execution electropolishing that handle, exemplary and/or plating assembly.In one example, a kind of equipment that is used to handle one or more pieces semiconductor wafers can comprise: one is used to store the module of wafer; The processing module of two or more vertically stacked, they are used for wafer is carried out electropolishing or plating; One cleaning module; An and mechanical manipulator (having mechanisms such as an end effector) that is used to transmit wafer.This equipment can be divided into two or more parts, and these parts are characterised in that to have independently framework.Generally speaking, mechanical manipulator stores wafer between module, processing module and the cleaning module at wafer and transmits, so that wafer is carried out required processing.In addition, as hereinafter will as described in, equipment also can comprise various other module and features, handles so that semiconductor wafer is carried out.
Decomposition view among Fig. 1 has been represented a kind of exemplary electropolishing and/or has been electroplated assembly 100.In this embodiment, assembly 100 comprises a main frame (backend machine " BE ") 108 and one front-end processor (interface of processing machine " FI ") 132; But, also assembly 100 can be divided into still less or more part.
BE108 can comprise an electric box assembly 102, cleaning discharge opeing/art breading vapor pipe 104, cleaning module assembly 106, exchange control unit 110, liquid conveying system (LDS) 112, gas control system (GCS) 114, treatment solution drain tube 116, pump and surge suppressor 118, cabin vapor pipe 120, processing liquid bath 122, liquid filter 124, liquid Sheng dish 126, two Sheng appearance zone 128 and processing module assembly 130.
FI132 can comprise position, the pre-school of wafer device 134, header board 136, lamppost 138, mechanical manipulator frame assemblies 140, mechanical manipulator controller 142, emergent standard tank (FOUP) 146 and fan filter unit 152 of shutting down (EMO) button 144, open front.
Assembly 100 can be split into two parts-be FI 132 and BE 108, so just can transport this two parts individually, and is assembled into a cover unit in the work point.In addition, in the process of carrying out transportation or safeguarding, for example mechanical manipulator frame assemblies 140 can be taken apart from FI132 and taken off, have mechanical arm assembly 147, dry end performer 148, green end performer 149 and mechanical manipulator controller 142 in this frame assemblies 140.Thereby assembly 100 can be realized modularization, perhaps can be divided into a plurality of parts, and this will help to carry out transportation, cleaning, maintaining etc.
As shown in Figure 1, FOUP 146 can comprise one or more tanks that are used to store wafer.Dry end performer 148 is transferred to wafer 150 in position, the pre-school of the wafer device 134 from arbitrary tank.Before green end performer 149 was taken wafer away and sent it in the processing module assembly 130, device 134 pairs of wafers 150 in position, the pre-school of wafer were arranged.Should be pointed out that also available other method and apparatus transmits wafer 150 between each module.
Processing module assembly 130 can comprise that one or more being used for carry out the electropolishing assembly screen work of electropolishing or be used for wafer is carried out galvanized plating assembly 131 wafer.Electropolishing assembly or plating assembly 131 can be folded buttress in vertical direction and get up, so that reduce the floor space of processing module assembly 130.Cleaning module assembly 106 can comprise purge chamber's module 107 of several screen works, and they are used for wafer is carried out cleaning.Similarly, purge chamber's module 107 also can be got up by vertically stacked.After wafer 150 had been performed electropolishing or has electroplated, green end performer 149 was transferred to wafer 150 in purge chamber's module 107.Dry end performer 148 takes out wafer 150 from purge chamber 107, and wafer 150 is returned in the tank among the FOUP 146.In general, from the tank of FOUP 146, taking out wafer 150 and be returned to wafer in the tank or when from purge chamber's module 107, taking out, use " doing " to hold performer 148.The end performer 149 that " wets " generally is used to and will takes out through the wafer after handling 150, and reason wherein is: may also have the processing debris on the wafer 150.Regulation only use the green end performer to take out to handle the design of back wafer can reduce dry end performer 148, green end performer 149 and the wafer in assembly 100, carrying and shift by two performer between the possibility of crossed contamination appears.
The PCT/US02/36567 PCT patent application of submitting on November 13rd, 2002, name is called " the electropolishing assembly and the method that are used for conductive layer is carried out electropolishing " discloses a kind of exemplary electropolishing assembly, it can be incorporated in the assembly 100, and the entity content of above-mentioned patent document can be integrated among the application data as a setting.
As shown in Figure 1, most of electric installation is encapsulated among the BE 108, and specifically, electric installation is encapsulated in electric box assembly 102 and the AC control unit 110, and LDS 112 and GCS114 also are set among the BE 108.
LDS 112 can comprise: be used to carry line of pipes and the various chemical substance and/or the electrolytic solution of DI water (deionized water), wherein, the composition of chemicals and electrolytic solution depends on the processing module that adopts in concrete application scenario and the assembly 100.GCS 114 also can comprise various control valves, transmitter and the line of pipes that is used to control and monitor various chemical substances and electrolytic solution conveying situation.
Pump and surge suppressor 118 are pumped into process liquid in the processing module assembly 130 from handle liquid bath 122.Liquid filter 124 can be set, so that before treatment liq arrives processing module assembly 130, it is filtered in line of pipes.After wafer 150 was processed, treatment liq can treated liquid drain tube 116 be discharged in the processing liquid bath 122.From processing module assembly 130 and cleaning module assembly 106 discharge any gas-for example the potential obnoxious flavour can be discharged through art breading vapor pipe 104.Cleaning discharge opeing/processing vapor pipe 104 also can be used to DI water or gas current drainage from cleaning module assembly 106 and go out.Cabin vapor pipe 120 can be used to discharge the gas that exists usually among the BE 108.FI 132 can comprise a fan/filter unit 152, and it is used for the uncontaminated air after FI 132 feeds are filtered.
BE 108 can comprise that also liquid Sheng dish 126 and twin-stage hold Sheng zone 128.Take place in handling liquid bath 122 under the situation of overflow or line of pipes generation leakage, liquid Sheng dish 126 is useful.Liquid Sheng dish 126 also can comprise the leak sensor that is used to detect leakage.Twin-stage holds Sheng zone 128 can hold the liquid that leaks out from the line of pipes that is completely cut off by outer tube.
Line of pipes, pump and surge suppressor 118, liquid filter 124, liquid Sheng dish 126 and twin-stage hold Sheng zone 128 and generally have acidproof, corrosion-resistant material.
BE 108, FI 132 and mechanical manipulator frame assemblies 140 can be made with stainless steel, and stainless steel wherein is preferably the stainless steel of 316 grades.Mechanical arm assembly 147 can be made by materials such as aluminium, stainless steels.If mechanical arm assembly 147 usefulness aluminium or other are easy to be subjected to the corrosive material and make, then can carry out anodic oxidation treatment or carry out plating the surface of aluminum part with materials such as Teflon, avoid corrosion to protect it.Cleaning module assembly 106 can be made with stainless steel, plastics, PVC, PVDF, urethane, Teflon etc., but preferably makes with the stainless steel of 316 grades.GCS 114 and liquid Sheng dish 126 can be made with plastic material, preferably make with non-flammable plastics.Liquid bath 122 is handled in material manufacturings such as available PVC, PVDF, Teflon, preferably makes with PVDF.But should be noted that: also can consider on BE 108 and/or FI 132, to use other suitable material or plating thing.
Be used for semiconductor wafer is carried out electropolishing or galvanized example process from wafer wherein being housed, being positioned at that the tank of FOUP 146 begins to carry out.Tank or the door that leads to tank are unlocked, and all terminal performer 148 are picked up wafer to allow mechanical arm assembly 147 can enter into wherein.Mechanical arm assembly 147 and dry end performer 148 are transferred to wafer 150 in position, the pre-school device 134, are convenient to have arranged wafer 150 carry out handle.After 134 pairs of wafers of position, the pre-school of wafer device 150 were carried out arrangement, mechanical arm assembly 147 utilized green end performer 149 that wafer 150 is picked up from position, the pre-school of wafer device 134, and wafer 150 is sent in electropolishing or the plating assembly 131, handled to carry out.
After electropolishing or electroplating processes were finished, mechanical arm assembly 147 utilized green end performer 149 to pick up wafer 150, and wafer is moved in purge chamber's module 107.After clean was finished, dry end performer 148 was picked up wafer 150, and wafer 150 is moved back in the tank among the FOUP 146, was beneficial to extract.
Comprise in the example process of a plurality of wafers and a plurality of electropolishing or plating assembly at another kind, can carry out above-mentioned exemplary process, also carry out similar step to second, third wafer such as grade simultaneously one first wafer.
To each building block of assembly 100 be described in more detail below.Although above at specific embodiment, example and application feature exemplary electropolishing and/or electroplating device are described, but it should be apparent that to those skilled in the art: without departing from the scope of the present invention, can make the change and the modification of various ways this.
II. end effector sealed structure
Aspect of relevant semiconductor subassembly, a kind of exemplary end effector apparatus and method have been described.In wafer manufacturing process, end effector is used always, and it for example is used to wafer is transferred to another module from a processing module, operation such as processes, cleans, stores to carry out.Exemplary end effector according to an embodiment comprises a vacuum cup sealed structure, and it is used for grasping securely and the transfer of semiconductor wafer.This exemplary end effector can be set in the semiconductor processes assembly, more specifically, can be set in the mechanical arm assembly of semiconductor subassembly.Exemplary end effector can grasp the surface of semiconductor wafer more securely, again wafer is transferred to its purpose more accurately, reliably conversely and is located in.
Fig. 2 has represented a kind of exemplary mechanical hand assembly that is used for shifting in processing components semiconductor wafer, and mechanical arm assembly comprises that link to each other with mechanical manipulator, exemplary end effector 206, and it is used for grasping and transferring plates 216.End effector 206 forms a vacuum at its downside, is used for wafer 216 absorption thereon, so that it is transferred to other module from a module.End effector 206 can or increase air pressure so that gravity surpasses the suction of sealing member by the elimination vacuum, and then puts down wafer or discharge wafer 216, so just wafer 216 is discharged from end effector 206.In addition, the also available pressure less than environmental stress of end effector 206 is keeping the downside of wafer 216, to keep wafer 216, makes it can resist effects such as the vibrations that occur in the transfer process and acceleration.
Fig. 3 has represented a side of an exemplary end effector 306 in more detail.As shown in Figure 3, end effector 306 is subjected to a vacuum valve 322 control ground connecting a vacuum source, and is subjected to a nitrogen valve 320 control ground connecting a pressure nitrogen gas source.When vacuum valve 322 was unlocked, vacuum source was communicated with end effector 306, and this will reduce the pressure in the vacuum cup 302, so that wafer 216 is remained on the end effector 306.If vacuum valve 322 is closed and nitrogen valve 320 is unlocked, then because the pressure in the vacuum cup 302 increases, end effector 306 just discharges wafer 216 from vacuum cup 302.
Be understood that: do not need to reach perfect vacuum or approach perfect vacuum; As long as it is just passable that pressure is reduced to maintenance such as the effect that is enough to overcome the vibrations that occur in gravity and the transfer process, acceleration and is fixing wafer 216 with respect to environmental stress.In addition, also available gases such as the air of other gas-for example except that nitrogen are supplied gas so that when discharging wafer pressurize.
When wafer be not held or shift, nitrogen valve 320 can be placed opened condition, so that by the pressure in the vacuum cup 302 is remained near environmental stress or greater than environmental stress, and blow away particle and/or prevent that materials such as acid from entering into the vacuum-lines of vacuum cup 302 or end effector 306.
Fig. 4 A and Fig. 4 B are respectively a kind of vertical view and sectional views of exemplary end effector 406, and this end effector 406 comprises vacuum cup 402, mushroom cap body 404, groove 405, cut part 408 (for alleviating the weight of end effector), vacuum tunnel 412 and screw 416 (being used to be connected to devices such as mechanical manipulator).The structure of end effector 406 always can comprise any suitable material, for example stainless steel, aluminium, various alloy or metal, pottery, plastics etc.
Shown in Fig. 3 and Fig. 4 A, a vacuum source is by vacuum tunnel 412 and eyelet 414 intake-gas, and eyelet wherein is positioned on the major opposing side of end effector 406, and near its far-end.Vacuum tunnel 412 can be made as one or be formed in (such as shown in the figure) in the end effector 406, perhaps also can be arranged to pass an individual passage near end effector 406, this individual passage for example be positioned at end effector 406 opposite surfaces on.
Vacuum or negative pressure that utilization forms in vacuum tunnel 412, be positioned near the end effector 406 wafers and will be attracted and fitted on the vacuum cup 402, thus provisional sealing of formation between the wafer major surfaces that faces mutually and end effector 406 vacuum cup 402.Vacuum cup 402 can be any suitable shape, for example is ellipse, Long Circle, square etc.Vacuum cup 402 covers cover on the edge of mushroom cap body 404, and extend beyond the surface of end effector 406.Vacuum cup 402 can be made by synthetic rubber, silicon rubber or other suitable material, and these materials generally are flexible or suitable subsides property, so that can form provisional sealing with wafer, and can not cause damages such as scuffing or cracking to wafer.
Shown in Fig. 4 A-4B, traverse mushroom cap body 404 and make a shallow slot 405, be used to increase retentivity to vacuum, for example, it can prevent that wafer 416 from stopping up eyelet 414 live.Shallow slot 405 has been divided into two semicircles with the end face of mushroom cap body 404.Shallow slot 405 also can be made into the shape of spider line, square, circular or shape that other is suitable, improving the suction and the vacuum tightness of end effector 406, and reduces the blocked possibility of eyelet 414.Can use with metal of end effector 406 materials similar-for example or plastics and make mushroom cap body 404.In one example, the height of the height of mushroom cap body 404 and end effector 406 similar (seeing Fig. 4 B), thus when wafer was picked up by vacuum cup 402, wafer was understood tension power effect and is fitted on the far-end of mushroom cap body 404.
Fig. 8 is a kind of sectional view of vacuum cup, and this vacuum cup can be set in the exemplary end effector.As shown in Figure 8, vacuum cup is built in lip-deep cavity of end effector generally, and this cavity comprises a bottom 818 and basically with the sidewall 820 of the oblique extension of angle [alpha].Angle [alpha] can change between the 0-180 degree, and concrete angle depends on specific application scenario, but preferably 5 to 50 the degree between, more preferably about 30 the degree.The sidewall 820 extensible surfaces that exceed end effector, and highly be H, so that can fit on the wafer, and form a sealed structure with wafer.Referring to Fig. 4 A, 4B and Fig. 8, end effector 406 will be arranged such that wafer 416 can be extracted out and touch with the side edge of sidewall 820 through vacuum tunnel 410 from eyelet 414 along with gas in addition.Vacuum cup 402 is utilized the vacuum that forms in its inner chamber and is aspirated, keeping wafer 416.Formed pressure difference will form an enough big reactive force, be used to keep the holding force on the wafer 416, make it greater than the gravity on the wafer.In order to remove the clamping action of 406 pairs of wafers 1016 of end effector, can to improve the pressure in the eyelet 414, holding force be become less than gravity through vacuum tunnel 410 and eyelet 414 delivering gas gases such as (for example) nitrogen.
Vertical view among Fig. 5 has been represented another kind of exemplary end effector 506.End effector 506 shown in Figure 5 is similar with the performer shown in Fig. 3,4A, Fig. 4 B, and difference is that end effector 506 comprises three eyelets 514 and three vacuum cup 502.Eyelet 514 and vacuum cup 502 can be disposed in each position on the end effector 506, and this will depend on the design of end effector 506 and specific application scenario.In addition, the shape of end effector can be any suitable shape, for example can be for the shape of a hoof, rectangle, circle, have the fork-shapeds of one or more fork strand etc.
Vertical view among Fig. 6 has been represented another kind of exemplary end effector 606.End effector 606 is similar with the performer shown in Fig. 4 A and Fig. 4 B, difference is that it is five vacuum cup 602 in this example that end effector 606 has a plurality of vacuum cup 602-, and each inhales the mushroom cap body 604 that bowl all comprises elongated (promptly non-circular).In addition, each end effector 606 adopts common vacuum tunnels, and this vacuum tunnel present position is near eyelet 614, and this is opposite with situation among Fig. 5, performer shown in Figure 5 comprises many vacuum tunnels, and these vacuum tunnel branches get out and extend to each eyelet 514 independently.
Vertical view among Fig. 7 has been represented another kind of exemplary end effector 706.End effector 706 shown in Figure 7 is similar to the end effector shown in Fig. 3 A and Fig. 3 B, and difference is to have a plurality of eyelets 714 in the vacuum cup 702.Vacuum cup 702 in this example be shaped as the shape of a hoof, but function and vacuum cup 402 are similar, it comprises a plurality of and mushroom cap body 604 similar elongate cap bodies 704.
Although above at specific example and application scenario exemplary end effector sealed structure is described, those skilled in the art can recognize: without departing from the scope of the present invention, can make the change and the modification of various ways.For example, can consider to adopt the whole bag of tricks in vacuum cup, to form vacuum, and can consider vacuum cup and mushroom cap body are designed to various other shape and structures, so that when picking up, form sealed structure with transferring plates.
III. be used for wafer is carried out the method and apparatus that cleans
As an illustrative aspects of semiconductor processes assembly, will be described a kind of exemplary wafer cleaning method and device below.This exemplary wafer cleaning method and device can be disposed chip or the particle on the wafer before carrying out electropolishing or electroplating process, and can wash the treatment solution on the wafer after executing electropolishing or plating step.For example, after the electropolishing processing execution, may have the copper residue on the outer regions of wafer major surfaces or edge (being commonly referred to " face zone, oblique angle ").Hope can under the condition of not damaging metallic film on the wafer interior region on the outer regions etch go these copper residue and clean wafers.Thereby on the one hand, cleaning module comprises an edge cleaning assembly, is used to remove the metal residue on wafer outer peripheral portion or the edge section.This edge cleaning assembly comprises an injector head, and it is designed to carry liquids and gases to the major surfaces of wafer.Nozzle is transported to liquid on the fringe region, but delivers gas on the interior region at edge, thereby so that reduces liquid radially flows to metallic membrane to the inside on wafer possibility.
Each view among Fig. 9 A-9C has been represented a kind of exemplary purge chamber module that is used for clean wafers.Shown in Fig. 9 A-9C, this exemplary purge chamber's module can comprise a gas dome 902, purge chamber's window 904, round barrel cover 906, leak sensor 908, drip dish drain tube 910, base portion piece 912, drip dish clip 914, drip dish 916, floor chamber 918, the otch 920 that is provided with for the wiring of chuck electric machine assembly, two DI water spouting nozzles 922 (being positioned at rear side) and 926 (being positioned at upside), two nitrogen nozzles 924 (being positioned at rear side) and 928 (being positioned at upside), edge cleaning assembly 930, optical pickocff 932, be the set nozzle 934 of the chemical of wafer front side, chuck 936, current drainage plate 938, top chamber 940, exhaust and liquid discharge pipe 942, nitrogen pipeline 944, the edge cleans and covers 946, be the set nozzle 948 of the chemical of chip back surface side, and chuck electric machine assembly 950.Except a nozzle 934 that is provided with for chemical, purge chamber's module also can be provided with one or more nozzles at chemical.
Wafer 901 can be navigated in the purge chamber by mechanisms such as end effectors 903.Be suitable for carrying out clean if determine the position of wafer 901 on chuck 936, then chuck electric machine assembly 950 just makes chuck 936 and wafer 901 around rotating with the vertical axis of wafer major surfaces.Along with chuck 936 and wafer 901 rotate with the rotating speed that is approximately 30rmp, DI water spouting nozzle 922 and 926 can be carried the DI current to the upper surface and the back surface of wafer 901.The DI current can flow through the edge of wafer 901 and flow to the sidewall of purge chamber, and are discharged in exhaust/drain tube 942 through current drainage plate 938.For DI water is got rid of from dried wafer 901, chuck electric machine assembly 950 can increase to 2000rpm ± 1000rpm with rotating speed.Then, nitrogen nozzle 924 and 928 can be to the upside and the rear side delivery of nitrogen air-flow (or other suitable gas) of wafer 901, so that dispose the DI water on wafer 901 upsides and the rear side further.
After wafer 901 had stopped operating through flushing and dry and chuck electric machine assembly 950, edge cleaning assembly 930 slides into carried out the position of cleaning to the edge.Figure 10 A-Figure 10 B has represented a kind of exemplary edge cleaning assembly 930,, it can comprise DI water pipe 1006, the body of rod 1010, adaptive union lever 1008, support 1012, screw 1014, air table displacement cylinder 1016, adjust screw 1018, flow regulator 1020, compressed air pipe 1022, body of rod folder 1024, acid solution pipe 1026, nitrogen tube 1028, injector head 1030, body of rod scraper 1032, nitrogen nozzle 1034 and liquid jet 1036.By increasing or removing adaptive union lever 1008, the length adjustment of edge cleaning assembly 930 can be become be suitable for the wafer of 200mm wafer, 300mm wafer and other size.In the scope of 10mm, liquid jet 1036 can be positioned in the top of fringe region 1004 at 0.1mm in gap between wafer 901 upper surfaces and the nitrogen nozzle 1034.
Figure 11 A-11C be respectively in the edge cleaning assembly with vertical view, side-view and the front view of exemplary nozzle 1030.Shown in Figure 11 A-11C, nitrogen nozzle 1034 forms a nitrogen air curtain 1102 in the position near wafer 901 edges.In an exemplary edge cleaning process, wafer 901 can rotate-be preferably 200rpm according to the speed of about 50~500rpm.Liquid jet 1036 is carried chemical liquids stream to the outside of wafer 901 major surfaces or fringe region 1004, thereby forms the thin film layer of the about 10mm of width.This chemical liquids can be got rid of metal level or metal residue, but this chemical also can spread by way of parenthesis to the centre of wafer 901, and this will have a negative impact to metal level.Can adopt number of chemical liquid to come the metal residue on the fringe region 1004 is carried out etching.For example, but working concentration is 10% H 4SO 4With 20% H 2O 2Come the copper metal on the fringe region 1004 is carried out etching.In addition, in order to improve rate of etch, chemical solution can be heated to 25 ℃ to 80 ℃.
In order to reduce the possibility that chemical liquids is expanded to the inside from the edge, nitrogen nozzle 1034 is with gas stream-for example the place, inside edge of fringe region 1004 is carried or be directed to nitrogen gas stream, forming nitrogen air curtain 1102 herein, to prevent or to alleviate the diffusion of chemical liquids at least to wafer 901 centers.After edge region 1004 was cleaned, liquid jet 1036 can be carried the hydrofluidic of being made up of DI water 1104, so that dilution and/or rinse out the chemical liquids that is positioned at fringe region 1004 on the wafer 901.In addition, in one example, after having finished the edge cleaning process, can utilize DI water spouting nozzle 922 and 926 to carry out an other DI water rinse step, in order to the upper surface and the rear side of clean wafers 901.
After the edge cleaning process was finished, chuck electric machine assembly 950 can stop to drive chuck 936 and wafer 901, and edge cleaning assembly 930 can slip back to a rest position from the edge cleaning positions.
Figure 11 D-Figure 11 E is each view of another kind of exemplary nozzle 1030.Example class is seemingly distinguished and only is that nitrogen nozzle 1034 has certain 1034h of horizontal-extending portion among example among Figure 11 D-Figure 11 E and Figure 11 A-11C, and this extension extends outward from nozzle.The 1034h of horizontal-extending portion can form a nitrogen air curtain 3002, and this air curtain can prevent effectively that the chemical liquids of edge nozzle 1036 ejections is to the expansion of the centre of wafer 901.Distance between 1034h of horizontal-extending portion and the wafer 901 preferably in 0.1mm arrives the scope of 3.0mm, more preferably is about 1.5mm.
Each view among Figure 11 F-11G has been represented another kind of exemplary injector head 1030.Except the 1034h of horizontal-extending portion is from the both sides extension of nozzle bottom, the example all fours shown in the example shown in Figure 11 F-11G and Figure 11 D-11E.
Figure 11 H has represented another kind of exemplary injector head 1030.Example class shown in example shown in Figure 11 H and Figure 11 A-11C is seemingly distinguished and only is that it has two liquid jets 1036, and one of them is used to spray chemical liquids, and another is used to spray DI water.For example carrying out with DI water in the process of flushing, dividing the nozzle that is arranged to have better properties.
Figure 12 has represented a kind of exemplary chuck electric machine assembly 950, and it can be used in the wafer cleaner.In this example, chuck electric machine assembly 950 comprises chuck 936, goes up motor plate 1202, optical sensor 1204, axle sleeve 1206, motor 1208, mark 1210, packing ring 1212, centrifugal block axis 1214, centrifugal 1216 and blocking 1218.
Refer again to Fig. 9 A, 9B and 10A, for wafer 901 is placed on the chuck 936, end effector 903 is picked up wafer 901 from treatment chamber or pre-position, school device (see figure 1), and wafer is sent in purge chamber's module through purge chamber's window 904, cleans so that carry out.Figure 13 has represented a kind of exemplary purge chamber's window 904, and it comprises inner panel 1302, outside plate 1304, support 1306, flow director 1308, displacement cylinder 1310, action cylinder cap 906 and limit sensors 1312.End effector 903 is encased in wafer 901 in the chuck 936.Displacement cylinder 1310 outside plate 1304 that can raise, and sealing purge chamber window 904 are to begin to carry out the wafer clean.
As shown in figure 12, exemplary chuck 936 comprises pedestal 1220 and three steady arms 1222.Chuck 936 can be transformed into the wafer that is suitable for 200mm specification wafer, 300 specification wafers or other dimensions.After end effector 903 was installed to wafer 901 on the chuck 936, wafer 901 just had been positioned on the chuck 936 by three steady arms 1222.Refer again to Fig. 9 A-9C, optical pickocff 932 can detect the position of wafer 901 in chuck 936.As shown in figure 15, in order to check the positioning error of wafer, optical pickocff 932 is to the upper surface emission light beam of wafer 901.If end effector 903 is positioned at wafer 901 on the end face of steady arm 1222, light beam reflected back reflective sensor 932 fully then.Along with the rotation of chuck 936, the reflectivity of light beam also can correspondingly change.In addition, because the distance between wafer 901 and the reflective sensor 932 can change, so can utilize the difference of luminous reflectance factor and variation to judge whether wafer 901 accurately is arranged on chuck 936 and three steady arms 1222.In one example, if wafer 901 accurately is positioned on the chuck 936 by three steady arms 1222, then in the chuck rotary course, detected reflectivity about 70%~75%.But if the location of wafer 901 and out of true, then detected reflectivity is between 30% to 60%.When chuck 936 high speed rotating, the wafer of malposition may fly out from chuck 936, and this will cause wafer 901 to destroy the interior arrangement of purge chamber's module.
Figure 14 has represented a kind of exemplary optical pickocff 932, and it can comprise an assembling pipe 1402, one assembling O type circle 1404, reflective sensor 1406, retainer 1408, the logical O type circle 1410 of dimension and keep flange 1412.Should be noted that: also can adopt other suitable optical pickocff to judge whether wafer is correct with respect to the position of chuck 936.In other example, can use non-optical sensor to measure the surface of wafer, to replace optical pickocff 932, other transmitter for example is proximity transducer, current vortex sensor, acoustic sensor etc.
For prevent wafer 901 in processes such as drying cycle owing to being subjected to relatively large centrifugal action to produce mobile and then from chuck 936, screwing out, can on chuck steady arm 1222, be provided with centrifugal 1216.Can comprise that one overweights the lower element (being weight) on top for centrifugal 1216, this weight is close to centrifugal block axis 1214.When the rotating speed of chuck 936 surpasses 1000rpm or when higher, centrifugal force will make the outside rotation of weight on centrifugal 1216.Therefore, centrifugal 1216 top can be moved to the inside, thus wafer 901 is firmly held on the chuck 936.The parameters such as weight, length that can change steady arm 1222 and centrifugal 1216 change that steady arm 1222 begins to move and rotating speed when fixing wafer.When chuck electric machine assembly 950 slows down or stops, centrifugal 1216 will reduce or vanishing returns to erectility owing to centrifugal force.In order to fasten wafer, the speed of rotation of chuck is set in the scope of about 200~3000rpm, is preferably 2000rpm.
Figure 16 A-16C has represented a kind of exemplary chip back surface side cleaning process, and has expressed the position of wafer with respect to steady arm 1222 and chip back surface side chemical liquids nozzle 948.In an exemplary chip back surface side cleaning process, motor 1208 makes chuck 936 that rotations take place, and to align chip back surface side chemical liquids nozzle, makes chemical liquids can be transported on the rear side of wafer 901, and can not splash on the chip positioner 1222.The chemical liquids that contacts with chip positioner 1222 can splash on the end face of wafer 901, and end face is caused chemical corrosion, and this will cause damage to structure and the device of making on the wafer 901.Rear side chemical liquids nozzle 948 can be disposed between two steady arms 1222, and angle beta and-β between rotation.Shown in Figure 16 A-16C, by with rear side chemical liquids nozzle 948 angle γ and-move so that the sensing of rear side chemical liquids nozzle 948 departs from the center between the γ, just can make rear side chemical liquids nozzle to the coverage of wafer 901 exceed angle beta and-interval between the β.
The chemical liquids of being carried by chemical liquids nozzle 948 will arrive on the back side of wafer 901, and scavenging period is preferably 10 seconds in the 5-100 scope of second.Each 1/3rd area to wafer 901 rear side repeats this cleaning process then.
Figure 17 A-17C has represented another kind of exemplary rear side wafer cleaning process.This purging method is with similar above with reference to the described process of Figure 16 A-16C, difference is that chuck 936 is to rotate continuously, but 948 at rear side chemical liquids nozzle is pulse or timing working, opens in the time of promptly between steady arm 1222, then closes when pointing to steady arm 1222.Similar with the situation shown in Figure 16 A-16C, rear side chemical liquids nozzle 948 can be in treating processes rotation ± γ.Shown in Figure 17 B and 17C, along with chuck 936 rotates in the counterclockwise direction, rear side chemical liquids nozzle 948 injects liquid on the wafer, up to reaching angle [alpha] 1In time, close.In angle is α 2The time, liquid is ejected on the rear side of wafer once more.
In another example, on clean wafers 901 rear side with steady arm 1222 contacted positions, can make motor 1208 produce a rotation, so that wafer 901 moves apart original position with sufficiently high rotation acceleration.Thereby the chemical liquids of being carried by chip back surface side chemical liquids nozzle 948 just can touch original and steady arm 1222 contacted positions on wafer 901 rear side.After the whole surface of having cleaned wafer 901 rear side, DI water spouting nozzle 922 will begin to carry the DI current, to rinse out the chemical liquids on wafer 901 rear side.
Can carry out a last clean cycle to wafer 901.Along with chuck 936 and the rotating speed rotation of wafer 901 with about 30rpm, DI water spouting nozzle 922 and 926 can be ejected into the DI current on the end face and rear side of wafer 901 simultaneously.In order to get rid of DI water on the wafer 901, dry so that it is carried out, the rotating speed of chuck can be added to 2000rpm ± 1000rpm.Then, by nitrogen nozzle 924 and 928 end face and rear side delivery of nitrogen air-flows, in order to the DI moisture film is blown away from the end face and the back side of wafer 901 to wafer 901.
Under the enlightenment of above exemplary apparatus and method being done to describe, can carry out exemplary purging method and process according to following step.
The initial cleaning:
Chuck is resetted;
B. open outside plate 1302;
C. wafer 901 is placed on the chuck 936;
D. close outside plate 1302.
The positive cleaning:
E. with rotating speed (the be preferably 50rpm) rotational chuck 936 of 10rpm to 100rpm;
F. carry DI water by DI water spouting nozzle (top jet nozzle) 926 to the front of wafer 901;
G.DI water spouting nozzle (top jet nozzle) 926 stops to carry DI water, then the rotating speed of chuck is increased to 1000rpm~2000rpm-and is preferably 2000rpm.
H. by nitrogen nozzle (top jet nozzle) 928 supplying nitrogens, to dry up the end face of wafer 901;
I. stop supplies nitrogen gas stream, and chuck is stopped operating.
The edge cleans:
J. pass through to air actuated cylinder 1016 transmitting powers, and edge cleaning assembly 930 is moved to the edge cleaning positions from its rest position;
K. the rotating speed with 100rpm~500rpm (being preferably 350rpm) rotates wafer 901, through nitrogen tube 1028, by nitrogen nozzle 1034 supplying nitrogens;
L. through acid solution pipe 1026, clean chemical liquids by liquid jet 1036 delivery edge;
M. after the metal on etching away fringe region 1004, stop delivery edge and clean chemical liquids;
N. through DI water pipe 2006, carry the DI water by liquid jet 1036;
O. after the chemical liquids on rinsing out fringe region 1004, stop current for DI;
P. through nitrogen tube 1028, by nitrogen nozzle 1034 supplying nitrogens;
Q. stop the rotation of chuck, and edge cleaning assembly 930 is moved back into rest position.
The cleaning of rear side:
R. chuck 936 is moved to the position of carrying out rear side and cleaning-be chip back surface side chemical liquids nozzle 948 and the mutually isochronous position of the distance of two adjacent steady arms 1222.Motor 1208 begins to make chuck 936 round 948 rotations of chip back surface side chemical liquids nozzle.The rotation angle should be less than 45 ° ± 5 °.Then, chip back surface side chemical liquids nozzle 948 is carried chemical liquids to the rear side of wafer 901;
S. to second, third sector repeated execution of steps r of wafer 901.As alternatives, wafer 901 is rotated in one direction continuously, rear side chemical liquids nozzle 948 is worked pulsedly, to avoid steady arm 1222.
Transposition is cleaned
T. in the twirl process, change the position of wafer 901 by utilizing higher acceleration;
U. repeating step s;
V. to second three on the wafer 901/a part of repeated execution of steps s~u;
W. for last the three/a part of repeated execution of steps s~u on the wafer 901;
X. carry DI water by DI water spouting nozzle (rear side nozzle) 922 to the rear side of wafer 901, and carry DI water to the front of wafer 901, and wafer is with the rotational speed of about 50rpm by DI water spouting nozzle (end face nozzle) 926.
Y. stop to carry the DI current.With rotating speed (the being preferably 2000rpm) rotational chuck 936 of about 1000~3000rpm, then, to the front and back side supplying nitrogen of wafer 901.
Z. stop to chuck 936 supplying nitrogens, and it is stopped operating.Open purge chamber's window 904 by utilizing displacement cylinder 1310 to reduce outside plate 1304.Then, end effector 903 is picked up wafer 901, and described wafer is shifted to store the tank (not shown).
Above-mentioned process prescription a kind of exemplary wafer cleaning method, but this description is not to be in order to limit.Other all respects according to the present invention exist multiple alternative methods to come wafer 901 is cleaned.For example, second kind of exemplary wafer cleaning method comprise in turn above-mentioned steps a to d, afterwards edge cleaning step j to q and last step e to i, wherein, last step is utilized the rear side of DI water and nitrogen purge and drying crystal wafer.
Another kind of exemplary method comprises step: the above-mentioned steps a in turn that is used to start cleaning process to d, subsequently edge cleaning step j to q, then the step r of usefulness chemical liquids clean wafers rear side is to s; The step e that utilizes DI water and nitrogen purge and drying crystal wafer front to i and with the step t of DI water and nitrogen purge/high and dry chip back surface side to z.In addition, in the process of carrying out the rear side cleaning, can carry DI water to the end face of wafer, the end face with the protection wafer make it avoid the influence of employed various chemical liquids in the rear side etching process.Thereby, those skilled in the art be it should be apparent that: utilize exemplary equipment of the present invention and method, can consider to adopt kinds of processes to come semiconductor wafer is carried out cleaning.
Although above at specific embodiment, example and application conditions equipment and the method that is used for clean wafers is described, but those skilled in the art can clearly realize that: without departing from the scope of the present invention, have multiple remodeling and change form.
IV. treatment chamber
As the semiconductor processes assembly on the other hand, be provided with a kind of treatment chamber, be used for semiconductor wafer is carried out electropolishing and/or plating.This exemplary treatment chamber is that exchange is general for various electropolishing equipment and electroplating device.
In a kind of exemplary technology,, wafer is rotated a kind of treatment solution stream being drained on the wafer major surfaces in the smaller portions.One devices such as nozzle that are used to guide fluid stream are along a linear direction translation that is parallel to the wafer major surfaces, and for example the inner radius from wafer moves to outer radius.In order improving metal layer on wafer carry out to be electroplated or homogeneity during electropolishing, can be changed the speed of rotation of wafer, have the constant linear velocity so that wafer surface is gone into jet with respect to fluidic.In addition, the various illustrative methods that are used for determining film-type exterior feature and electropolishing or electroplating technology hereinafter will be described.
Decomposition view among Figure 18 has been represented the exemplary process chamber component according to an embodiment.Exemplary chamber component can comprise dynamic guard shield 1802, magnetic coupler 1804, axostylus axostyle 1806, be used to install the support 1808 of axostylus axostyle, splasher 1810, pipe 1812, treatment chamber Sheng dish 1814, floor chamber 1816, path 1818 for the optical pickocff setting, blocking 1820, treatment chamber 1822, house steward 1824, nozzle plate 1826, endpoint detector 1828, nozzle body 1830, side plate 1832, treatment chamber window 1834, lune chamber 1836, gate-type anchor clamps 1838, and window performance cylinder 1840.
This exemplary treatment chamber all is same being suitable for to carrying out electropolishing and/or electroplating, but hereinafter will be described with reference to the situation of electropolishing basically.When utilizing the present invention to carry out electropolishing, in the electropolishing process, use nozzle body 1830, nozzle plate 1826, house steward 1824 and dynamic guard shield 1802 possibly.As alternatives, also available concentric-ring pattern electropolishing equipment replaces these devices.In two following patent documents, disclose a kind of exemplary concentric-ring pattern electropolishing equipment, these two patent documents all are incorporated among the application on the whole as with reference to data, two patent documents are respectively: No. 6395152 United States Patent (USP) of submitting on July 2nd, 1999, and its name is called " method and apparatus that is used for metal interconnecting wires on the semiconducter device is carried out electropolishing "; No. 6440295 United States Patent (USP) of submitting on February 4th, 2000, its name is called " method and apparatus that is used for metal interconnecting wires on the semiconducter device is carried out electropolishing ".In addition, in three following patent documents exemplary electropolishing and electro-plating method are described, these three patent documents are incorporated among the application on the whole as with reference to data, and these three files are: submit on November 13rd, 2002, name is called the PCT/US02/36567 PCT patent application of " the electropolishing assembly that is used for conductive layer is carried out electropolishing "; Submit on January 15th, 1999, name is called No. 6391166 United States Patent (USP) of " electroplating device and method "; And submit on August 7th, 1999, name is called the PCT/US99/15506 PCT patent application of " method and apparatus that metal connecting line is carried out electropolishing on being used for semiconducter device ".
In addition, No. 6447668 United States Patent (USP) of submitting on September 10th, 2002, name is called " method and apparatus that is used to carry out end point determination " described a kind of exemplary endpoint detector and method.This patent document is incorporated among the application on the whole as with reference to data.
As shown in figure 19, the power-driven system that can be set in the chamber component can comprise x axle marker 1902, x axle driven unit 1904, unitor 1906, motor 1908, the axial mounting bracket 1910 of z, the θ angle drives belt and belt wheel 1912, y direction of principal axis θ corner reflection transmitter 1914, x axle sensor 1916, θ angle fabricated section 1918, z shaft universal-joint 1920, the z axle drives platform assembly 1922, support 1924 for the setting of z direction motion fabricated section, θ angle motor 1926, θ angle driving pulley 1928, chuck assembly 1930, back shroud assembly 1932, x axis linear bearing 1934, the wing adjustment screw 1936 of y axle, z axillare 1938, top cover 1940, z axis linear bearing 1942, axostylus axostyle 1944, x axle magnet 1946, magnetic trip plate 1948, y shaft platform 1950, magnet 1952, and the support 1954 that is provided with for the magnet fabricated section.
A kind of exemplary chuck assembly has for example just been described in following patent document: submit on September 7th, 1999, name is called the 6248222B1 United States Patent (USP) of " it being kept and localized method and apparatus in that semiconductor workpiece is carried out in electropolishing and/or the electroplating process "; Submit to March 7 calendar year 2001, name is called the 09/800990th (sequence number) United States Patent (USP) of " it being kept and localized method and apparatus in that semiconductor workpiece is carried out in electropolishing and/or the electroplating process "; And submit to May 21 calendar year 2001, name is called No. 09/856855 (sequence number) United States Patent (USP) of " it being kept and localized method and apparatus in that semiconductor workpiece is carried out in electropolishing and/or the electroplating process ", above-mentioned three patent documents all are incorporated among the application on the whole as with reference to data.
As shown in figure 18, treatment chamber 1822 can comprise a dynamic guard shield 1802, and it can be used for containing treatment solution or electrolyte flow in the process chamber area content along with chuck assembly 1930 and splasher 1810 translations.One optical sensor cable can pass the path 1818 that is provided with into optical pickocff, endpoint detector 1828 or other device, and other device wherein for example is to be used to detect the transmitter whether floor chamber 1816 or treatment chamber Sheng dish 1814 leak.Additional blocking 1820 can be used to form other path.
Exemplary apparatus shown in Figure 18,19 comprises and is used for the magnet 1952 that is connected with x axle magnet mounting plate 1946.Chuck assembly 1930 can move along the x axle by being supported on slip on the x axis linear bearing 1934, on axostylus axostyle 1944.If this exemplary apparatus were not in running order-for example when changing treatment facility or in the maintaining process, the processing drive system could move apart chamber component.In order to move forward along the x direction of principal axis, motor 1908 can rotate the inside leading screw in the x axle driven unit 1904 in the counterclockwise direction.Identical or novel processing drive system can realize the location of chamber component according to identical mode.A kind of example comprises certain security measures, thereby, if have certain object between processing drive system and the treatment chamber or when some object has hindered x axle driven unit 1904 forward/backward and moves, magnet 1952 or 1946 will be separated with magnetic trip plate 1948.Like this, x axle driven unit 1904 and motor 1908 just can't mobile again chuck assemblies and top cover; At this moment, x axle sensor 1916 will be determined x axle driven unit and the disengaged position of processing all the other mechanisms in the drive system, thereby stop to motor 1908 power supplies.
This exemplary apparatus is being carried out in the process of installation or regular maintenance, the wing adjustment screw 1936 of y axle can be adjusted the position of chuck assembly 1930 on dynamic guard shield 1802 and nozzle plate 1826 along the y direction of principal axis.
Referring to Figure 18 and 19, when this exemplary treatment chamber is used in the processing of wafers application, couple together with magnetic coupler 1804 on the chamber component by the magnet 1952 that will process in the drive system, just can be fixed in the chamber component processing drive system.Window performance cylinder 1840 is with gate-type anchor clamps 1,838 1836 risings from the lune chamber, so that form an opening in treatment chamber window 1834.One mechanical manipulator (see figure 1) is sent into wafer 1801 from position, the pre-school treated chamber window 1834 of device (see figure 1).Wafer 1801 is placed in the chuck assembly 1930, to carry out electropolishing and/or to electroplate processing.
For chuck assembly 1930 is moved to execution electropolishing or galvanized position from the dress location of workpiece or reset position, the motor that the z axle drives in the platform assembly 1922 will rotate its inner axostylus axostyle assembly, so that z axillare 1938 is lowerd from the top of z axis linear bearing 1942, till making gap between chuck assembly 1930 and nozzle plate 1830 tops be in 0.5mm in the scope of 10mm, (to be preferably 5mm).As alternatives, if this exemplary treatment chamber is used to carry out to electroplate, then the z axle drive motor in the platform assembly 1922 and z axillare 1938 can be lowerd from the top of z axis linear bearing 1942-till gap on making chuck assembly 1930 between wafer 1801 and the concentric(al) circles device top is in 0.5mm (is preferably 5mm) in the scope of 20mm.Wafer 1801 power on plate out the first metal layer after, z axillare 1938 can move up step by step according to a technical process, so that wafer 1801 is carried out another time plating.
For polished wafer 1801, this exemplary treatment chamber applies the different electric current of intensity by the different sites on wafer 1801, and will evenly and step by step remove on the wafer 1801 of copper after the copper facing.The scheme of relevant electric current and treatment solution will be based on type exterior feature and other requirement that is set by the user of described wafer, and wherein, concrete application scenario is depended in user's requirement.The requirement condition that is set by the user comprises the bigger or less nozzle of number of processes, the employing of big removal thing, need stay the copper layer thickness on the wafer.Generally, measuring the metrology instrument by wafer measures the thickness distribution of copper coating on the sample wafer.Such measurement will help to form the tabulation of current rate, and this tabulation can comprise such information: in the electropolishing process, and used current ratio on wafer given position point.Data and institute's current rate that obtains are tabulated and will be formed a thickness of metal film model, can utilize the requirement condition that is set by the user that this model is done further to revise, thereby make wafer thickness have that certain type is wide to distribute, and determined the allocation plan of current density and flow in carrying out the electropolishing process.
Can change the current density that is applied on the wafer 1801 according to the type that material is removed thing.By way of example, in order to remove metallic membrane very thick on the wafer 1801, will use bigger electric current usually.In order to remove thin metallic membrane, generally to adopt less current, so that make the removal process have bigger controllability, and more accurate.
To be described a kind of exemplary process or method below, this process or method are used for the bigger wafer of metal layer thickness is carried out electropolishing.This exemplary scheme consists essentially of four or a plurality of treatment step.At first, carry out one and remove processing, get rid of the bulk part in the thick metal layers (for example being the copper layer).Secondly, the reflected light of 1828 pairs of residual copper layers of endpoint detector is measured, to determine setting point on wafer 1801 given positions, that be used for further carrying out polishing.This method recomputates film thickness type exterior feature according to the reflectivity that records.The 3rd, this method is carried out relatively thin copper layer according to new thickness of metal film type exterior feature and is removed.The 4th, the reflectivity of 1828 pairs of copper layers of endpoint detector judges whether wafer 1801 has been arrived ideal thickness and/or type exterior feature by electropolishing.Third and fourth step can repeat, up to wafer 1801 being polished to the wide position of ideal thickness and/or type.
But should be noted that: if endpoint detector 1828 is judged from wafer 1801 to get on except too many copper coating-for example initial removal process, then the present invention can comprise an electroplating process, in this process, available copper is carried out plating once more to some zone of wafer surface.Electroplating process can adopt such method: with the CuSO of suitable electrolytic solution-for example 4+ H 4SO 4+ H 2O changes the polarity of voltage of nozzle in the nozzle body 1830.Mentioned above and be incorporated in No. 6391166 United States Patent (USP) among the application and disclose a kind of exemplary electroplating device and method.
Exemplary processing method:
Step 1. is in order to remove the copper layer on the wafer 1801, and when chuck assembly 1930 was found to move along the x axle, θ angle motor 1926 was according to constant linear velocity rotational chuck assembly 1930.Nozzle in the nozzle body 1830 with constant rate to wafer 1801 transport process liquid.The rotating speed of θ angle motor 1926 is relevant with the linear movement distance of current density and chuck assembly 1930.Also can determine the current ratio that will be applied on the wafer 1801 according to thickness of metal film model and the requirement that is set by the user.Carry out in the linear moving process at the chuck assembly that rotating 1930, this exemplary scheme can constantly be extrapolated and be calculated new current density value between each data point, and calculates the new linear velocity on the data point.Can adopt new current ratio and linear velocity further to recomputate design.The processing drive system is moved back into start position with chuck assembly 1930 along the x direction of principal axis.
Step 2. is along with θ angle motor 1926 moves forward and backward along the x direction with constant linear velocity rotational chuck assembly 1930 and chuck assembly once more, and the reflectivity of copper coating is measured on 1828 pairs of wafers 1801 of endpoint detector.This example is according to the reflectivity of the timed interval record wafer 1801 that is set by the user and the linear range of chuck assembly correspondence.This example is inserted into new data in the part of thickness of metal film model.
Step 3. repeated execution of steps 1, just the reflectivity that records based on the given position of linear range on 1828 pairs of wafers 1801 of endpoint detector is adjusted the size of electric current.Can use nozzle less in the nozzle body 1830 to realize that copper coatings is had the higher polishing of degree of control.
Step 4. repeated execution of steps 2, if the new reflectivity that records by endpoint detector 1828 greater than a preset value, then repeated execution of steps 3.
In this exemplary polished finish, chuck assembly 1930 can rotate according to three kinds of following patterns:
1) linear velocity constant pattern:
θ · = C 1 2 πR - - - ( 1 )
Wherein, R is the horizontal throw between nozzle and the center wafer;
C 1It is constant; And
Figure C0381020600281
Be rotating speed.
In working control, R=0 will cause rotating speed θ ' infinity; Thereby, formula (1) can be expressed as following formula:
θ · = C 1 2 π ( R + C 2 ) - - - ( 2 )
Wherein, C 2It is the constant that concrete equipment of basis and application conditions are set.
2) invariablenes turning speed pattern:
θ · = C 3 - - - ( 3 )
Wherein, C 3It is the constant of setting by processing method.
3) centrifugal force constant-mode:
V 2 R = C 4 = Centrifugal · Force - - - ( 4 )
Wherein, V is a linear velocity, and R is the horizontal throw between nozzle and the center wafer, and C 4It is the constant that concrete equipment of basis and application conditions are set.
Can utilize formula V = θ · · 2 πR Formula (4) is rewritten into:
θ · = C 4 2 π R - - - ( 5 )
Equally, R=0 can cause rotating speed
Figure C0381020600287
Infinity in practical situation, can be rewritten as formula (5):
θ · = C 4 2 π R + C 5 - - - ( 6 )
Wherein, C 5It is the constant that concrete equipment of basis and application conditions are set.
Chuck in the horizontal direction or the motion on the x direction of principal axis can be expressed as:
R · = C 6 2 πR - - - ( 7 )
Wherein,
Figure C03810206002810
Represent the speed of chuck assembly 1930 on the x direction of principal axis, and R=0 can cause Be infinity, in practical situation, formula (7) can be expressed as:
R · = C 6 2 π ( R + C 7 )
( 8 )
Wherein, C 7It is the constant that concrete equipment of basis and application conditions are set.
Although Figure 18,19 has represented such processing drive system: in this system, chuck assembly 1930 can move along the x direction, but should be noted that: in the course of processing, nozzle plate 1826 or chuck assembly 1930 and nozzle plate 1826 can both be moved along the x direction.
Figure 20 has represented a kind of exemplary nozzle 2054, and it can be used in the exemplary chamber component.This exemplary nozzle 2054 comprises an energy enhancement unit 2080, and it is fixed or is mechanically connected on the nozzle 2054.Energy enhancement unit 2080 can strengthen metallic membrane 2004 surfaces and go up the degree of excitation of electrolytic solution 2081, so that realize higher polishing rate, better surface smoothness and higher quality.
In a kind of exemplary nozzle 2054, energy enhancement unit 2080 comprises a ultrasonic transducer or magnetosonic transverter.Electrolytic solution 2081 can be from input aperture, side 5200 inputs of nozzle 2054.Frequency for Ultrasonic Energy Transducer is in 15kHz arrives the scope of 100MHz, so that excite electrolytic solution.Ultrasonic transducer can be made by the ferroelectricity pottery, and this pottery for example is barium titanate (LiTaO 3), material such as lead titanate, lead zirconates.The power of ultrasonic transducer arrives 1W/cm at 0.01W 2Between.
In another example, energy enhancement unit 2080 can comprise a laser apparatus.For purpose similar to the above, in carrying out the electropolishing process, laser apparatus can be carried out irradiation to the metallic surface.Laser apparatus for example can be ruby laser, Nd amorphous laser or Nd:YAG (yttrium aluminum garnet Y 3Al 5O 12) solid laser such as laser apparatus, also can be He-Ne laser apparatus, CO 2Gas lasers such as laser apparatus, HF laser apparatus.Be to carry out continuous operation mode, the mean power of laser apparatus at 1 watt to 100 watts/cm 2Between.In another example, laser apparatus can be according to pulse mode work.As those skilled in the art can understand: the power of laser apparatus can be higher than the power under the normal mode during pulse mode.
Laser apparatus can also adhere to measuring to the thickness of metallic membrane on the wafer 1004.In the situation of this example, the laser apparatus of sensing metallic membrane can encourage the ultrasonic wave on the metallic film.In the process of carrying out electropolishing, can utilize detected ultrasonic wave that the thickness of metallic membrane 2004 is measured.The thickness of metallic membrane 2004 can be used to control polishing rate, controls polishing rate by change electric current, nozzle indexs such as speed diametrically.
In another example, energy enhancement unit 2080 can comprise an infrared source, is used for carrying out polishing process to metallic membrane 2004 execution annealing.Infrared source has other function choosing-item, is used in the surface temperature of carrying out electropolishing process control metal level.The power of infrared source arrives 100w/cm at 1W 2Between.In the electropolishing process, infrared source also is used to metallic membrane is carried out anneal.Grain-size and structure have very important influence to the electronic migration performance and the resistance value of copper connecting lines.Because temperature is a factor of decision grain-size and structure, so in carrying out polishing process, infrared sensor also can be used to detect the surface temperature of metallic film.
Also can adopt an infrared sensor to determine the temperature of metallic membrane 2004.Because temperature is monitored, so in carrying out polishing process, power that can be by changing infrared source, change measure such as current density and realize adjusting to temperature.
In another example, energy enhancement unit 2080 can comprise a magnetic field, and it is used for will polishing current concentration to metallic membrane 2004 at the execution polishing process.To the concentrated degree of control that can improve nozzle polishing rate molded lines of polishing electric current, the diameter of nozzle is big more, and is just important more to the degree of control of nozzle polishing rate molded lines.Can be in the direction of electrolyte flow-promptly with on the vertical direction of metallic film surface form magnetic field.Can adopt devices such as magnet and electromagnet, superconducting coil magnet to form and concentrated magnetic field.
Should be noted that: as mentioned generally as described in, can adopt the devices such as ultraviolet ray, X ray, microwave source of other energy source-for example, to improve the performance that electrolytic solution is handled.
Although above at specific embodiment, example and application scenario exemplary treatment chamber module and method are described, those skilled in the art can clearly realize that: can make multiple remodeling and change form in the prerequisite that does not depart from the scope of the invention.
V. electroplating device and method
As another invention of semiconductor wafer, provide a kind of being used for that semiconductor wafer is carried out galvanized equipment and method.In electroplating device and method, wish that generally treatment solution can distribute more evenly on the surface of wafer, so that make the metallic membrane of electroplating out have consistent thickness.In a kind of exemplary method, hope can have a kind of spray header that is used for electroplating device, it comprises a filter block, and it can hinder directly flowing of electrolytic solution, and can be before treatment solution sprays from spray header, the treatment solution of dispersion train passage in spray header more equably.Have the flow that equates or approach to equate when disperseing the treatment solution of the passage of flowing through to such an extent that electrolytic solution is sprayed from each eyelet of spray header assembly, can improve the homogeneity of electroplating process thus.
Exploded view among Figure 21 has been represented a kind of being used for the galvanized exemplary electrical coating apparatus of semiconductor wafer 2102 execution.This electroplating device can comprise first quarter moon chamber 2104, secure shroud 2106, electroplate spray header assembly 2108, vent pipe 2110, liquid inlet 2112, electrolytic solution counterpart 2114, liquid counterpart 2116, treatment chamber Sheng dish 2118, floor chamber window 2120, floor chamber 2122, treatment chamber 2124, treatment chamber window 2126, cap assembly 2130, liquid inlet duct 2132, electrode cable 2134 and axostylus axostyle 2136.The function of cap assembly 2130 can be similar with the effect of the exemplary cap assembly of above discussing in " treatment chamber " chapters and sections.Secure shroud 2106 is being blocked the wafer chuck (not shown), for example is used for can stoping electrolytic solution to spill from treatment chamber in the process of carrying out plating and drying.
As shown in figure 21, wafer 2102 arrives the wafer chuck place of cap assembly 2130 through first quarter moon chamber 2104, be placed in the electroplating device thus.For copper being plated on the wafer 2102, cap assembly 2130 is lowerd wafer 2102, and with wafer orientation electroplate spray header assembly 2108 above.In an exemplary electro-plating method, the gap between wafer 2102 and spray header assembly 2108 be in about 0.1mm in the scope of 10mm-when being preferably 2mm, deposit one the first metal layer partly.Cap assembly 2130 can raise 2mm to 5mm again with wafer 2102, and can be in deposit carry out metal level deposit for the second time on the wafer of one thick-layer copper.
Described exemplary electro-plating method and step in three pieces of following patent documents, these two patent documents are respectively: submit on January 15th, 1999, name is called No. 6391166 United States Patent (USP) of " electroplating device and method "; Submit to April 18 calendar year 2001, name is called No. 09/837902 U.S. Patent application of " electroplating device and method "; And submit to April 18 calendar year 2001, name is called No. 09/837911 U.S. Patent application of " electroplating device and method ", all the elements in these patent documents all are incorporated among the application as a reference.
Exploded view among Figure 22 has been represented a kind of exemplary spray header assembly 2108 that is used for electroplating process.Spray header assembly 2108 can comprise exterior passage way circle 2202, spray header top part 2204 and spray header 2206.Exploded view among Figure 23 and Figure 24 has been represented respectively to be used for 300mm specification wafer and 200mm specification wafer are carried out galvanized exemplary spray header.If supporting with the 200mm wafer, then as long as use the exterior passage way circle 2402 of 200mm size to replace the exterior passage way circle 2302 of 300mm, also use 200mm size spray header top part 2204 to replace the spray header top part 2304 of 300mm specification.Thereby this spray header assembly 2006 can be used to handle the wafer of 300mm, also can be used to process the wafer of 200mm.Referring to Figure 24, because the size of wafer is reduced to 200mm from 300mm, spray header top part 2404 can have ring still less, and the diameter of exterior passage way circle 2402 also can be smaller.But should be noted that: can be designed to the wafer of virtually any size for exemplary spray header.
Exploded view among Figure 25 A has been represented a kind of exemplary spray header.Shown in Figure 25 A, spray header 2206 can have several electrodes ring 2502, nut 2504, electrode connector 2506, electrode outconnector 2508, little inlet expansion assembly parts 2510, inlet expansion assembly parts 2512, plate filter choked flow piece 2514, spray header bottom 2516, filter packing ring 2518 and filter ring flat-plate 2520.Each electrode ring 2502 all is installed on the top of an adaptive filtration ring flat-plate 2520, and, the electrode ring can be locked on the position in the spray header bottom 2516 by with nut 2504, electrode connector 2506 and electrode outconnector 2508 fastening electrode rings 2502.As shown in figure 21, all connecting a cable 2134 that links to each other with electrode outconnector 2508 on each electrode.Electrode ring 2502 can be made with corrosion resistant metal or alloy, and metal or alloy wherein for example is platinum, is covered with the materials such as titanium of platinum outward.Be provided with passage for the electrolytic solution that comes from inlet expansion assembly parts 2512 and little inlet expansion assembly parts 2510 on the spray header bottom 2516.
Can find out further that from Figure 25 A inlet expansion assembly parts 2512 can be greater than width of channel in the spray header bottom 2516, and for all 7 or 10 rings, inlet expansion assembly parts can't be secured on the identical position.For the expansion assembly parts that will enter the mouth be fastened on the spray header bottom 2516, and the ring that can distribute equably on tension force and gravity, on second half part of circle (do not have among the figure filter block 2514 half), little inlet expansion assembly parts 2510 or inlet expansion assembly parts 2512 and relative filter block 2514 be layouts at interval.Similar with inlet expansion assembly parts 2512, electrode ring 2502 is installed in and filters on the ring flat-plate 2520, so that electrode is positioned on described another semicircle, and electrode ring compartment of terrain is being arranged.
Decomposition view among Figure 25 B has been represented filtration ring flat-plate 2520 and plate filter choked flow piece 2514, and the two combines by filtering packing ring 2518, thereby forms liquid choked flow assembly, and an electrode ring 2502 is mounted on the liquid choked flow assembly.This exemplary liquid choked flow assembly will be positioned in spray header bottom 2516 and have the top that O shape is enclosed the plate filter choked flow piece 2514 of 2530 (not shown)s, and wherein, the below of spray header bottom 2516 has inlet expansion assembly parts 2512.Each filters ring flat-plate circle 2520 and all has some holes, and the center of hole 2522 has narrow and small eyelet.Referring to Figure 25 A and 25B, because liquid choked flow assembly and electrode ring 2502 be secured on the spray header bottom 2516, so filtering formation one passage between the bottom surface of ring flat-plate 2520 and spray header bottom 2516.Electrolyte flow will flow into from inlet expansion assembly parts 2512.Electrolyte flow at first will be run into the center of the plate filter choked flow piece 2514 that is positioned at the inlet top, and is distributed in whole passage.Along with the rising of electrolytic solution in passage, it finally can flow out from hole 2522 equably, and arrives electrode ring 2502 places.Electrolyte stream through electrode ring 2502, and flow to equably on the surface of wafer 2102 through the eyelet 2524 in the injector head 2004.
Figure 25 C has represented hole 2522 and the relation between the injector head eyelet 2524 on spray header 2006 bottoms.As Figure 25 C and shown in Figure 22, spray header top 2004 is stacked on the spray header 2006, so that eyelet 2524 is between two holes 2522.This staggered positioning relation makes electrolyte stream kinetic energy discussed above pass through each sunk part of liquid choked flow assembly more equably.Vertical view to spray header from Figure 25 D can find out that eyelet 2524 is disposed around the outer ring on the spray header top part 2204 (or 2304,2404).These eyelets 2524 also are positioned at the inside of closed level on the spray header top 2204, and they can be made into arbitrarily shape-for example circular, microscler etc., and this depends on concrete application.Referring to Figure 24, eyelet 2524 can be made into Long Circle, can form Long Circle by making three circular holes.
As plate filter choked flow piece 2514 is not set, then inlet expansion assembly parts 2512 can directly transfer out ionogen through the one or more eyelets that are being close to its top, cause electrolytic solution unevenly to distribute in whole channel range.Because electrolytic solution flows out from an outlet, be difficult to the pressure of control electrolytic solution.Adopt liquid choked flow assembly, then this exemplary equipment just can be carried out control to electrolytic solution better, be beneficial to metal-for example be that the deposit of copper, reason are that plate filter choked flow piece 2514 will hinder the direct stream of electrolytic solution, and electrolytic solution is distributed in whole passage.The design that electrolytic solution is distributed in whole channel range will make to have equal or almost equal volume from each hole 2522 effusive electrolytic solution that filter ring flat-plate 2520.Shown in Figure 25 E, electrolytic solution flows out from electrolytic solution outconnector 2508, and flow through spray header bottom 2516 and screen plate ring 2520, flows out then around the side flow of electrode ring 2502, and from the eyelet 2524 that is positioned on the spray header top 2004.
Although above at specific embodiment, example and application scenario exemplary spray header device is described, those skilled in the art can clearly realize that: can make multiple remodeling and change form in the prerequisite that does not depart from the scope of the invention.
VI. be used for wafer is carried out the method and apparatus of leveling
According on the other hand, the invention provides and a kind ofly semiconductor wafer is carried out the method and apparatus of leveling with respect to processing module, processing module wherein for example is electropolishing device or electroplanting device.Generally speaking, when handling wafer, wish with the wafer leveling, so that the major surfaces of wafer is arranged essentially parallel to the plane of treatment chamber or processing tool.For example, the polycrystalline sheet is carried out and is arranged the homogeneity that can improve execution electropolishing or electroplating processes in treatment facility.
Figure 26 A and 26B have represented a kind of exemplary leveling instrument 2604, its be used to measure wafer 2602 with respect in the parallelism of the treatment chamber of treatment facility-for example whether in ± 0.001 inch scope.Shown in Figure 26 A and Figure 26 B, this levelling device consists essentially of leveling instrument 2604, grounding wire 2610, signal wire 2612, Controlling System 2614 and chuck 2616.
Submit on September 7th, 1999, name is called the 6248222B1 United States Patent (USP) of " it being kept and localized method and apparatus in that semiconductor workpiece is carried out in electropolishing and/or the electroplating process "; And submit to March 7 calendar year 2001, name is called No. 6495007 United States Patent (USP) of " it being kept and localized method and apparatus in that semiconductor workpiece is carried out in electropolishing and/or the electroplating process " and all described a kind of exemplary chuck, above-mentioned two patent documents all are incorporated among the application on the whole as with reference to data.
Referring to Figure 26 A and 26B, wafer 2602 in chuck 2616 clamping in to semi-conductor execution electropolishing and/or electroplating process.In order to make electropolishing and/or electroplating processes more even, wafer 2602 is positioned to be parallel to or approximately is parallel to treatment chamber 2630, particularly, be to parallel with the electroplating head or the polishing nozzle (not shown) of treatment facility.Leveling instrument 2604 can be positioned in the treatment chamber 2630, to improve the degree of aligning of wafer 2602.
Leveling instrument 2604 can comprise three transmitters 2606 and with these transmitter signal lines 2612.If leveling instrument 2604 is disposed in the below of chuck 2616, and wafer 2602 is lowered on the leveling instrument 2604, and then signal wire 2612 (by transmitter 2606) just utilizes and is communicated with Controlling System built in wafer 2602 lip-deep thin metal layers.The grounding wire 2610 that Controlling System 2614 is drawn is connected with wafer 2602 metal levels.Because transmitter 2606 contacts with thin metal layer, has just formed a loop between transmitter 2606 and grounding wire 2610, Controlling System 2614 can be carried out this loop and measure.
In addition, shown in Figure 26 B, leveling instrument 2604 can comprise strut member 2608, and it is used to measure the parallelism of wafer 2602 with respect to chuck 2616 and polishing nozzle, and measures the position near the leveling instrument 2604 on wafer 2602 surfaces.
Figure 26 C is the sectional view of an illustrative sensors 2606.Transmitter 2606 can comprise retainer 2626, screw 2618 is set, adjusting pin 2620, contact screw 2622 and ejector pin 2624.Signal wire 2602 is connected with transmitter 2606 through contact screw 2622.Retainer 2626, adjusting pin 2620 and shank 2624 can be made by metal or alloy such as stainless steel, titanium, tantalum or gold.
Be used for measuring wafer 2602 with respect to the degree of aligning of machining tool or the illustrative methods of parallelism a kind of, chuck 2616 descends to leveling instrument 2604, until the ejector pin 2624 that makes one of them transmitter 2606 with till the conducting surface of wafer 2602 contacts.A circuit loop has been connected in this contact, and this circuit loop comprises signal wire 2612, grounding wire 2610 and Controlling System 2614, and carries signal to Controlling System 2614.Distance when Controlling System 2614 is determined from the zero position of chuck 2616 (original position) to contact the ejector pin position.
Chuck 2616 continues to descend, up to making second transmitter 2606, the 3rd transmitter 2606 and till the surface of wafer 2602 contacts.Measure distance corresponding when coming in contact, finish then to measure with these two transmitters.
As shown in figure 27, exemplary treating processes can comprise a software interface, this interface display each transmitter 2606 record distance when coming in contact.This interface has also shown the position of transmitter 2606.The maximum value and the difference between the minimum value that record distance are more little, and then wafer 2602 approaches to be in positive status or parastate more.These data can be used to chuck 2616 is carried out adjustment, and then can adjust the position of wafer 2602.After adjustment is finished, repeat measuring process, till making in the maximum value that records distance and the scope of the difference between the minimum value in design requirements, design requirements wherein for example is ± 0.001 inch, concrete numerical value depends on specific applied environment.
Although above at specific embodiment, example and application scenario exemplary wafer leveling method and system are described, those skilled in the art can clearly realize that: can make multiple remodeling and change form in the prerequisite that does not depart from the scope of the invention.
Be in order to introduce exemplary embodiment above, and be not in order to limit to the detailed description of various devices, method and system.Those skilled in the art can clearly realize that: within the scope of the invention, have multiple feasible remodeling and change form.For example, can in same processing components, adopt various exemplary electrolysis polishing and electroplanting device-for example purge chamber, optical pickocff, liquid conveying system, endpoint detector etc., perhaps also can separately use these devices, to improve electropolishing and/or electroplating system and method.Thereby the present invention should be limited by the accompanying claims, and should not be subjected to specifically described restriction.

Claims (14)

1. one kind is used for one or more pieces semiconductor wafers are carried out the equipment of handling, and it comprises:
One is used to store the module of wafer;
The processing module of a plurality of vertically stacked, it is used for wafer is carried out electropolishing and galvanized at least one processing;
One cleaning module; And
One mechanical manipulator, it is used for wafer is shifted storing between module, processing module and the cleaning module,
Wherein, this equipment is divided at least two parts, and these parts are characterised in that to have separately independently framework.
2. equipment according to claim 1 is characterized in that: equipment also comprises pre-position, a school module, is used for before carrying out processing wafer being carried out the position, school.
3. equipment according to claim 1 is characterized in that: mechanical manipulator comprises one or more also end effectors of transferring plates that are used to grasp.
4. equipment according to claim 1 is characterized in that: mechanical manipulator rolls out or slides out by one of them part from two portions at least and be extensible.
5. equipment according to claim 1 is characterized in that mechanical manipulator comprises:
One first end effector, it is used for giving processing module with wafer transfer; And
One second end effector, it is used for wafer is removed from processing module.
6. equipment according to claim 1 is characterized in that also comprising: a liquid conveying system, it is used for to each processing module transport process liquid.
7. equipment according to claim 6 is characterized in that: liquid conveying system comprises a surge suppressor.
8. equipment according to claim 6 is characterized in that: liquid conveying system comprises a controller that is used to regulate and control the treatment solution flow.
9. equipment according to claim 6 is characterized in that: liquid conveying system is installed in one and puts in the Sheng dish.
10. equipment according to claim 1 is characterized in that: equipment comprises a vapor pipe, is used for gas is discharged from processing module.
11. a method that is used at least one processing in a processing components is carried out electropolishing and electroplated to semiconductor wafer, it comprises step:
Utilize one first end effector with in a wafer transfer one of them module in a plurality of stacked processing modules, in this processing module, wafer is carried out electropolishing or plating;
Utilize one second end effector that wafer is transferred to the cleaning module from processing module; And
In cleaning module wafer is carried out cleaning, wherein, described processing components is divided at least two parts, and these parts are characterised in that to have separately independently framework.
12. method according to claim 11 is characterized in that: the transfer to wafer comprises the operation of adopting a mechanical manipulator, and mechanical manipulator is designed to and can slides to come out or roll out from processing components.
13. method according to claim 11 is characterized in that also comprising: utilize a line of pipes to carry liquid, wherein, be connected with a surge suppressor on the line of pipes to processing module.
14. method according to claim 11 is characterized in that also comprising step: utilize an exhaust system that gas is removed from processing module.
CNB038102064A 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods Expired - Fee Related CN100430526C (en)

Applications Claiming Priority (16)

Application Number Priority Date Filing Date Title
US37092902P 2002-04-08 2002-04-08
US37091902P 2002-04-08 2002-04-08
US37095602P 2002-04-08 2002-04-08
US37095502P 2002-04-08 2002-04-08
US60/370,955 2002-04-08
US60/370,919 2002-04-08
US60/370,929 2002-04-08
US60/370,956 2002-04-08
US37256602P 2002-04-14 2002-04-14
US37254202P 2002-04-14 2002-04-14
US37256702P 2002-04-14 2002-04-14
US60/372,542 2002-04-14
US60/372,567 2002-04-14
US60/372,566 2002-04-14
US39046002P 2002-06-21 2002-06-21
US60/390,460 2002-06-21

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2008102128377A Division CN101353810B (en) 2002-04-08 2003-04-08 Semiconductor wafer rinsing apparatus and methods

Publications (2)

Publication Number Publication Date
CN1653211A CN1653211A (en) 2005-08-10
CN100430526C true CN100430526C (en) 2008-11-05

Family

ID=29255769

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB038102064A Expired - Fee Related CN100430526C (en) 2002-04-08 2003-04-08 Electropolishing and/or electroplating apparatus and methods
CN2008102128377A Expired - Fee Related CN101353810B (en) 2002-04-08 2003-04-08 Semiconductor wafer rinsing apparatus and methods

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008102128377A Expired - Fee Related CN101353810B (en) 2002-04-08 2003-04-08 Semiconductor wafer rinsing apparatus and methods

Country Status (10)

Country Link
US (1) US20050218003A1 (en)
EP (1) EP1492907A4 (en)
JP (5) JP2005522585A (en)
KR (1) KR20040099407A (en)
CN (2) CN100430526C (en)
AU (1) AU2003226319A1 (en)
CA (1) CA2479794A1 (en)
SG (1) SG159384A1 (en)
TW (1) TWI274393B (en)
WO (1) WO2003087436A1 (en)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
CN100419121C (en) * 2004-05-12 2008-09-17 鸿富锦精密工业(深圳)有限公司 Wet etching equipment
JP5155517B2 (en) * 2005-04-21 2013-03-06 株式会社荏原製作所 Wafer delivery apparatus and polishing apparatus
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
EP2047507A2 (en) * 2006-08-04 2009-04-15 E.I. Du Pont De Nemours And Company Substrate carrier enclosure
US8474468B2 (en) * 2006-09-30 2013-07-02 Tokyo Electron Limited Apparatus and method for thermally processing a substrate with a heated liquid
WO2008057567A2 (en) * 2006-11-07 2008-05-15 Integrated Dynamics Engineering, Inc. Vacuum end effector for handling highly shaped substrates
KR101601005B1 (en) * 2006-11-27 2016-03-08 테크-셈 아크티엔게젤샤프트 Transfer device for an overhead conveying system
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7479463B2 (en) * 2007-03-09 2009-01-20 Tokyo Electron Limited Method for heating a chemically amplified resist layer carried on a rotating substrate
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US9383138B2 (en) * 2007-03-30 2016-07-05 Tokyo Electron Limited Methods and heat treatment apparatus for uniformly heating a substrate during a bake process
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8172989B2 (en) * 2007-11-26 2012-05-08 Sunpower Corporation Prevention of substrate edge plating in a fountain plating process
EP2187598A4 (en) * 2007-12-27 2012-01-25 Nec Corp Portable telephone terminal
SG195592A1 (en) 2007-12-27 2013-12-30 Lam Res Corp Arrangements and methods for determining positions and offsets in plasma processing system
JP5309157B2 (en) 2007-12-27 2013-10-09 ラム リサーチ コーポレーション System and method for calibrating end effector alignment in a plasma processing system
JP5336513B2 (en) 2007-12-27 2013-11-06 ラム リサーチ コーポレーション System and method for dynamic alignment beam calibration
WO2009086164A2 (en) 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
US7901475B2 (en) * 2008-01-18 2011-03-08 Gm Global Technology Operations, Inc. Diesel particulate filter with zoned resistive heater
CN101580945B (en) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 Electrodeposition system
KR101834944B1 (en) * 2008-09-04 2018-03-06 어플라이드 머티어리얼스, 인코포레이티드 Adjusting polishing rates by using spectrographic monitoring of a substrate during processing
KR101689550B1 (en) * 2009-01-11 2016-12-26 어플라이드 머티어리얼스, 인코포레이티드 Electrostatic end effector apparatus, systems and methods for transporting substrates
CN101851776B (en) * 2009-03-30 2011-10-05 昆山中辰矽晶有限公司 Treatment method of silicon chip edge
CN103320846B (en) * 2010-05-19 2015-12-02 易生科技(北京)有限公司 Support burnishing device and support finishing method
TWI410532B (en) * 2010-09-01 2013-10-01 Grand Plastic Technology Co Ltd Vertical wafer hole filling electrode plating apparatus
CN102140669B (en) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 Cleaning method after silicon chip electroplating copper
CN102427047B (en) * 2011-09-28 2014-03-12 上海华力微电子有限公司 Wafer back cleaning device and wafer back cleaning method
SG194239A1 (en) * 2012-04-09 2013-11-29 Semiconductor Tech & Instr Inc End handler
CN102864486A (en) * 2012-10-24 2013-01-09 哈尔滨电机厂有限责任公司 Electrolytic polishing device
CN104838480B (en) * 2012-12-10 2018-03-02 盛美半导体设备(上海)有限公司 Polishing wafer method
JP6114060B2 (en) * 2013-02-27 2017-04-12 東京エレクトロン株式会社 Substrate transport apparatus, substrate delivery position confirmation method, and substrate processing system
CN103510149B (en) * 2013-10-14 2015-11-18 陈功 A kind of wet type automatic polishing method with electrolytic polishing liquid and equipment thereof
CN105316754B (en) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 Electrochemical machining process and electrochemical machining apparatus
US9831110B2 (en) 2015-07-30 2017-11-28 Lam Research Corporation Vision-based wafer notch position measurement
CN108291325B (en) * 2015-12-04 2019-12-20 盛美半导体设备(上海)有限公司 Substrate holding device
CN105780101B (en) * 2016-01-27 2018-06-26 杨继芳 A kind of Novel electrolytic polissoir
FR3049940B1 (en) * 2016-04-06 2018-04-13 Saint- Gobain Glass France SUPPORT DEVICE FOR GLASS SHEET IN PARTICULAR IN A WASHING PLANT
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
JP6756540B2 (en) 2016-08-08 2020-09-16 株式会社荏原製作所 A storage medium containing a plating device, a control method for the plating device, and a program for causing a computer to execute the control method for the plating device.
CN106191983B (en) * 2016-08-12 2018-06-29 厦门大学 A kind of micro fluidic device and its application process for electrochemical etching processing
CN106737805A (en) * 2016-12-13 2017-05-31 天津彼洋机器人系统工程有限公司 A kind of multi-function robot clamping jaw
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
JP6999195B2 (en) * 2017-08-30 2022-01-18 エーシーエム リサーチ (シャンハイ) インコーポレーテッド Plating equipment
US11469134B2 (en) * 2017-09-07 2022-10-11 Acm Research (Shanghai) Inc. Plating chuck
CN109560029B (en) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 Automatic round silicon wafer rewinding mechanism
CN108406568B (en) * 2018-05-21 2023-08-22 浙江工业大学 Device and method for polishing blade edge by using liquid metal polishing solution
CN108453651A (en) * 2018-05-24 2018-08-28 明峰医疗系统股份有限公司 A kind of pet detector axis positioning tool
CN109652850B (en) * 2018-11-23 2021-01-26 铜陵蓝盾丰山微电子有限公司 Multi-channel sheet type electroplating device
CN109609996B (en) * 2018-12-12 2020-12-18 东华大学 Combined hanger for batch hard chromium plating of groove needles of warp knitting machine
CN109712923B (en) * 2018-12-26 2020-12-11 上海福赛特机器人有限公司 Wafer circulating device and wafer circulating method
CN109676274B (en) * 2018-12-27 2021-01-15 深圳市大族数控科技有限公司 Pressure release mechanism and vacuum adsorption and dust collection device with same
CN109759957A (en) * 2019-02-21 2019-05-17 中国工程物理研究院激光聚变研究中心 The circulating feeding liquid device and feed liquid method of polishing fluid in ring throwing
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
EP3851916A1 (en) * 2020-01-17 2021-07-21 ASML Netherlands B.V. Suction clamp, object handler, stage apparatus and lithographic apparatus
US11890718B2 (en) * 2020-01-17 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Removable tray assembly for CMP systems
JP7422586B2 (en) * 2020-03-30 2024-01-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
CN111693852B (en) * 2020-06-23 2023-12-22 宏茂微电子(上海)有限公司 Plastic packaging component unsealing method and unsealing device
CN114473818B (en) * 2022-02-28 2023-05-02 南京尚吉增材制造研究院有限公司 Combined adjusting polishing clamp for false tooth
CN114990549B (en) * 2022-05-30 2024-01-12 东莞海雅特汽车科技有限公司 Surface roughening treatment device and method for automobile stamping die casting

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
CN1341277A (en) * 1999-12-24 2002-03-20 株式会社荏原制作所 Apparatus for plating semiconductor substrate, method for plating semiconductor substrate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3517958A (en) * 1968-06-17 1970-06-30 Ibm Vacuum pick-up with air shield
US4430178A (en) * 1982-05-24 1984-02-07 Cominco Ltd. Method and apparatus for effecting current reversal in electro-deposition of metals
US4600229A (en) * 1984-08-03 1986-07-15 Oten Peter D Vacuum cup
EP0456426B1 (en) * 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
JPH07136885A (en) * 1993-06-30 1995-05-30 Toshiba Corp Vacuum chuck
US5584746A (en) * 1993-10-18 1996-12-17 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers and apparatus therefor
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5937993A (en) * 1997-01-14 1999-08-17 Tamarac Scientific Co., Inc. Apparatus and method for automatically handling and holding panels near and at the exact plane of exposure
US6439824B1 (en) * 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
TW405158B (en) * 1997-09-17 2000-09-11 Ebara Corp Plating apparatus for semiconductor wafer processing
JP2002531702A (en) * 1998-11-28 2002-09-24 エーシーエム リサーチ,インコーポレイティド Method and apparatus for holding and positioning a semiconductor workpiece during electroplating and / or electropolishing of the semiconductor workpiece
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6279976B1 (en) * 1999-05-13 2001-08-28 Micron Technology, Inc. Wafer handling device having conforming perimeter seal

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US6183611B1 (en) * 1998-07-17 2001-02-06 Cutek Research, Inc. Method and apparatus for the disposal of processing fluid used to deposit and/or remove material on a substrate
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6365025B1 (en) * 1998-07-17 2002-04-02 Cutek Research, Inc. Method for depositing and/or removing material on a substrate
CN1341277A (en) * 1999-12-24 2002-03-20 株式会社荏原制作所 Apparatus for plating semiconductor substrate, method for plating semiconductor substrate

Also Published As

Publication number Publication date
EP1492907A1 (en) 2005-01-05
CA2479794A1 (en) 2003-10-23
TW200402821A (en) 2004-02-16
CN1653211A (en) 2005-08-10
EP1492907A4 (en) 2008-01-09
US20050218003A1 (en) 2005-10-06
WO2003087436A1 (en) 2003-10-23
JP2007051377A (en) 2007-03-01
JP2005522585A (en) 2005-07-28
KR20040099407A (en) 2004-11-26
CN101353810B (en) 2012-02-15
AU2003226319A1 (en) 2003-10-27
JP2007077501A (en) 2007-03-29
TWI274393B (en) 2007-02-21
SG159384A1 (en) 2010-03-30
CN101353810A (en) 2009-01-28
JP2006328543A (en) 2006-12-07
JP2006319348A (en) 2006-11-24

Similar Documents

Publication Publication Date Title
CN100430526C (en) Electropolishing and/or electroplating apparatus and methods
CN1319130C (en) Apparatus for plating semiconductor substrate, method for plating semiconductor substrate
KR100445259B1 (en) Cleaning method and cleaning apparatus for performing the same
US20020121289A1 (en) Spray bar
KR100987795B1 (en) Single type substrate treating apparatus and method
US20220379356A1 (en) Cleaning device for cleaning electroplating substrate holder
JP2011066426A (en) Swing nozzle unit and substrate treatment apparatus having the same
US7730898B2 (en) Semiconductor wafer lifter
US6492284B2 (en) Reactor for processing a workpiece using sonic energy
US20050199066A1 (en) Sonic energy process chamber
CN206619584U (en) A kind of wafer processor
US20080029123A1 (en) Sonic and chemical wafer processor
KR100987796B1 (en) Single type substrate treating apparatus and method
US6106690A (en) Electroplaner
KR102030038B1 (en) Apparatus for Processing Substrate
JP2021136418A (en) Substrate processing apparatus and substrate processing method
JPH02252238A (en) Cleaning equipment for substrate
JPH10163154A (en) Substrate cleaning method and apparatus
JP2019125659A (en) Substrate processing apparatus and substrate processing method
JPH08299928A (en) Ultrasonic wave generator for surface treatment of substrate
JP3901777B2 (en) Substrate cleaning device gate device
JPH10163159A (en) Treatment chamber for substrate cleaning apparatus
JP3341206B2 (en) Cleaning treatment apparatus and cleaning treatment method
JPH10163150A (en) Method and apparatus for cleaning substrate
JP2017045938A (en) Substrate processing apparatus and discharge head

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081105

Termination date: 20180408