CN100489865C - 使用远程资源的芯片设计方法和系统 - Google Patents

使用远程资源的芯片设计方法和系统 Download PDF

Info

Publication number
CN100489865C
CN100489865C CNB028114728A CN02811472A CN100489865C CN 100489865 C CN100489865 C CN 100489865C CN B028114728 A CNB028114728 A CN B028114728A CN 02811472 A CN02811472 A CN 02811472A CN 100489865 C CN100489865 C CN 100489865C
Authority
CN
China
Prior art keywords
design
custom system
component data
database
file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB028114728A
Other languages
English (en)
Other versions
CN1735891A (zh
Inventor
克劳迪奥·兹祖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cadence Design Systems Inc
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Publication of CN1735891A publication Critical patent/CN1735891A/zh
Application granted granted Critical
Publication of CN100489865C publication Critical patent/CN100489865C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/02CAD in a network environment, e.g. collaborative CAD or distributed simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores

Abstract

多面设计平台(104)作为设计复杂内核基础片上系统的前端硬件IC设计人员的工具。设计平台(104)使用诸如因特网(230)之类的网络搜索访问先前设计的虚拟内核块。设计平台(104)提供用于选择(306)、传送(308)所选虚拟内核块之所有有关信息的装置,并允许设计人员立即将虚拟内核块合并到新的SoC设计中。设计平台(104)生成与众多已知验证工具一起使用的合适的源代码文件(320),以验证虚拟内核块的综合和连通性以及SoC设计的基本功能。

Description

使用远程资源的芯片设计方法和系统
技术领域
本发明涉及电子设计工具和自动化,更确切地说,涉及通过使用可通过诸如因特网之类的分布式电子网络访问的资源,方便电子电路和芯片设计的方法和系统。
背景技术
借助不断改进的设计和验证工具,电子行业提出非常先进的芯片和电路设计。芯片设计特别是片上系统(SoC)设计中的每块芯片可能包含上千万个门电路,并且每块芯片很快会达到上亿个门电路。工程师通常需要高级软件功能来布置芯片设计,并管理与其有关的信息。
从高层次上来看,在电子设计过程中,设计小组在称为“进入市场时间”的时限内完成从产品概念到产品的过程。激烈竞争导致缩短新产品进入市场时间的巨大压力,因为其新产品进入市场的第一家公司要比后来竞争者更容易获得并保持较大的市场份额。在这种环境下,产品的计划交货日期与实际交货日期之间的微小差别,会在其利润和回报方面产生巨大差异。
目前,在设计大规模电路和复杂芯片时,时间和人员常常不足,并且预算很紧。提高设计速度和效率的趋势包括电子电路块或子系统的重用或重复利用,电子电路块或子系统也称为“内核”,“虚拟元件块”或IP(“知识产权”的首字母缩写,代表预先封装的电路块的专有权性质)。在测试验证虚拟元件块的设计后,可以在与原创应用完全不同的其他应用中进行重用。与原始设计人员同属同一家公司的其他设计小组也可以实现重用。作为选择,也可以由购买、特许或转让IP的第三方进行重用,并将该IP合并到全新设计中。例如,蜂窝电话子系统中使用的专用集成电路(ASIC)包含许多内核,如微控制器,数字信号处理器和其他元件。尽管作为蜂窝电话子系统的ASIC作为整体执行特定功能,但是ASIC设计内的各个内核均具有用于其他ASIC的通用用途。在测试验证用于蜂窝电话子系统的设计后,可以在汽车应用中重用各内核(作为虚拟元件块)。虚拟元件块的设计重用使得设计人员能够以比从头进行全部设计更快的速度完成设计,并且无需调试、测试、验证虚拟元件块中包含的子系统。可以从市场上购买到的虚拟电路块或IP内核包括维特比译码器,微控制器,数字模拟转换器以及加密解密处理器。
尽管通过购买标准代码块,虚拟电路块(即IP内核)能够提供缩短进入市场时间的方法,但虚拟电路块的销售和使用还有许多障碍。尽管重用现有内核设计能够缩短总设计时间,但是搜索满足新ASIC设计之设计标准的现有内核设计既费时又乏味。关于质量保证,没有什么使设计人员确信虚拟电路块的质量或对特定设计之适用性的标准方法。相反,也没有什么供虚拟电路块的销售人员向预期客户证明其产品质量的标准方法。另一个障碍是构成虚拟电路块的代码和/或数据的保护。提供虚拟电路块的公司需要跟踪其产品的用途,并防止盗用以上电路块中的代码和/或数据。此类方法最好不引人注目,允许完全访问将以上IP内核并入某个设计所需的信息。另一个问题是数据格式。为用于电路设计而购买的虚拟电路块必须与该设计中所用的数据格式兼容。然而,虚拟电路块的接口标准(如果有的话)一直都在发展变化。因此,熟悉虚拟电路块的接口格式,将虚拟电路块集成到电路设计中需要做大量工作,从而降低了通过使用虚拟电路块获得的时间优势。高销售和诉讼费用形式的交易费用同样不利于虚拟电路块的销售和使用。例如,需要一方或双方对虚拟电路块的许可进行法律审查。
使用现有设计和验证工具的另一个缺点涉及技术支持。销售商通常提供热线服务电话,为指定软件工具的用户提供技术支持。然而,要使工程师通过能够刻画销售商热线服务电话特色的令人沮丧、迷惘的语音邮件,一层或几层知识不太渊博的第一线支持人员,工程师通常需要大量时间进行解释,并且支持人员需要大量时间解决用于复杂电路设计的复杂工具出现的问题。对于严重问题,销售商需要向工作现场派遣现场应用工程师,但是这样做费用很高,并且现场应用工程师到达现场可能需要几天时间。期间,整个设计项目保持停顿状态。
同样,元件选择也是一项低效且容易造成时延的工作。工程师查阅元件经销商分发的印刷目录查找、选择元件,或使用因特网访问制造商之供应商的网站,查找有关元件的信息,或使用搜索引擎在因特网上搜集产品信息。然而,在因特网上搜索各种元件既费时又乏味。另外,现有搜索引擎和方法不仅效率低,而且不完整,因此返回的搜索结果有可能不包含以下网站,即其所提供的元件能够使设计人员在其设计中受益的网站。工程师可能收到制造商主动提供的数据表,但通常会丢弃、丢失或忽略此类数据表。相反,尽管工程师能够顺利收到诸如IP I/O电路图或其他顶层信息之类的数据,但工程师很难收到有关所选元件的重要设计信息。作为设计过程的一部分,工程师必须获得每个所选元件的支持数据。由于缩短进入市场时间的巨大压力,工程师很难花费时间与供应商或经销商的销售代表进行交谈,使得搜集元件信息的问题进一步恶化。
芯片设计过程经历的另一个问题是有关设计和验证过程的知识比较零碎,并且难以获得并运用此类知识。试图通过观察进行了解并研究许多工程师的独特设计过程是很有挑战性的。此外,很难根据最后的图纸或产品来了解设计过程,并且很难根据草图或作业文件确定设计过程。不同工程师会采用不同方式完成设计,即使工程师也难以清楚表达。通过与工程师会面来获取有关工程设计过程的数据通很可能没有结果,耗费大量时间只能获得相当小的成果。因此,有关工程设计过程的度量引起的培训和改进方法方面的益处仍未实现。
解决分段设计和验证过程引起的问题的尝试包括,专门从事不同领域的设计和验证过程的公司之间的专有伙伴协约,目的是缩小需要工程师进行学习并需要内部技术人员支持的产品和服务的范围。例如,电子设计公司的伙伴包括设计验证工具的提供商,电子元件的提供商,以及与其配合的一家公司。在伙伴关系模型中,能够轻松解决兼容问题,因为只涉及有限数目的元件。另外,通过影响某个设计阶段中的某个伙伴的客户利用不同设计阶段中另一个伙伴的产品或服务,能够增加收入。然而,合作伙伴协约严重降低了设计小组的选择性,并且有可能阻止使用最佳产品。
加快设计过程的一种方法是在能够通过因特网访问的远程计算机上提供某种类型的设计和验证工具,特别是FPGA综合工具。在该方法中,FPGA综合工具在单一应用服务提供商的中央服务器场地(或计算机场地)上运行。服务器场地或计算机场地通常为连接起来的处理器网络,用于完成高强度的计算任务。在使用该方法的示例系统中,应用服务提供商利用
Figure C02811472D0011170729QIETU
计算语言重写所提供的FPGA综合工具的接口,以便通过可从市场上买到的标准因特网浏览器,在各种计算平台和操作系统上使用该工具。该方法的缺点在于,用户限于驻留在以下服务器场地上的FPGA综合工具,即已编写其接口代码的应用服务提供商的服务器场地。另外,
Figure C02811472D0011170729QIETU
语言出名的慢,阻挠工程师并减缓设计和验证过程。
如果通过方便信息交换和商业行为的单一门户网站,将包含最终用户和提供商在内的电子设计过程中的参与者连接起来将是非常有利的。另外,使设计工程师能够轻松、方便地得到各种设计和验证工具,并且无需在软件或硬件方面支付比较大的初创资本就能够使用此类功能将是非常有利的。再者,提供用于收集与芯片设计技术、应用、产品和工具有关的知识和信息的机制也是非常有利的。最后,提供允许工程师将虚拟电路块合并到其设计中的方便装置也是非常有利的。
发明内容
本发明提供一种电路设计系统,包括:
包含目录数据库的计算机系统,可通过分布式电子网络访问所述计算机系统,所述目录数据库存储在设计过程的不同阶段中使用的元件数据;
在所述计算机系统上运行的应用服务器,所述应用服务器与所述目录数据库相连,所述应用服务器还包括:
能够搜索分布式电子网络查找特定元件数据的搜索引擎;
用于在总电路设计上放置元件的电路综合器;
用于连接总电路设计上放置的元件的元件连接器;
能够生成总芯片设计之源代码连线表的连线表生成器,所述源代码连线表包括元件位置和连接数据;
能够生成各种逻辑模拟器编译、执行的源代码的验证源代码生成器;以及
所述电路设计系统还包括由所述计算机系统管理的网络接口,用于提出或接收请求,以便通过所述分布式电子网络访问其他计算机系统上的所述元件数据。
本发明还提供一种通过使用多个用户的资源设计电路的方法,包括以下步骤:
在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
通过分布式电子网络将第二用户系统连接到所述第一用户系统,所述第一用户系统包括与第一数据库互连的第一应用服务器,所述第二用户系统包括与第二数据库互连的第二应用服务器;
接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件;
所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
通过所述分布式电子网络,从所述第一用户系统中接收所述可用电子元件的某个元件的元件选择;
所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
检索与所选电子元件有关的其他信息;以及
经由分布式电子网络从第一用户系统向第二用户系统传输至少一个元件数据文件;
将第一个传输数据文件代表的第一个元件集成到电路设计内;
互连第一个元件与电路设计上的现有元件;
通过使用至少一个元件数据文件,生成电路设计的连线表源代码;
通过使用传送的元件数据文件,验证第一个元件的功能;以及
根据传送的数据文件,生成电路设计的综合连接元件的验证源代码。
本发明还提供一种通过使用多个用户的资源设计电路的系统,包括:
第一数据库,用于在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
网络管理器,通过分布式电子网络将所述第一用户系统连接到第二用户系统,所述第一用户系统包括与第一数据库互连的应用服务器,所述第二用户系统包括与第二数据库互连的应用服务器;
网络接口,接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件,并经由分布式电子网络,从第一用户系统向第二用户系统传送至少一个元件数据文件;
搜索引擎,用于所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
选择装置,用于所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
电路综合器,用于将第一个传输数据文件代表的第一个元件集成到电路设计内;
电路元件连接器,用于互连第一个元件与电路设计上的现有元件;
连线表源代码生成器,用于通过使用众多元件数据文件,生成电路设计;
元件功能验证器,用于通过使用传送的元件数据文件,验证第一个元件的功能;以及
电路验证文件生成器,用于生成众多逻辑模拟器编译、执行的源代码文件。
本发明还提供一种电路芯片设计系统,包括:
包含目录数据库的计算机系统,可通过分布式电子网络访问所述计算机系统,所述目录数据库存储在设计过程的不同阶段中使用的元件数据;
在所述计算机系统上运行应用服务器,所述应用服务器与所述目录数据库相连,所述应用服务器还包括:
如权利要求1所述的电路设计系统;以及
由所述计算机系统管理的网络接口,用于提出或接收请求,以便通过所述分布式电子网络访问其他计算机系统上的所述元件数据。
本发明还提供一种通过使用多个用户的资源设计电路的方法,包括以下步骤:
在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
通过分布式电子网络将第二用户系统连接到所述第一用户系统,所述第一用户系统包括与第一数据库互连的第一应用服务器,所述第二用户系统包括与第二数据库互连的第二应用服务器;
接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件;
所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
通过所述分布式电子网络,从所述第一用户系统中接收所述可用电子元件的某个元件的元件选择;
所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
检索与所选电子元件有关的其他信息;
经由分布式电子网络从第一用户系统向第二用户系统传输至少一个元件数据文件;以及
通过使用传输的元件数据文件,生成总电路设计和附随的总电路支持文件。
本发明提供一种平台,该平台通过诸如因特网之类的分布式电子网络连接众多最终用户系统,以便交换IP内核设计并将其合并到新的复杂电路设计中。
在提供设计平台的实施方式中,设计人员可以搜索多种目录的IP设计,并立即将该IP的有关数据传送到设计人员的文件中,以便在设计新SoC时使用。本实施方式的平台支持选择内部IP或外部IP,并支持IP采购。支持该设计平台的软件是采用模块形式编写的,因此支持传送采用VHDL或Verilog编写的所有有关IP数据。此外,采用模块形式的平台支持软件使得该设计平台能够支持其他硬件语言,包括诸如System-C之类的语言。该实施方式的平台使得设计人员能够在总设计内装配所选IP,连接该IP,并生成总设计所使用的全部元件的连线表。该实施方式的平台还提供ANSI-C代码输出,其中可以在多种验证工具内编译、运用上述代码,以确保合适的综合和连通性,以及总设计的基本功能验证。另外,可以定制或修改该设计平台,以生成多种汇编语言的源代码输出。在执行IP选择、综合和验证后,可以轻而易举地将该实施方式的平台与计算机辅助综合、布局和绕线工具结合起来,以完成最终的装配。为访问设计平台的用户提供用于标识可用工具和服务的菜单或其他格式的选项,并且通过访问某一场所的各种工具和服务,快速完成电路设计。该设计平台有助于购买、租用或获得通过该平台提供的工具和服务。
在一种实施方式中,通过开放的门户网站实现设计平台,该门户网站作为n层客户机/服务器网络环境中的服务器,允许电子设计人员和设计小组使用各种形式的先前设计的IP。开放的门户网站发明从某一方面提供一种平台,该平台通过诸如因特网之类的分布式电子网络连接众多最终用户系统,以便交换IP内核设计并将其合并到新的复杂电路设计中。在该实施方式中,门户网站为前端硬件设计人员提供了一种从内部和外部销售商资源中搜索、访问硬件元件之IP的方法。门户网站允许芯片设计人员搜索访问各种IP,以便合并到新的芯片设计中。此外,该实施方式允许芯片设计人员直接通过门户网站传送IP数据的代码,以便直接进行IP选择,采购,装配,各种IP之间的连接和连线表生成。另外,一种实施方式允许芯片设计人员直接在门户网站内进行综合和连通性验证,以及基本功能验证。促进门户网站的平台是用JAVA语言编写的,目的是在各种计算机上运行,支持采用诸如VHDL和Verilog之类的硬件实现语言编写的各种IP。支持该平台的软件是采用模块形式编写的,以便支持诸如System-C语言之类的新的描述实现语言。
在另一种实施方式中,在诸如内联网之类的网络上提供一种封闭的门户网站,以便在一组封闭用户中使用。该实施方式作为一种封闭的门户网站,只有选定用户才能访问。
在另一种实施方式中,在构成LAN的用户工作站网络上提供该设计平台。该设计平台是用JAVA语言编写的,以便直接在各用户工作站上运行。
同时,本文还描述了其他实施方式、其变更和增强。
附图说明
图1为连接最终用户与电子设计工具和服务之提供商的门户的抽象表示。
图2为通过连接最终用户和电子设计工具、服务、信息和/或其他资源的提供商方便电子设计的系统的详细模式图。
图3为一个流程图,表示连同图2的系统使用电子设计时选择和管理元件的过程。
图4为一个流程图,表示通过利用分布式电子网络访问图2所示的门户网站购买元件的过程。
图5为一个流程图,表示单独、共同验证所选IP内核块的过程。
图6为通过连接最终用户和电子设计工具、服务、信息和/或其他资源的提供商方便电子设计的另一个系统的详细模式图。
图7为生成的图解高级NETLIST示例,表示整个高级简图内的所选IP内核块。
图8a-8d表示向使用本发明的电子芯片设计平台的不同步骤的用户提供的图形用户接口示例。
图9表示向元件的连线表的用户提供的图形用户接口示例。
图10表示本发明的电路设计平台内包含的各种部件和实现工具的框图。
具体实施方式
图1为通过提供有用工具、服务、信息和其他资源方便电子设计的电子设计自动化资源系统100的某一实施方式的抽象简图。正如图1所示,通过诸如因特网之类的分布式电子网络,将众多最终用户102和众多供应商106连接到设计平台104。正如本文详细说明的那样,最终用户102通常包括电路设计人员和电子设计自动化(EDA)软件工具的用户,但是也可以包括各种其他类型的用户。正如本文将要说明的那样,供应商106通常包括EDA软件工具、虚拟元件块或IP内核、代工服务、硬件元件、专家设计服务和各种其他资源(不管是以工具、服务、信息或其他资源的方式)的提供商。作为选择,每个最终用户也可以作为其他最终用户的IP内核设计的提供商。反过来,每个供应商也可以作为最终用户设计人员。
在一种实施方式中,设计平台104是用JAVA语言编写的,以便在各种计算机上运行。设计平台104规定工程师和其他用户102有权使用有关电子元件的信息,并支持最终用户102和电子元件供应商106之间的商业行为。在该实施方式中,采用“动态部件”的形式在远程数据库中存储电子元件数据,动态部件具有采用标准符号和封装形式数据的图形表示,并可以传送(即,复制)到最终用户的设计中。从远程电子元件数据库复制到最终用户之工作站(或设计数据库)的部分信息包括远程数据库或供应商数据库的链接,从而支持各种有用能力,如提供诸如部件交付周期、可获量和成本之类的信息。同时,也可以获得有关电子元件的一览表和定时信息,以帮助设计人员。另外,设计平台104支持采用VHDL或Verilog编写的电子元件设计数据。这样,设计平台104支持各种格式的IP,以便在单一SoC设计中直接连接其他销售商的IP。另外,通过以模块形式设计支持该设计平台的软件,未来可以支持诸如System-C之类的新的实现语言。借助远程数据库或供应商数据库的链接,前端用户可以传送所有有关的设计数据,以便在新的SoC设计中进行综合,连接和验证。上述数据允许具体装置估计整个SoC设计的功耗、逻辑门的数目以及面积。
在另一种实施方式中,设计平台104提供一种综合工具,该工具通过传送所有有关的IP设计数据,允许设计人员在新的SoC设计内装配IP内核设计。设计平台104支持各种IP内核之间的必要连接,并生成新的SoC设计设计内的所有IP内核元件的连线表。
在另一种实施方式中,设计平台104可以验证所有IP内核的综合以及IP内核之间的连通性。设计平台104还可以验证新的SoC设计内的基本功能元件。基本功能元件包括地址译码器,总线判优器,总线桥接器等。设计平台104输出采用ANSI-C编码的源代码,从而常规逻辑模拟器能够验证IP内核的综合和连通性。
在另一种实施方式中,设计平台104连接正在查找虚拟电路块(IP内核)之有关信息或对购买有兴趣的最终用户102与提供虚拟电路块(IP内核)的供应商106。设计平台104通过提供可用IP内核的目录,有关IP内核的信息,以及保护IP内核免受非授权用户访问或复制的机制,方便定位并获得合适的虚拟电路块(IP内核)。
在另一种实施方式中,将设计平台104的众多功能组合到开放门户网站204中,门户网站204为电路设计和开发过程提供全面支持。
图2为能够体现作为开放门户网站提供的设计平台204之特征的实施方式的详细模式图。图2刻画通过连接最终用户和电子设计工具、服务、信息和/或其他资源的提供商方便电子设计的系统200。正如图2所示,通过诸如因特网230之类的分布式电子网络,将各种用户和资源提供商连接到门户网站204,以方便电子设计。在图2所示的系统200中,用户系统220使用因特网230上的支持平台连接到门户网站204。尽管为说明起见图2仅示出一个用户系统220,但是应该理解,可以通过因特网230或其他分布式电子网络将成百上千个用户系统220连接到门户网站204。为论述方便,假设通过因特网230将用户系统连接到门户网站204,然而应该理解,上述连接也可以使用其他类型的分布式电子网络。通过使用标准对象数据模型,经由因特网230将用户系统220连接到门户网站204。
经由因特网230与门户网站204相连的用户系统220包括独立计算机或工作站,后者可以直接与因特网230相连,或者为包含有与因特网230相连的指定网络硬件和/或软件的局域网(LAN)的一部分,抑或通过其他装置连接到门户网站204。用户系统220最好包括在因特网230上进行导航的装置,如web浏览器224,后者包括可从市场上买到的产品,如微软公司的Internet ExplorerTM,Netscape公司的CommunicatorTM,或Opera软件公司的OperaTM。用户系统220最好以计算机程序或其他软件包的形式,运行一个或多个供应商应用程序226,如加利福尼亚州圣何塞市的韵律设计系统公司(CadenceDesign Systems)的E-CaptureTM产品。最好提供“设计控制台”接口228作为用户系统220的一部分。设计控制台接口228包括在用户系统228上安装并在其上运行的独立客户应用程序软件。设计控制台接口228作为与门户网站204的接口,并最好对其进行优化,从而能够以比标准web浏览器更快、更稳定、更有效的方式,互连门户网站204提供的功能和过程。最好使用通用数据接口格式或标注语言(如XML),作为系统200之各种组件之间的主要数据接口。计算机程序设计技术人员熟知XML的细节。
现在转到该系统的门户网站204,门户网站204作为各种不同领域的众多供应商106(见图1)的聚集点。门户网站204最好包括web服务器260,后者连接请求访问门户网站204的外部实体(如用户系统220),充当外部实体与门户网站204内的各种可用应用程序之间的中介。同时,门户网站204最好包括应用服务器232,后者接收外部实体通过web服务器260传送的请求,并且通过访问门户网站204包含的可通过门户网站204访问的数据库或其他资源,进行响应。应用服务器232还实现门户网站204内的命令和控制功能。
应用服务器232最好访问其信息对设计工程师有用的一个或多个数据库,此类数据库包括用户数据库235,度量数据库238,目录数据库240和商务数据库244,稍后叙述其功能。通过协同数据库234访问用户数据库235,通过度量服务器236访问度量数据库238,通过目录服务器240访问目录数据库242,通过商务服务器244访问商务数据库246。如果需要可以包含附加数据库和服务器。同时,最好通过因特网230连接门户网站204和一个或多个元件供应商数据库209,IP内核数据库208,稍后将参照图3-10详细说明其作用。
开放门户网站204支持的设计平台104还包括设计工具,目的是装配所选的IP内核块,实现IP内核块之各种I/O管脚之间的正确连接,并生成完整SoC设计的图形和文字连线表。另外,门户网站204还包括验证工具,以确保总体SoC设计内的IP内核块的正确综合和连通性。门户网站204还提供验证SoC设计之基本功能的装置。基本功能包括SoC设计上使用的基本地址译码器,总线判优器,总线桥接器等功能。稍后参照图3-7详细说明各种工具的作用。
可以利用分布式体系结构配置门户网站204提供的一个或多个数据库235,238,242和246,其中门户网站204通过安全XML隧道访问其他站点的信息。特别地,利用分布式体系结构配置目录数据库242,门户网站204通过安全XML隧道访问远程数据库(如208和209)中的目录信息。当采用分布式数据库体系结构时可使用高速缓冲处理,以改善访问性能。
一般而言,协同服务器234为最终用户102和供应商106(见图1)提供协同服务。协同服务器234最好集成并提供协同类型的应用程序,如聊天,日历,电子邮件,联机讨论会,联机会议,应用程序和桌面共享。协同服务器234最好通过“虚拟”桌面出席,提供支持专家设计援助的基础设施,其中虚拟桌面出席提供专家与设计人员之间的实时交互。
度量服务器236协助收集有关门户网站204之使用和有关供应商服务的数据。一方面,度量服务器236包含在门户网站204测量的数据的高性能、大容量数据仓库。此类数据包括网站流量模式,应用程序使用率,元件使用率(例如,通过监控材料的电子清单),用户评级反馈及其他。度量服务器236最好向专门的门户数据挖掘应用程序提供此类信息。
目录服务器240最好向驻留在用户系统220上的客户端软件提供“电子目录”服务。如上所述,采用分布式体系结构配置目录数据库242,目录服务器240和/或目录数据库242利用链接或其他资源集成目录数据库242。最好使用诸如XML之类的通用数据格式模式集成供应商目录。
正如稍后说明的那样,商务服务器244支持用户和供应商之间的交易。
在一种实施方式中,门户网站204为设计工程师提供各种资源。以下参照图3-10说明对此类资源的访问,各图分别表示访问与设计、开发和生产领域有关的信息或其他设计资源的过程。因此,门户网站204以某种方式为设计工程师提供一组综合资源。
图3是一个流程图,表示连同图2所示的系统使用的根据各种IP资源设计SoC的过程300。在图3所示的过程300的第一步骤302中,用户系统220的用户通过输入正确的统一资源定位符(URL)或其他适用地址,经由因特网230访问门户网站204,作为响应,设计控制台客户软件228在用户系统220的计算机屏幕上显示主设计控制台菜单屏幕。在过程300以及稍后说明的其他过程中,最好通过门户网站204经由因特网230传送适当命令,实现用户系统220上的数据显示,亦即,门户网站204采用合适格式(如超文本标注语言(HTML)或类似格式),通过因特网230向用户系统220传送数据,然后进行显示。计算机程序设计领域的熟练技术人员熟知经由因特网230格式化信息和传输信息的方法。
在图3所示的过程300的下一步骤304中,用户系统220的用户选择图标、链接或其他标记,搜索满足SoC设计之所需设计标准的各种IP内核设计。例如,用户点击设计控制台主屏上显示的适当图标或链接,以搜索正确的IP内核设计。通常在因特网应用程序软件中使用此类图标或链接,计算技术领域中的熟练技术人员熟知在图形用户接口中放置此类图标或链接的技术。作为选择,通过键入或输入适当命令,也可以在基于文本的环境中访问元件选择搜索。在搜索通过门户网站204连接的数据库后,门户网站204的图形显示显示满足用户输入的设计标准的各种IP内核选项。响应用户的IP内核设计搜索,在门户网站204从目录数据库242中检索可供使用或购买的不同IP内核设计的列表,然后传送给用户系统220进行显示。所列IP内核块包括虚拟微内核,现场可编程门阵列(FPGA),数字信号处理器(DSP),复杂处理内核,以及对设计工程师有用的任何其他元件。作为选择,元件可用于SoC之印刷电路板(PCB)原型,可能包括所列IP内核块中的许多内核块。所列元件包括基本设计参数和设计标准,从而用户能够确定该IP内核是否适合于新的SoC设计使用。此类信息最好构成高级数据,目的是允许用户102快速确定用户102是否在其设计中包含所选IP内核,从分类列表中查找不同部件,或收集有关所选部件的其他信息。最好向用户102提供图标或其他接口功能部件,以方便选择是否直接将所选部件合并到用户102的设计中,退回到分类列表查找不同部件,或阻止有关所选部件的附加信息。如果用户102对附加信息感兴趣,则过程300转到步骤306和308,用户102选择合适的接口功能部件(如图标或菜单选项),以接收有关所选部件的附加信息。
如果某个元件的可用部件种类不止一种,则在步骤306中,用户从步骤304提供的列表中选择元件,由此显示与特定元件相对应的可用部件,最好采用参照步骤310描述的排序。例如,如果用户选择DSP内核作为IP内核块,则用户系统220显示DSP内核的编号和频率,功耗,以及逻辑门的数目等。作为选择,可以允许用户输入搜索条件,以便利用普通数据库查询搜索满足指定条件的元件。例如,用户可搜索工作频率高于300MHz的所有DSP内核块。通过因特网230向门户网站204提供用户的搜索条件,以便执行正确的数据库搜索查询。然后门户网站204经由因特网230向用户系统220传送搜索结果。在步骤306中,用户从显示的IP设计列表中选择集成到新的SoC设计内的IP内核。利用“热链接”或图标之类的可选字符串列表显示可用元件设计的列表。用户审查选项列表,并在步骤306中,通过突出显示选项并敲击键盘按键(如回车键),或者通过利用鼠标点击选项,抑或通过选择与所需部件之排列相对应的号码,或利用任何其他选择方法,选择一个可用选项,其选择细节并不是本文描述的发明概念的操作的本质。将用户的选择从用户系统220传送到门户网站204。
接着,过程300进行到步骤308,在步骤308中,向用户传送有关所选IP的详细数据。在下一步骤310中,向用户显示在步骤306中选择的部件的附加信息。然后,用户102请求从外源(208,209)向门户网站204传送附加信息,以便最终导入到用户102的工作站和设计中。接着,门户网站204支持的设计平台104收集附加信息,并向最终用户102传送该信息。从208、209传送的附加信息包括VHDL或Verilog实际操作实现代码,以及运行模拟、综合和连通性验证所需的数据。在步骤310中,还显示与所选部件有关的其他信息。此类信息包括部件的详细信息,如元件一览表,定时模型,操作说明书,模拟模型,信号完整性模型(如IBIS模型),生产信息或其他信息。最好提供所选部件的符号和封装形式,以便用户对该元件在总设计中的布置作出合理决策。在步骤310中显示的信息还包括有关元件及其应用的操作说明书。如果需要的话,则对于集成到用户设计中的其他IP内核,重复选择特定IP内核的步骤。因此,过程300返回到步骤302,用户通过使用与设计平台104相连的资源,搜索选择剩余的IP内核。最后,在用户将IP内核添加到设计中后,过程300返回到通用设计控制台菜单。
使用图3所示的过程300对供应商和用户都是有利的。例如,使用IP内核选择和管理过程300有利于与IP内核之质量和功能部件有关的数据的交换,不仅有利于希望向最终用户演示其质量和功能部件的供应商,而且有利于对给定IP内核之质量和功能部件更有信心的用户。同时,IP内核选择和管理过程300能够提供对IP内核的保护,这对于推动供应商进入IP市场并保护其开发的IP内核的知识产权是非常重要的。此外,IP内核选择和管理过程300能够有利降低IP内核销售和许可交易的费用,因为设计人员和供应商经由设计平台104进行的交易不需要销售、市场或法律部门的人员的干预。此外,设计平台104鼓励公司(甚至行业)强制实施IP内核构建和SoC设计方面的标准。
在一种实施方式中,在设计人员选择并传送所选IP的所有相关信息后,该过程进行到步骤312,在该步骤中,用户102将IP内核布置到总SoC设计上。通过制作表示总设计上各传输IP内核之位置坐标的表项目,完成IP内核布置步骤312。另外,用户102可以手工输入表示IP内核与IP内核连接以及IP内核与总线连接的表项目。作为选择,设计平台104可以自动连接IP内核与SoC设计内的其他IP内核或总线。自动连接利用在步骤308中设计平台104向用户102传送的支持数据文件。此外,设计平台104可以包括图形用户接口(GUI),以允许用户102在SoC设计内布置、移动IP内核。用户102通过在开发设计所用的简图程序内移动、复制或拖动所选IP内核的符号,在SoC设计内放置、移动所选IP内核的图形表示(如符号)。为了支持上述GUI,IP内核的原始创建器必须创建该IP内核的符号以及附随的图形表示支持文件。可以在步骤308中与其他支持数据一起传送图形支持文件。作为将所选部件放入简图程序过程的一部分,最好复制并在用户系统220的设计数据库225中存储有关所选部件的信息。此类信息包括有关所选部件的规格,生产信息,与门户网站204的超链接,或与所选部件之供应商或经销商之元件供应数据库209的超链接。因为远程数据库的链接,所以从门户网站204选择的部件以及用户系统220的设计数据库225中存储的部件称为动态部件。也可以在用户实际位置或远程位置的独立数据库中而不是在设计数据库225中存储有关所选元件的信息。
最后,在用户将元件添加到设计中后,过程300前进到步骤314,此时认为设计完成。在步骤316中,实现各种IP内核之间的不同I/O管脚的连接。开放门户网站204支持的设计平台104提供自动连接功能。通过使用自动连接功能,设计平台104自动使用有关各IP内核的传输数据,以便自动将IP内核的各I/O管脚连接到总线。作为选择,用户102可以手工将IP内核连接到总线。最后,在步骤318中生成高级连线表700。门户网站204支持的设计平台104输出采用Verilog或VHDL之类的描述语言的连线表700。另外,设计平台104可以向用户102提供连线表700的图形表示。图7表示门户网站204包含的设计工具生成的图形格式的高级连线表示例。在生成高级连线表时,门户网站204还包括供验证过程400使用的所选IP内核的传输软件代码。在图7中,在高级连线表700中用图形方式表示各IP内核701。各IP内核701代表复杂IP设计,如DRAM或DSP。连线表700为设计平台104提供的描述语言输出,用于逻辑模拟和验证测试。向用户102提供图7中的图形表示。
在利用开放门户网站204支持设计平台104的实施方式中,或者在允许将外部IP内核连接到设计平台104的实施方式中,实施方式执行下一步骤320和过程400。稍后,为准备生产设计产品,过程300进行到步骤320(可选),在该步骤中,根据设计中的元件自动生成材料清单。除生成材料清单之外,还将有关SoC设计的所有相关支持数据一起封装到带有索引文件的文档目录中。用户通过使用设计控制台客户软件228选择适当菜单,或通过选择用户系统220上的应用程序226,或者以其他方式,自动生成材料清单。
图4为一个流程图,表示通过访问图2所示的门户网站204采购元件的流程400。用户通过访问门户网站204购买产品直接调用采购流程400,或者作为与图3所示的元件选择和管理过程300之类的较大过程的整体或一部分,自动调用采购流程400。在图4所示的采购流程400的第一步骤416中,用户选择向用户系统220的用户显示的元件或部件的采购选项。采用与图3所示步骤304和306类似的方式,向用户提供可用部件的列表,或者由用户直接输入已知部件(型号或名称),并比较输入的部件信息与门户网站或供应商数据库209中存储的部件信息。用户通过利用计算机鼠标单击购买图标或指定链接,或者通过利用计算机键盘输入购买命令,选择特定部件的购买选项。在选择特定部件的购买选项前,门户网站204向用户102提供特定用户102请求定制或修改IP内核设计时有关IP内核数据可用性和/或交付时间的信息。门户网站204还可以向用户102提供与门户网站204定期存储、更新的数据有关的信息,或者在需要时,由应用服务器232实时检索供应商数据库209。
用户选择购买选项生成报价请求,在步骤418中,用户系统220经由因特网230向门户网站204传送报价请求。在门户网站204,应用服务器232接收报价请求,并调用商务服务器248,后者访问商务数据库246生成交易记录。在为了完成最终交易的每一步骤中,更新在上一步购买交易中生成的交易记录。在下一步骤420中,应用服务器232请求供应商报价,并经由因特网230向供应商(通常为包含供应商数据库209的网站)传送该请求。请求供应商报价可能包括也可能不包括请求上述报价的用户102的详细信息,雇佣用户102的企业的企业规模,以及用户102乐意考虑的许可模型。在该实施方式中,包含上述信息,其目的是使供应商能够确定是否给予买方业界通常提供的某些折扣,如总额折扣,优先供应商或用户折扣。可以利用交易标识符标记请求供应商报价,以方便与供应商报价的匹配。
在下一步骤422中,供应商最好通过因特网230向门户网站204传送推荐的电子合同,包括报价和许可模型。最好利用作为请求供应商报价的一部分传送的交易标识符,部分标识推荐的电子合同。在步骤424中,由门户网站204将推荐的电子合同转发到用户系统220。在该实施方式中,用户和供应商均认为供应商报价为销售报价,用户接受此报价将在该用户和供应商之间形成有法律约束力的契约,其前提的用户有权签订合同。
在下一步骤426中,执行用户验证过程,以确保用户有权进行预期采购。例如,在一种实施方式中,设计控制台客户软件228确定用户是否有权进行采购。上述因素因用户而异,因公司而异,并且取决于以下因素:采购所需的货币量,提出申请的个体的资格,以及其他因素等。设计控制台客户软件228最好存储有关用户授权级别的数据,并有权使用该数据。例如,可以在用户雇主操作的独立数据库(本地或远程)中存储授权数据,需要付款的交易必须通过授权。作为选择,可以在应用服务器232中提供授权功能,因为判定授权的位置并不是本文所述的实施方式的功能性的关键所在。作为验证过程的结果,设计控制台客户软件228可能不允许用户购买所选部件,或者允许用户购买某个货币总量以下的部件。
正如步骤428所示,如果用户无权采购,则用户获得授权。授权步骤428可以采取各种形式,包括电子授权,书面授权,正式批准程序等。如果用户没有获得授权,则过程400在步骤428终止。
在步骤430中,只要用户有关进行采购,则向供应商提交订单,并且用户与供应商达成购买与交付所需产品或服务的合同。正如步骤432所示,经由门户网站204向供应商传送订单。
门户网站204可以在不同层次上卷入商业交易。例如,在步骤434中,确定门户网站204是否处理交易帐单。若不然,则在步骤436中,由应用服务器232生成经过门户网站204的交易费用(即,将用户和供应商聚集起来的佣金)部分的发票,并从门户网站204经由因特网230传送给供应商。发票反映的佣金额是根据每笔交易的总交易价值的百分比确定的,或根据特定供应商与门户网站204之运营商双方同意的条款计算出佣金额。在下一步骤438中,供应商向门户网站204呈递发票反映的金额作为补偿。在该实施方式中,开具发票和付款均采用电子方式,然而,也可以采用票据形式。为方便起见,供应商可以在门户网站204开立帐户,从而自动将金额记入借方,而不是开具发票。
回到步骤434,如果门户网站204处理帐单,则过程400转到步骤440,在该步骤中应用服务器232生成发票,并从门户网站204经由因特网230发送给用户。在下一步骤442中,用户向门户网站204划拨该发票的汇款,可采用电子方式或票据方式进行。作为选择,用户可以在门户网站204开立帐户,从而以电子方式记入借方。接着,在步骤444中,门户网站204扣除部分汇款作为充当中间人的补偿,然后将汇款额的余数划拨(以电子方式或票据方式)给供应商。此时,采购流程400结束。
只要完成在过程300中创建的设计后(并且必须在流程400中向外部销售商购买所选IP内核时),设计平台104向用户102提供ANSI-C源代码或类似的汇编语言源代码。向用户102提供源代码的目的是进行验证,用户可以顺利编译源代码,并在各种常规逻辑模拟器和验证工具中使用,以确保总设计的完整性。图5是一个流程图,表示可与图2所示的系统一起使用的设计验证过程500。
在过程300中传输所选IP内核数据后,在步骤502中,设计人员可以单独测试、验证所传输的IP内核的功能。为了执行块级验证过程,IP内核的原始设计必须包括既能标识IP内核类型又能标识IP内核设计之目的的文件。必须与Verilog或VHDL文件一起传输该文件,作为附加支持数据。块级验证文件为源代码文件,为原始IP内核创建器创建的Verilog、VHDL或ANSI-C文件。块级验证文件通常称为测试工作台文件。目前,块级验证是在外部执行的,因为设计IP内核时其设计通常不包含测试工作台文件。因为大部分用户了解块级IP内核的功能,并且其功能验证比较简单。通常,测试工作台文件是不必要的,因为大部分用户102能够轻易识别并测试块级IP内核设计。然而,为了使本发明的设计平台104更加精炼,并且为了提高设计平台104的使用率,最好使全部验证功能完全自动化。因此,将来设计IP内核时需要编写测试工作台文件,以标识IP内核及其功能。当越来越多的IP内核设计包含测试工作台文件时,设计平台104可以验证IP内核的功能及其完整传输。块级验证包括单独将各IP内核块放置到测试工作台上。可以利用非常慢的VHDL模拟器或C模拟器进行块级模拟。通过验证过程300完全传输了所有IP内核块数据,块级验证确保总设计的完整性。通过与特定IP内核设计一起传输所有支持数据文件,设计平台104输出ANSI-C源代码,其中可以在各种常规验证和逻辑模拟工具上编译、执行以上源代码。
接着,在步骤504中,设计平台104验证总设计的综合和连通性。步骤504的目的在于确保将各IP内核块的每个I/O端口正确综合连接到总设计内使用其他IP内核块和总线。在步骤504中,使用传输过程300中附随实际操作实现代码(用VHDL或Verilog编写)提供的诸如I/O管脚数据和寄存器映射数据之类的支持数据,验证IP内核块的综合和连通性。用户102经由设计平台104配置IP内核的具体参数,并且设计平台104生成的连线表包括以上参数。如上所述,设计平台104采用VHDL,Verilog或其他硬件语言,生成全部SoC连线表的实际操作实现代码。在步骤506中,设计平台104生成实际操作实现代码连线表,以便生成设计验证所需的ANSI-C或任何其他汇编语言的源代码文件。如上所述,可以利用各种逻辑模拟器编译、利用生成的连线表和验证源代码文件。在步骤508中,利用逻辑模拟器验证所生成的连线表代表的总设计的IP内核块的综合和连通性。常规方法要求所有设计人员编写C语言代码或其他汇编语言代码,编译编写的代码供各种逻辑模拟器使用。在该实施方式中,设计平台自动利用传输的数据文件生成C语言代码(或其他汇编语言代码),以便进行综合和连通性验证。
在验证总设计的综合和连通性后,设计平台104在步骤510中提供总设计功能验证。在每个SoC设计中,将基本功能元件放置到设计上以便执行诸如地址译码器、总线判优器、总线桥接器之类的基本任务。必须验证基本元件的功能性。设计平台104包括用于模拟基本元件之操作的验证工具。
在验证过程500中,如果IP内核块设计出现错误,则设计平台104通过网络(如因特网230)提供对原始IP内核块设计人员的访问,通知IP内核块设计人员或使用该IP内核块的其他设计人员出现的错误。在改正原始IP内核设计后,设计平台104自动向其SoC设计采用该IP内核的所有用户102传输修正后的IP内核设计。因此,该实施方式提供了跟踪IP内核块设计缺陷的方法。此外,在改正IP内核块的缺陷后,设计平台104提供向该IP内核块的所有用户宣传其修正的方法。
在生成全部SoC设计的连线表后,设计平台104允许前端用户102将连线表输出和附随软件合并到各种众所周知的综合、布置和绕线工具中,以便装配最终设计。
可以理解,设计平台104具有多种功能和非常大的灵活性,能够把电路设计人员和工程师与对设计过程非常有用的供应商和其他资源联系起来。设计平台104提供的基础设施最好能够容纳数目越来越多的用户和供应商。实际上,系统的效率和使用率会随着供应商数据的增长而增长。随着供应商数目的增长,可用服务和工具将越来越多,并且系统也能更好地满足用户的综合需求。同时,该系统能够推动小型供应商的参与,因为链接到门户网站的前期费用远远低于供应商在全面销售和营销方面的花费。从用户观点看,以每个使用为基础或以其他短期为基础访问大量EDA软件工具的用户数快速增长,使得人们越来越买得起此类工具,从而越来越多的最终用户能够使用此类工具。
在一种实施方式中,门户网站204推动通过门户网站204提供的不同服务和过程之间的联系。例如,用户通过使用门户网站204的功能许可IP内核数据库208中的某个IP内核,请求设计专家203(通过门户网站204确定专家并进行联系)的专家援助,以便将获得许可的IP内核集成到更大的设计中,利用通过门户网站204从EDA工具供应商210那里获得的软件模拟总设计(包括获得许可的IP内核),并通过门户网站204在计算机场地205上运行模拟。
图2-5将本实施方式描述为门户网站204支持的设计平台104,其中可以通过因特网230公开访问门户网站204。本发明的另一种实施方式能够提供因特网230上的封闭门户网站。只有指定用户102和指定供应商106才能访问封闭门户网站。用户102和供应商106可以为单一实体工作站网络220内的内部设计小组,或者为通过因特网230连接起来的各种外部用户102和供应商106。图6表示将设计平台104加载到用户工作站620的应用程序存储磁芯626上的本发明的一种实施方式。尽管只显示了两台用户工作站620,但是应该理解,通过LAN或因特网/内联网630可以连接许多用户工作站620。工作站620可通过因特网230或通过内联网访问其他工作站220的IP内核设计。因为设计平台104是采用JAVA语言编写的,所以设计平台能够在各种类型的计算机工作站620上运行。用户工作站620包含设计目录635,后者存储用户的IP内核设计和支持文件。因此,该实施方式同样支持开放门户网站204支持的设计平台104的功能。例如,申请日期为2000年2月28日的美国专利申请No.09/514,757(代理人文件号247/237)公开了门户网站支持的电路设计平台示例。本文引用美国专利申请No.09/514,757(代理人文件号247/237)作为参考。
另外,可以通过图形用户接口(GUI)向用户提供本发明的各种实施方式和功能。图8a-8d和图9表示该电路设计平台之各个阶段的典型GUI屏幕快照。例如,图8a表示创建IP内核或搜索IP内核以将其导入SoC设计时向用户提供的GUI。GUI屏幕800包括可利用键盘或鼠标进行选择的各种图标805和工具栏810。例如,选择图标805或工具栏810上的工具,将向用户102提供窗口815。窗口815向用户102提供协助对新创建的IP内核进行分类的各种设计参数,或者向用户102提供搜索IP内核时用于提供主要特征的装置。在步骤304中,用户102利用设计平台支持的搜索引擎查找各种远程IP内核资源。窗口815向用户提供用于选择各种IP内核所需的主要设计特征的装置。在创建IP内核后,存储到与不同用户工作站相连的数据库225中。在创建并存储IP内核后,在图8b所示的GUI页面上显示该IP内核。正如图8b所示,屏幕800可以显示所存储的IP内核数据的各种链接。图8b表示公布IP内核设计的IP内核创建器的GUI页面示例。SoC设计人员/用户102可以搜索用户的工作站网络,查找满足特定设计标准的IP内核。接着,用户102选择图8b所示的IP公布页面上的适当链接,以开始元件数据文件传输。图8c所示的GUI页面为用户提供用于确定元件数据文件之传输过程是否结束的装置。为用户102提供诸如图8c所示的GUI页面,该页面列出已经传输的各种IP内核数据文件。在图8c中,为用户102提供用于描述各种IP元件数据文件文件夹825的图标。在GUI窗口830中,向用户102提供各文件夹825中存储的数据的细节。
在导入全部IP内核数据文件后,用户可以开始SoC综合和连接。图8d向用户102提供可能发生的GUI示例,表示布置在SoC设计内的IP内核。在将IP内核布置、合并到用户102的SoC设计内之后,电路设计平台生成总SoC设计内包含的所有元件的连线表。图9表示连线表输出的示例GUI。正如图9所示,屏幕800包括图标805和工具栏810。另外,图9还描绘了代表各IP内核之不同元件文件数据的文件夹图标825。可以选择文件夹825以便进一步显示支持各IP内核元件数据文件的所有源代码文件列表830。源代码文件列表830包括诸如电源管理模型、管脚图表、验证文件之类的文件。另外,可以选择各源代码文件的选项,以便向用户102显示实际源代码。通过经由GUI修改、编辑源代码文件,允许用户改变或定制IP内核设计,以满足特定SoC设计参数。
图10表示本发明包含的各种功能部件的框图。系统1000包括位于中心的平台构造器1001。将平台构造器1001连接到各种功能部件,或构成本发明之各种实施方式的部件的任意组合。例如,将平台构造器1001连接到数据库1002。数据库1002存储文件夹1003,后者包含创建或导入的IP内核元件数据文件。将数据库1002连接到顺从检验器1004,以确保新的SoC设计遵守客户或公司的设计标准。将顺从检验器1004连接到IP创建器封装1005,从而每个新创建的IP内核均遵守所有公司标准。另外,将平台构造器1001连接到IP内核搜索引擎1006。搜索引擎允许用户102使用平台构造器1001搜索远程创建的IP内核。还可以把IP内核搜索引擎1006与合同构造器1007联系起来,合同构造器1007提供用户102与第三方销售商103之间发生的技术转让或许可。可以将平台构造器1001进一步连接到功率/面积估计1008和/或缺陷报告通知系统1009。此外,可以将平台构造器1001连接到平台浏览器1010或VCC 1011。而且可以将平台构造器1001连接到接口顺从检验器1012和测试生成器1013。将接口顺从检验器1012连接到连线表生成器1014,以提供总SoC设计上所有元件的源代码输出。
公开了一种方便电路设计并以商务为基础向用户提供设计工具和服务的方法,以及其某些附带优势。然而,应该理解,可以对该系统和/或处理步骤的形式、内容和排列作出各种变更而并不背离本发明的实质和范围,本文描述的系统和方法仅仅是其首选或典型实施方式。因此,除附属权利要求书及其法律等价物之外,不对本发明进行任何限制或限定。

Claims (35)

1.一种电路设计系统,包括:
包含目录数据库的计算机系统,可通过分布式电子网络访问所述计算机系统,所述目录数据库存储在设计过程的不同阶段中使用的元件数据;
在所述计算机系统上运行的应用服务器,所述应用服务器与所述目录数据库相连,所述应用服务器还包括:
能够搜索分布式电子网络查找特定元件数据的搜索引擎;
用于在总电路设计上放置元件的电路综合器;
用于连接总电路设计上放置的元件的元件连接器;
能够生成总芯片设计之源代码连线表的连线表生成器,所述源代码连线表包括元件位置和连接数据;
能够生成各种逻辑模拟器编译、执行的源代码的验证源代码生成器;以及
所述电路设计系统还包括由所述计算机系统管理的网络接口,用于提出或接收请求,以便通过所述分布式电子网络访问其他计算机系统上的所述元件数据。
2.权利要求1的电路设计系统,其中在设计过程的不同阶段中使用的所述元件数据包括可用电子元件的列表。
3.权利要求2的电路设计系统,其中在设计过程的不同阶段中使用的所述元件数据包括与可用电子元件一起使用的支持数据文件。
4.权利要求3的电路设计系统,其中所述支持数据文件包括元件一览表,定时模型,操作说明书,模拟模型,I/O管脚数据,寄存器映射数据,验证源代码,功率数据模型,区域面积数据模型,测试工作台文件,缺陷跟踪报告以及信号完整性模型。
5.权利要求1的电路设计系统,其中所述目录数据库包括一个或多个供应商数据库的电子链接。
6.权利要求1的电路设计系统,其中所述网络接口接收传送元件设计文件的请求,作为响应,所述应用服务器将所述元件设计文件转发到可通过分布式电子网络访问的远程计算机系统上。
7.权利要求6的电路设计系统,其中远程用户支付指定费用后即可访问所述元件设计文件。
8.权利要求1的电路设计系统,其中所述应用服务器包含用JAVA语言编写的源代码。
9.权利要求8的电路设计系统,其中所述应用服务器支持开放门户网站。
10.权利要求8的电路设计系统,其中所述应用服务器支持封闭门户网站。
11.一种通过使用多个用户的资源设计电路的方法,包括以下步骤:
在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
通过分布式电子网络将第二用户系统连接到所述第一用户系统,所述第一用户系统包括与第一数据库互连的第一应用服务器,所述第二用户系统包括与第二数据库互连的第二应用服务器;
接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件;
所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
通过所述分布式电子网络,从所述第一用户系统中接收所述可用电子元件的某个元件的元件选择;
所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
检索与所选电子元件有关的其他信息;以及
经由分布式电子网络从第一用户系统向第二用户系统传输至少一个元件数据文件;
将第一个传输数据文件代表的第一个元件集成到电路设计内;
互连第一个元件与电路设计上的现有元件;
通过使用至少一个元件数据文件,生成电路设计的连线表源代码;
通过使用传送的元件数据文件,验证第一个元件的功能;以及
根据传送的数据文件,生成电路设计的综合连接元件的验证源代码。
12.权利要求11的方法,其中存储元件数据文件的所述步骤包括存储采用硬件语言、文本、c代码或汇编语言编写的实际操作实现代码和支持数据文件。
13.权利要求11的方法,其中利用图形用户接口支持所述搜索、选择、集成、互连、以及生成连线表和验证文件的步骤。
14.权利要求11的方法,其中通过使用传送的元件数据文件,由电路设计平台自动执行所述互连步骤。
15.权利要求11的方法,还包括以下步骤,在第一和第二用户系统上提供图形用户接口,在所述第一和第二用户系统上接收与所述元件数据文件之某个文件相对应的输入选择,以及从所述第二用户系统向所述第一用户系统传送所述输入选择的指示;
其中接收所述第二用户系统之请求以访问第一数据库中存储的所述众多元件数据文件之某一文件的所述步骤包括以下步骤,接收所述第二用户系统的所述输入选择的所述指示。
16.权利要求11的方法,其中所述分布式电子网络包括因特网。
17.权利要求16的方法,其中所述应用服务器支持开放门户网站,开放门户网站允许公开访问与因特网相连的任意用户工作站。
18.权利要求16的方法,其中所述应用服务器支持封闭门户网站,封闭门户网站允许公开访问与因特网相连的指定用户工作站。
19.权利要求11的方法,其中当修改传送的元件数据文件时,所述第二用户自动接收所述第一用户系统的更新元件文件。
20.权利要求16的方法,其中所述元件数据包括所述可用电子元件之供应商的链接。
21.一种通过使用多个用户的资源设计电路的系统,包括:
第一数据库,用于在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
网络管理器,通过分布式电子网络将所述第一用户系统连接到第二用户系统,所述第一用户系统包括与第一数据库互连的应用服务器,所述第二用户系统包括与第二数据库互连的应用服务器;
网络接口,接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件,并经由分布式电子网络,从第一用户系统向第二用户系统传送至少一个元件数据文件;
搜索引擎,用于所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
选择装置,用于所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
电路综合器,用于将第一个传输数据文件代表的第一个元件集成到电路设计内;
电路元件连接器,用于互连第一个元件与电路设计上的现有元件;
连线表源代码生成器,用于通过使用众多元件数据文件,生成电路设计;
元件功能验证器,用于通过使用传送的元件数据文件,验证第一个元件的功能;以及
电路验证文件生成器,用于生成众多逻辑模拟器编译、执行的源代码文件。
22.权利要求21的系统,其中第一数据库上存储的元件数据文件包括采用硬件语言编写的实际操作实现代码,采用汇编语言存储所述支持数据文件。
23.权利要求21的系统,其中利用图形用户接口支持所述搜索引擎、选择装置、电路综合器、电路元件连接器以及连线表源代码生成器。
24.权利要求21的系统,其中电路元件连接器还包括使用传送的元件数据文件的自动元件连接器。
25.权利要求21的系统,其中所述分布式电子网络包括因特网。
26.权利要求25的系统,其中所述应用服务器支持开放门户网站,开放门户网站允许公开访问与因特网相连的任意用户工作站。
27.权利要求25的系统,其中所述应用服务器支持封闭门户网站,封闭门户网站允许公开访问与因特网相连的指定用户工作站。
28.权利要求21的系统,还包括缺陷跟踪器,其中当发现传输的元件数据文件有错误时,所述第一用户系统向第二用户系统自动传送经过更新的元件文件。
29.一种通过使用多个用户的资源设计电路的方法,包括以下步骤:
在与第一用户系统相连的第一数据库上,存储众多元件数据文件;
通过分布式电子网络将第二用户系统连接到所述第一用户系统,所述第一用户系统包括与第一数据库互连的第一应用服务器,所述第二用户系统包括与第二数据库互连的第二应用服务器;
接收所述第二用户系统的请求,以便访问第一数据库上存储的众多元件数据文件;
所述第二用户系统搜索第一数据库中存储的众多元件数据文件;
通过所述分布式电子网络,从所述第一用户系统中接收所述可用电子元件的某个元件的元件选择;
所述第二用户系统选择第一数据库中存储的众多元件数据文件的至少一个元件数据文件;
检索与所选电子元件有关的其他信息;
经由分布式电子网络从第一用户系统向第二用户系统传输至少一个元件数据文件;以及
通过使用传输的元件数据文件,生成总电路设计和附随的总电路支持文件。
30.权利要求29的方法,其中生成总电路设计的步骤还包括,将第一个传输数据文件代表的第一个元件集成到电路设计内。
31.权利要求29的方法,其中生成总电路设计的步骤还包括,互连第一个元件与电路设计上的现有元件。
32.权利要求29的方法,其中生成总电路设计的步骤还包括,通过使用至少一个元件数据文件,生成电路设计的连线表源代码。
33.权利要求29的方法,其中生成总电路设计的步骤还包括,通过使用传送的元件数据文件,验证第一个元件的功能。
34.权利要求29的方法,其中生成总电路设计的步骤还包括,根据传送的数据文件,生成电路设计的综合连接元件的验证源代码。
35.权利要求29的方法,还包括以下步骤:
导入通过分布式电子网络链接的远程数据库中先前设计的IP元件的源代码文件;
将导入的IP元件组装到单一电路设计中;以及
生成代表单一电路设计的源代码和支持文件。
CNB028114728A 2001-06-08 2002-06-06 使用远程资源的芯片设计方法和系统 Expired - Fee Related CN100489865C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/877,419 US6578174B2 (en) 2001-06-08 2001-06-08 Method and system for chip design using remotely located resources
US09/877,419 2001-06-08

Publications (2)

Publication Number Publication Date
CN1735891A CN1735891A (zh) 2006-02-15
CN100489865C true CN100489865C (zh) 2009-05-20

Family

ID=25369923

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028114728A Expired - Fee Related CN100489865C (zh) 2001-06-08 2002-06-06 使用远程资源的芯片设计方法和系统

Country Status (6)

Country Link
US (1) US6578174B2 (zh)
EP (1) EP1402425A4 (zh)
JP (2) JP2004531835A (zh)
CN (1) CN100489865C (zh)
AU (1) AU2002305887A1 (zh)
WO (1) WO2003003147A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107003838A (zh) * 2014-12-22 2017-08-01 英特尔公司 解码信息库

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7124376B2 (en) * 2000-05-02 2006-10-17 Palmchip Corporation Design tool for systems-on-a-chip
JP2002041126A (ja) * 2000-07-27 2002-02-08 Toshiba Corp 半導体デバイスの生産方法及び生産システム
JP2002092070A (ja) * 2000-09-20 2002-03-29 Sumitomo Rubber Ind Ltd 設計支援システム
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US7765095B1 (en) 2000-10-26 2010-07-27 Cypress Semiconductor Corporation Conditional branching in an in-circuit emulation system
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
JP4118501B2 (ja) * 2000-11-15 2008-07-16 株式会社ルネサステクノロジ システム検証装置
JP2002157295A (ja) * 2000-11-21 2002-05-31 Nec Microsystems Ltd 半導体回路設計装置および半導体回路設計方法
US6694494B2 (en) * 2001-03-16 2004-02-17 Daro Semiconductors Ltd. Method of designing a multi-module single-chip circuit system
US7814020B2 (en) * 2001-04-12 2010-10-12 Nvidia International, Inc. System, method and computer program product for the recording and playback of transaction macros
US6757882B2 (en) * 2001-06-16 2004-06-29 Michael Y. Chen Self-describing IP package for enhanced platform based SOC design
US20030009730A1 (en) * 2001-06-16 2003-01-09 Chen Michael Y. Enhanced platform based SOC design including exended peripheral selection and automated IP customization facilitation
US20030005396A1 (en) * 2001-06-16 2003-01-02 Chen Michael Y. Phase and generator based SOC design and/or verification
JP2003022378A (ja) * 2001-07-06 2003-01-24 Mitsubishi Electric Corp 半導体設計資産流通システム
US7039892B2 (en) * 2001-07-24 2006-05-02 Hewlett-Packard Development Company, L.P. Systems and methods for ensuring correct connectivity between circuit designs
JP5318308B2 (ja) * 2001-08-16 2013-10-16 ゲットナー・ファンデーション・エルエルシー 半導体基板の生産システム
EP1286279A1 (de) * 2001-08-21 2003-02-26 Alcatel Konfigurations tool
US7185286B2 (en) * 2001-08-28 2007-02-27 Nvidia International, Inc. Interface for mobilizing content and transactions on multiple classes of devices
US7020716B2 (en) * 2001-08-31 2006-03-28 Adaptec, Inc. Method and system for verifying the hardware implementation of TCP/IP
US20030050967A1 (en) * 2001-09-11 2003-03-13 Bentley William F. Apparatus and method for optimal selection of IP modules for design integration
US6714828B2 (en) * 2001-09-17 2004-03-30 Formfactor, Inc. Method and system for designing a probe card
US7420392B2 (en) * 2001-09-28 2008-09-02 Xilinx, Inc. Programmable gate array and embedded circuitry initialization and processing
US6781407B2 (en) 2002-01-09 2004-08-24 Xilinx, Inc. FPGA and embedded circuitry initialization and processing
US7559032B2 (en) * 2001-10-12 2009-07-07 National Instruments Corporation System and method for enabling a graphical program to respond to user interface events
US6931606B1 (en) * 2001-10-15 2005-08-16 Lsi Logic Corporation Automatic method and system for instantiating built-in-test (BIST) modules in ASIC memory designs
US7406674B1 (en) 2001-10-24 2008-07-29 Cypress Semiconductor Corporation Method and apparatus for generating microcontroller configuration information
US20030093494A1 (en) * 2001-10-31 2003-05-15 Ilia Zverev Interactive application note and method of supporting electronic components within a virtual support system
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US7464016B2 (en) * 2001-11-09 2008-12-09 Sun Microsystems, Inc. Hot plug and hot pull system simulation
US7013442B2 (en) * 2001-11-13 2006-03-14 Roberto Suaya Synthesis strategies based on the appropriate use of inductance effects
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US6971004B1 (en) 2001-11-19 2005-11-29 Cypress Semiconductor Corp. System and method of dynamically reconfiguring a programmable integrated circuit
US7774190B1 (en) 2001-11-19 2010-08-10 Cypress Semiconductor Corporation Sleep and stall in an in-circuit emulation system
US6966039B1 (en) * 2001-11-19 2005-11-15 Cypress Semiconductor Corp. Method for facilitating microcontroller programming
US8069405B1 (en) * 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
US7770113B1 (en) 2001-11-19 2010-08-03 Cypress Semiconductor Corporation System and method for dynamically generating a configuration datasheet
US7010773B1 (en) 2001-11-19 2006-03-07 Cypress Semiconductor Corp. Method for designing a circuit for programmable microcontrollers
EP1318463A1 (en) * 2001-12-05 2003-06-11 Design and Reuse Electronic virtual components description import in intranet catalogs
US6698003B2 (en) * 2001-12-06 2004-02-24 International Business Machines Corporation Framework for multiple-engine based verification tools for integrated circuits
EP1451732A4 (en) * 2001-12-10 2007-08-08 Mentor Graphics Corp AUTOMATED ELECTRONIC DESIGN REALIZED IN PARALLEL: SHARED SIMULTANEOUS MODIFICATION
US7516435B2 (en) * 2001-12-10 2009-04-07 Mentor Graphics Corporation Reservation of design elements in a parallel printed circuit board design environment
US7587695B2 (en) * 2001-12-10 2009-09-08 Mentor Graphics Corporation Protection boundaries in a parallel printed circuit board design environment
US7146579B2 (en) * 2001-12-13 2006-12-05 Visteon Global Technologies, Inc. VRML interface software for image and data compilation
US6889366B2 (en) * 2001-12-27 2005-05-03 Lsi Logic Corporation System and method for coevolutionary circuit design
JP3939550B2 (ja) * 2001-12-28 2007-07-04 株式会社リコー オブジェクト整合管理方法及びシステム
CA2473956A1 (en) * 2002-01-23 2003-07-31 Intellitech Corporation Management system, method and apparatus for licensed delivery and accounting of electronic circuits
US20030145300A1 (en) * 2002-01-28 2003-07-31 Tran Trung M. Layout tracking solutions
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6941538B2 (en) * 2002-02-22 2005-09-06 Xilinx, Inc. Method and system for integrating cores in FPGA-based system-on-chip (SoC)
US6754882B1 (en) * 2002-02-22 2004-06-22 Xilinx, Inc. Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)
US6976160B1 (en) 2002-02-22 2005-12-13 Xilinx, Inc. Method and system for controlling default values of flip-flops in PGA/ASIC-based designs
US7134096B2 (en) 2002-02-22 2006-11-07 Flextronics International Usa, Inc. System and method for design, procurement and manufacturing collaboration
US6934922B1 (en) 2002-02-27 2005-08-23 Xilinx, Inc. Timing performance analysis
US6839874B1 (en) 2002-02-28 2005-01-04 Xilinx, Inc. Method and apparatus for testing an embedded device
US7111217B1 (en) 2002-02-28 2006-09-19 Xilinx, Inc. Method and system for flexibly nesting JTAG TAP controllers for FPGA-based system-on-chip (SoC)
US7088767B1 (en) 2002-03-01 2006-08-08 Xilinx, Inc. Method and apparatus for operating a transceiver in different data rates
US7187709B1 (en) 2002-03-01 2007-03-06 Xilinx, Inc. High speed configurable transceiver architecture
US7111220B1 (en) 2002-03-01 2006-09-19 Xilinx, Inc. Network physical layer with embedded multi-standard CRC generator
US6961919B1 (en) 2002-03-04 2005-11-01 Xilinx, Inc. Method of designing integrated circuit having both configurable and fixed logic circuitry
JP4440515B2 (ja) * 2002-03-20 2010-03-24 富士通マイクロエレクトロニクス株式会社 Lsi開発装置及びlsi開発システム
US20030188278A1 (en) * 2002-03-26 2003-10-02 Carrie Susan Elizabeth Method and apparatus for accelerating digital logic simulations
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US20030191656A1 (en) * 2002-04-06 2003-10-09 Staples Peter Ethan Management of collaborative design process
US20060253480A1 (en) * 2002-04-06 2006-11-09 Staples Peter E Collaborative design process for a design team, outside suppliers, and outside manufacturers
US7100139B1 (en) * 2002-04-08 2006-08-29 Cypress Semiconductor Corporation Pinout views for allowed connections in GUI
US7308608B1 (en) 2002-05-01 2007-12-11 Cypress Semiconductor Corporation Reconfigurable testing system and method
JP4063585B2 (ja) * 2002-05-20 2008-03-19 富士通株式会社 Bwb伝送配線設計システム
US6973405B1 (en) 2002-05-22 2005-12-06 Xilinx, Inc. Programmable interactive verification agent
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7712056B2 (en) * 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229612A1 (en) * 2002-06-10 2003-12-11 Keller S. Brandon Circuit design duplication system
US6772405B1 (en) 2002-06-13 2004-08-03 Xilinx, Inc. Insertable block tile for interconnecting to a device embedded in an integrated circuit
US6661724B1 (en) 2002-06-13 2003-12-09 Cypress Semiconductor Corporation Method and system for programming a memory device
US7085973B1 (en) 2002-07-09 2006-08-01 Xilinx, Inc. Testing address lines of a memory controller
FR2843200B1 (fr) * 2002-07-30 2004-12-10 Bull Sa Procede et systeme de reconnaissance automatique de configurations de simulations d'un circuit integre
JP2004094364A (ja) * 2002-08-29 2004-03-25 Renesas Technology Corp 半導体設計資産配信システム
US7761845B1 (en) 2002-09-09 2010-07-20 Cypress Semiconductor Corporation Method for parameterizing a user module
US7092865B1 (en) 2002-09-10 2006-08-15 Xilinx, Inc. Method and apparatus for timing modeling
JP2004128228A (ja) * 2002-10-02 2004-04-22 Sanyo Electric Co Ltd 回路装置の製造方法
JP2004128227A (ja) * 2002-10-02 2004-04-22 Sanyo Electric Co Ltd 回路装置提供システム及びサーバコンピュータ
US20040100900A1 (en) * 2002-11-25 2004-05-27 Fulcrum Microsystems, Inc. Message transfer system
US7055113B2 (en) * 2002-12-31 2006-05-30 Lsi Logic Corporation Simplified process to design integrated circuits
US7194705B1 (en) * 2003-03-14 2007-03-20 Xilinx, Inc. Simulation of integrated circuitry within a high-level modeling system using hardware description language circuit descriptions
DE10313869A1 (de) * 2003-03-21 2004-10-07 Siemens Ag Verfahren zum Projektieren einer Niederspannungs-Energieanlage
US6925614B2 (en) * 2003-04-01 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for protecting and integrating silicon intellectual property (IP) in an integrated circuit (IC)
JP2005011055A (ja) * 2003-06-19 2005-01-13 Hitachi Ltd 電子帳票設計管理方法及び装置並びに処理プログラムと記録媒体
US7454323B1 (en) * 2003-08-22 2008-11-18 Altera Corporation Method for creation of secure simulation models
US7580769B2 (en) * 2003-08-28 2009-08-25 The Boeing Company Tool operator instructions system and method
US7212882B2 (en) * 2003-08-28 2007-05-01 The Boeing Company Tooling data structure
US7421014B2 (en) * 2003-09-11 2008-09-02 Xilinx, Inc. Channel bonding of a plurality of multi-gigabit transceivers
US7461371B2 (en) * 2003-09-11 2008-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. General purpose memory compiler system and associated methods
US20060259978A1 (en) * 2003-09-26 2006-11-16 Pikus Fedor G Secure exchange of information in electronic design automation with license-related key generation
US7222312B2 (en) * 2003-09-26 2007-05-22 Ferguson John G Secure exchange of information in electronic design automation
US7353468B2 (en) * 2003-09-26 2008-04-01 Ferguson John G Secure exchange of information in electronic design automation
US7496871B2 (en) * 2003-10-21 2009-02-24 Roberto Suaya Mutual inductance extraction using dipole approximations
US8161438B2 (en) 2003-10-21 2012-04-17 Mentor Graphics Corporation Determining mutual inductance between intentional inductors
US20050096935A1 (en) * 2003-11-03 2005-05-05 Data I/O Corporation Remote development support system and method
US7590963B2 (en) * 2003-11-21 2009-09-15 Mentor Graphics Corporation Integrating multiple electronic design applications
US7305648B2 (en) * 2003-11-21 2007-12-04 Mentor Graphics Corporation Distributed autorouting of conductive paths in printed circuit boards
US7269803B2 (en) * 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7188329B2 (en) * 2004-02-13 2007-03-06 Inventec Corporation Computer-assisted electronic component schematic linking method
US7093218B2 (en) * 2004-02-19 2006-08-15 International Business Machines Corporation Incremental, assertion-based design verification
US20050188334A1 (en) * 2004-02-24 2005-08-25 Shah Gaurav R. Circuit design interface
US7295049B1 (en) 2004-03-25 2007-11-13 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US7620743B2 (en) * 2004-04-01 2009-11-17 Lsi Corporation System and method for implementing multiple instantiated configurable peripherals in a circuit design
US20050229143A1 (en) * 2004-04-01 2005-10-13 Lsi Logic Corporation System and method for implementing multiple instantiated configurable peripherals in a circuit design
US7003362B2 (en) * 2004-05-11 2006-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for customized tape-out requests for integrated circuit manufacturing
US7325220B1 (en) * 2004-06-23 2008-01-29 Altera Corporation Techniques for automatically recommending a suitable programmable IC for a circuit design
US20060004638A1 (en) * 2004-07-02 2006-01-05 Royal Eliza H Assisted electronic product design
US8286125B2 (en) 2004-08-13 2012-10-09 Cypress Semiconductor Corporation Model for a hardware device-independent method of defining embedded firmware for programmable systems
US8069436B2 (en) 2004-08-13 2011-11-29 Cypress Semiconductor Corporation Providing hardware independence to automate code generation of processing device firmware
US20060101368A1 (en) * 2004-09-08 2006-05-11 Mentor Graphics Corporation Distributed electronic design automation environment
US7546571B2 (en) * 2004-09-08 2009-06-09 Mentor Graphics Corporation Distributed electronic design automation environment
KR100631202B1 (ko) * 2005-01-11 2006-10-04 삼성전자주식회사 Cdma 버스를 이용한 원칩 시스템 및 그의 데이터전송방법
US20060190111A1 (en) * 2005-02-03 2006-08-24 Wang Beniz System and method of designing a product or a module therein based on experiences of modular designs accumulated previously
US7332976B1 (en) 2005-02-04 2008-02-19 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US7437321B2 (en) * 2005-02-16 2008-10-14 Vista Print Technologies Limited Product design system and method
US7315991B1 (en) * 2005-02-23 2008-01-01 Xilinx, Inc. Compiling HLL into massively pipelined systems
US7383526B2 (en) * 2005-03-23 2008-06-03 Inventec Corporation Cost-optimization method
US8428761B2 (en) * 2005-03-31 2013-04-23 Semiconductor Energy Laboratory Co., Ltd. Production system and production method
EP1715434A1 (en) * 2005-04-22 2006-10-25 Kuei-Ann Wen Circuit design platform
US7400183B1 (en) 2005-05-05 2008-07-15 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US8089461B2 (en) 2005-06-23 2012-01-03 Cypress Semiconductor Corporation Touch wake for electronic devices
US7469398B2 (en) * 2005-08-16 2008-12-23 Lsi Corporation IP placement validation
US20070050268A1 (en) * 2005-08-24 2007-03-01 Han Charles S Matching CAD objects with relevant manufacturer-and supplier-supplied content leveraging pay-for-placement search engine technology
US8326926B2 (en) 2005-09-13 2012-12-04 Mentor Graphics Corporation Distributed electronic design automation architecture
US8085067B1 (en) 2005-12-21 2011-12-27 Cypress Semiconductor Corporation Differential-to-single ended signal converter circuit and method
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
US20090222927A1 (en) * 2006-04-30 2009-09-03 Pikus Fedor G Concealment of Information in Electronic Design Automation
JP2007310565A (ja) * 2006-05-17 2007-11-29 Toshiba Corp システムlsi検証装置及びシステムlsi検証プログラム
US20080005041A1 (en) * 2006-05-30 2008-01-03 Ones And Zeros Technologies, Inc. Method and apparatus for compensating electronics designers
JP2008020960A (ja) * 2006-07-10 2008-01-31 National Applied Research Lab National Chip Implementation Center MP‐SoCプラットフォームおよびその設計方法
US8060851B2 (en) * 2006-10-13 2011-11-15 Verigy (Singapore) Pte. Ltd. Method for operating a secure semiconductor IP server to support failure analysis
US7941770B2 (en) * 2007-01-25 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing an online design platform for integrated circuits
US20080222581A1 (en) * 2007-03-09 2008-09-11 Mips Technologies, Inc. Remote Interface for Managing the Design and Configuration of an Integrated Circuit Semiconductor Design
US20080244501A1 (en) * 2007-04-02 2008-10-02 International Business Machines Corporation Method for reading information from a hierarchical design
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US9564902B2 (en) 2007-04-17 2017-02-07 Cypress Semiconductor Corporation Dynamically configurable and re-configurable data path
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8040266B2 (en) * 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8092083B2 (en) * 2007-04-17 2012-01-10 Cypress Semiconductor Corporation Temperature sensor with digital bandgap
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US8516025B2 (en) 2007-04-17 2013-08-20 Cypress Semiconductor Corporation Clock driven dynamic datapath chaining
US8065653B1 (en) 2007-04-25 2011-11-22 Cypress Semiconductor Corporation Configuration of programmable IC design elements
US8266575B1 (en) 2007-04-25 2012-09-11 Cypress Semiconductor Corporation Systems and methods for dynamically reconfiguring a programmable system on a chip
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US7882473B2 (en) 2007-11-27 2011-02-01 International Business Machines Corporation Sequential equivalence checking for asynchronous verification
US7788623B1 (en) 2007-11-29 2010-08-31 Lattice Semiconductor Corporation Composite wire indexing for programmable logic devices
US9087164B2 (en) * 2008-01-26 2015-07-21 National Semiconductor Corporation Visualization of tradeoffs between circuit designs
US7966588B1 (en) * 2008-01-26 2011-06-21 National Semiconductor Corporation Optimization of electrical circuits
US8209647B1 (en) 2008-02-20 2012-06-26 Cadence Design Systems, Inc. Extensible verification system
US7984412B1 (en) 2008-03-03 2011-07-19 Xilinx, Inc. IC design estimation using mid-level elements of IP cores
US7979835B1 (en) * 2008-03-03 2011-07-12 Xilinx, Inc. Method of estimating resource requirements for a circuit design
US9117046B1 (en) 2008-03-03 2015-08-25 Xilinx, Inc. Method of generating data for estimating resource requirements for a circuit design
US8214788B2 (en) 2008-03-08 2012-07-03 Mentor Graphics Corporation High-frequency VLSI interconnect and intentional inductor impedance extraction in the presence of a multi-layer conductive substrate
US8010215B2 (en) * 2008-03-11 2011-08-30 International Business Machines Corporation Structure for selecting processors for job scheduling using measured power consumption
US7890913B1 (en) 2008-03-25 2011-02-15 Lattice Semiconductor Corporation Wire mapping for programmable logic devices
GR1006530B (el) * 2008-05-28 2009-09-10 Ερευνα Και Τεχνολογια Θινκ Σιλικον Ε.Π.Ε.-Think Silicon Ltd. Αυτοματος σχεδιασμος παραμετρικων μικροηλεκτρονικων υποσυστηματων για χρηση σε ολοκληρωμενα κυκλωματα
US8032338B2 (en) * 2008-06-13 2011-10-04 Power Integrations, Inc. Method and apparatus for design of a power supply
US8156453B1 (en) * 2008-10-16 2012-04-10 Cadence Design Systems, Inc. Method and system identifying and locating IP blocks and block suppliers for an electronic design
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8316341B2 (en) * 2009-09-17 2012-11-20 Emerson Network Power—Embedded Computing, Inc. Hardware description language (HDL) generation systems and methods for custom circuit boards
US8037369B2 (en) * 2009-12-21 2011-10-11 National Instruments Corporation Error handling structure for use in a graphical program
US8032846B1 (en) 2010-03-30 2011-10-04 Synopsys, Inc. Efficient provisioning of resources in public infrastructure for electronic design automation (EDA) tasks
US8479129B1 (en) * 2010-05-21 2013-07-02 Marvell International Ltd. Dynamic time domain randomization techniques for SOC and IP verification
US8521483B1 (en) 2010-06-02 2013-08-27 Cadence Design Systems, Inc. Method and apparatus for concurrent design of modules across different design entry tools targeted to single simulation
US8316342B1 (en) * 2010-06-02 2012-11-20 Cadence Design Systems, Inc. Method and apparatus for concurrent design of modules across different design entry tools targeted to a single layout
US8375344B1 (en) * 2010-06-25 2013-02-12 Cadence Design Systems, Inc. Method and system for determining configurations
US8516433B1 (en) 2010-06-25 2013-08-20 Cadence Design Systems, Inc. Method and system for mapping memory when selecting an electronic product
US8495531B1 (en) * 2011-09-01 2013-07-23 Cadence Design Systems, Inc. Method and system for providing an architecture for selecting and using components for an electronic design
US20140040848A1 (en) * 2012-02-14 2014-02-06 Mentor Graphics Corporation Controllable Turn-Around Time For Post Tape-Out Flow
US10089429B2 (en) 2013-12-31 2018-10-02 Altium Llc Method and system for verifying printed circuit board designs, and creating or verifying related electronic component placement data for printed circuit board fabrication and assembly
US9584129B1 (en) * 2014-06-20 2017-02-28 Altera Corporation Integrated circuit applications using partial reconfiguration
CN104092552A (zh) * 2014-07-06 2014-10-08 中山大学深圳研究院 一种基于因特网的soc系统
WO2016018317A1 (en) * 2014-07-30 2016-02-04 Hewlett-Packard Development Company, L.P. System and method for designing a printed circuit board
CN105447212A (zh) * 2014-08-25 2016-03-30 联发科技(新加坡)私人有限公司 产生集成电路的验证平台文件的方法与编译系统
US10726162B2 (en) * 2014-12-19 2020-07-28 Intel Corporation Security plugin for a system-on-a-chip platform
US9727679B2 (en) * 2014-12-20 2017-08-08 Intel Corporation System on chip configuration metadata
US9477807B1 (en) * 2015-06-11 2016-10-25 International Business Machines Corporation Automating system on a chip customized design integration, specification, and verification through a single, integrated service
US10108764B2 (en) * 2016-04-15 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Power consumption estimation method for system on chip (SOC), system for implementing the method
CN106020603A (zh) * 2016-05-17 2016-10-12 内江师范学院 一种计算机桌面图标管理系统
US10255399B2 (en) 2016-10-31 2019-04-09 Intel Corporation Method, apparatus and system for automatically performing end-to-end channel mapping for an interconnect
US10387682B2 (en) 2017-06-08 2019-08-20 International Business Machines Corporation Parallel access to running electronic design automation (EDA) application
US10592704B2 (en) 2017-07-05 2020-03-17 Brookshire Software, LLC System and method for electronic automated printed circuit design
US20190146847A1 (en) * 2017-11-10 2019-05-16 Mentor Graphics Corporation Dynamic distributed resource management
CN108763513A (zh) * 2018-05-30 2018-11-06 郑州云海信息技术有限公司 一种pcb叠构数据库以及设计方法
US10771982B2 (en) 2018-10-24 2020-09-08 Mentor Graphics Corporation Resource utilization of heterogeneous compute units in electronic design automation
US10831939B2 (en) * 2018-12-11 2020-11-10 International Business Machines Corporation Printed circuit board design
US10783291B2 (en) * 2019-01-04 2020-09-22 Mentor Graphics Corporation Hybrid performance of electronic design automation (EDA) procedures with delayed acquisition of remote resources
US20200257736A1 (en) * 2019-02-13 2020-08-13 Dell Products L.P. Hybrid Datacenter for Dynamic Delta Documentation
KR102038092B1 (ko) * 2019-03-19 2019-10-29 김현 전기 설계 도면의 자동 생성 장치 및 방법
CN110913274B (zh) * 2019-11-29 2021-08-27 四川长虹电器股份有限公司 一种电视机主芯片相关功能的兼容方法
CN113033127A (zh) * 2020-11-20 2021-06-25 上海爱思尔教育科技有限公司 基于EEBox的企业IC设计方法及开发平台
CN114330200B (zh) * 2022-03-15 2022-05-20 北京云枢创新软件技术有限公司 针对超大规模电路设计分割的数据处理系统
CN115993952B (zh) * 2023-03-23 2023-05-30 中大智能科技股份有限公司 基于risc-v的桥梁支座监测芯片及设计系统、方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1169560A (zh) * 1996-06-28 1998-01-07 三菱电机株式会社 半导体电路逻辑验证装置
US5838583A (en) * 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5164911A (en) 1989-12-15 1992-11-17 Hewlett-Packard Company Schematic capture method having different model couplers for model types for changing the definition of the schematic based upon model type selection
US5572437A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US5878408A (en) 1996-12-06 1999-03-02 International Business Machines Corporation Data management system and process
US6058426A (en) * 1997-07-14 2000-05-02 International Business Machines Corporation System and method for automatically managing computing resources in a distributed computing environment
GB2329490B (en) * 1997-09-19 2002-06-05 Ibm Remote application design
JPH11224284A (ja) * 1998-02-09 1999-08-17 Fujitsu Ltd 半導体設計資産の流通システム並びに流通装置、および、該流通装置用のソフトウェアを記憶した記憶媒体
EP0944002A1 (en) 1998-03-18 1999-09-22 SONY EUROPE GmbH User profile substystem
WO1999062009A1 (en) * 1998-05-29 1999-12-02 Cadence Design Systems, Inc. Method and apparatus for selecting ip blocks
PL350155A1 (en) 1998-09-30 2002-11-18 Cadence Design Systems Block based design methodology
US6634008B1 (en) * 1999-06-20 2003-10-14 Fujitsu Limited Methodology server based integrated circuit design
EP1259893A2 (en) * 1999-12-03 2002-11-27 Synchronicity, Software, Inc. Ip library management system
US6594799B1 (en) 2000-02-28 2003-07-15 Cadence Design Systems, Inc. Method and system for facilitating electronic circuit and chip design using remotely located resources
US6851094B1 (en) 2000-02-28 2005-02-01 Cadence Design Systems, Inc. Automated method and system for selecting and procuring electronic components used in circuit and chip designs

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5838583A (en) * 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths
CN1169560A (zh) * 1996-06-28 1998-01-07 三菱电机株式会社 半导体电路逻辑验证装置

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
IC DESIGN ON THE WORLD WIDE WEB. GEPPERT L.IEEE SPECTRUM,Vol.35 No.6. 1998
IC DESIGN ON THE WORLD WIDE WEB. GEPPERT L.IEEE SPECTRUM,Vol.35 No.6. 1998 *
面向21世纪的电子系统设计理念. 林金永.航天控制,第2000年01期. 2000
面向21世纪的电子系统设计理念. 林金永.航天控制,第2000年01期. 2000 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107003838A (zh) * 2014-12-22 2017-08-01 英特尔公司 解码信息库

Also Published As

Publication number Publication date
EP1402425A2 (en) 2004-03-31
EP1402425A4 (en) 2007-08-22
JP2009104645A (ja) 2009-05-14
WO2003003147A3 (en) 2003-04-24
US6578174B2 (en) 2003-06-10
AU2002305887A1 (en) 2003-03-03
US20020188910A1 (en) 2002-12-12
JP2004531835A (ja) 2004-10-14
WO2003003147A2 (en) 2003-01-09
CN1735891A (zh) 2006-02-15

Similar Documents

Publication Publication Date Title
CN100489865C (zh) 使用远程资源的芯片设计方法和系统
CA2401548C (en) Method and system for facilitating electronic circuit and chip design using remotely located resources
US8266005B2 (en) Automated pricing system
CN100424706C (zh) 选择并获取用于电路及芯片设计的电子元件的自动化方法及系统
CN103593798B (zh) 在基于网络的拍卖工具内辅助交易的方法和装置
JP2002528797A (ja) トレーディングパートナネットワークにおける商業のためのドキュメント及びそのドキュメントを基にしたインターフェースの定義
Malik Management information systems
JP2003030262A (ja) Ipの仕様と回路の比較結果の認定を特徴とするip認定方法、および前記認定方法により出荷されたip
Morris Software industry accounting
KR20130114326A (ko) 웹 디자인 거래 방법 및 시스템
JP3583054B2 (ja) ネットワークを用いた設計業務システム及び設計方法
Snoeck et al. Domain modelling and the co-design of business rules in the telecommunication business area
Windihastuty et al. E-Commerce System Design to Expand Indonesian Eels Processed Product for International Market
Goetz et al. Validating E-Commerce Solutions
Zarrella et al. Issues in Tool Acquisition
Mostafa Shop Comoros
KR20230174538A (ko) 텍스타일 디자인 중개 시스템 및 이를 이용한 텍스타일 디자인 중개 방법
DHARMAPALAN BURGER SHOP
Prince Design and Implementation of an Online Bath and Body Products Business
Zarowin Facing the future
Smith The Case For a Common Integrated Enterprise Environment
Zografos A Framework for e-Business Components
Vail Developing Microsoft Dynamics GP Business Applications
Ng Using smart card to purchase text information from digital library/Ng Han Teck
WO2001082169A2 (en) Agent based purchasing system

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090520

Termination date: 20140606