CN100583414C - 具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法 - Google Patents

具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法 Download PDF

Info

Publication number
CN100583414C
CN100583414C CN200580038056A CN200580038056A CN100583414C CN 100583414 C CN100583414 C CN 100583414C CN 200580038056 A CN200580038056 A CN 200580038056A CN 200580038056 A CN200580038056 A CN 200580038056A CN 100583414 C CN100583414 C CN 100583414C
Authority
CN
China
Prior art keywords
semiconductor substrate
source
drain region
transistor
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200580038056A
Other languages
English (en)
Other versions
CN101057322A (zh
Inventor
S·唐
G·哈勒
K·布朗
T·E·艾伦三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN101057322A publication Critical patent/CN101057322A/zh
Application granted granted Critical
Publication of CN100583414C publication Critical patent/CN100583414C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41758Source or drain electrodes for field effect devices for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Abstract

本发明包括具有半导体衬底(12)的晶体管器件(69),所述衬底具有上表面。一对源/漏区(41,59)在半导体衬底内形成以及沟道区(46)在半导体衬底内形成并且通常相对于半导体衬底的上表面垂直延伸。栅(54)在半导地衬底内所述的一对源/漏区(41,59)之间形成并且可围绕沟道区(46)和/或源/漏区中的一个。

Description

具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法
技术领域
本发明涉及形成半导体构造(如存储电路)的方法,具体涉及形成存储单元、DRAM和晶体管的方法。
背景技术
由于集成电路的尺寸持续缩小,因此要继续努力寻找形成集成电路结构和有关集成电路的新颖方法,所述新颖方法对目前使用的这些方法以及因此形成得到的结构加以改进。一种类型的集成电路是存储电路和阵列。这种电路已经并将继续成为集中努力减小电路尺寸、提高这种电路得以操作的速度、以及维持或增强该电路实施其存储功能能力方面的焦点。行业设计者不断地探索在未牺牲阵列性能的情况下减小存储电路尺寸的方法。
一种这样的方法是改进与存储电路结合的晶体管结构的设计。晶体管结构或器件大量用于半导体电路。例如,晶体管结构可以结合进存储电路(例如动态随机存取存储器(DRAM))和逻辑电路。DRAM电路通常包括通过分别被称为字线和数位线(位线)的若干行和列互连的存储单元的阵列。典型的DRAM存储单元包含与电荷存储器件或数据存储元件(如电容器件)相连的晶体管结构。
典型的晶体管结构包含一对源/漏区之间的沟道区和被配置成可通过沟道区使源/漏区彼此电连接的栅。在半导体构造中使用的晶体管构造将被半导体衬底所支持。半导体衬底将具有可以被认为是限定水平方向或水平表面的主要表面。基于沟道区相对于半导体衬底的主要表面的取向,可将晶体管器件分成两个大类。具体地说,具有主要平行于衬底的主要表面的沟道区的晶体管结构被称为平面晶体管结构,并且具有通常垂直于衬底的主要表面的沟道区的晶体管结构被称为垂直晶体管结构。因为晶体管器件的源和漏区之间的电流发生通过沟道区,基于电流方向以及沟道区的通常取向可将平面晶体管器件和垂直晶体管器件区分开来。具体地说,垂直晶体管器件是其中器件的源和漏区之间的电流主要基本上垂直于半导体衬底的主要表面的器件,并且平面晶体管器件是其中源和漏区之间的电流主要平行于半导体衬底的主要表面的器件。
由于其中利用垂直晶体管器件可获得相对于平面晶体管器件的压缩密度方面的优势,在可以将垂直晶体管器件结合进集成电路应用的方法的开发方面存在有持续的兴趣。在试图生产半导体应用所希望的大量垂直晶体管器件阵列同时维持器件的适当性能特征时通常会遭遇到困难。例如,所提供的用于形成垂直晶体管器件的方法包括形成或生长自半导体衬底的主要或水平表面向上延伸的外延硅柱或支柱。在垂直晶体管器件的现有设计中,外延硅柱或支柱被用作晶体管沟道。然而,该设计产生若干问题。例如,伴随潜在的单元泄漏问题,高缺陷密度产生。另外,该设计促进了晶体管沟道中的浮体效应,其复杂化并增加了控制晶体管的栅阈值电压的难度。因此,期望开发用于改进和/或至少减少或减轻这些问题的、制造垂直晶体管器件的新方法。
发明内容
在一个方面,本发明包含包括有半导体衬底的晶体管器件。该器件还包括形成的在半导体衬底内延伸的栅、在栅上方形成的栅介质、在栅的相对侧形成的一对源/漏区、以及在半导体衬底内形成的沟道区。
在另一个方面,本发明包含包括有半导体衬底的晶体管器件,所述半导体衬底具有上表面。一对源/漏区在半导体衬底内形成。沟道区在半导体衬底内形成并且通常相对于半导体衬底的上表面垂直延伸。栅在源/漏区对之间形成。
在又一个方面,本发明包含半导体构造,所述半导体构造包括自半导体衬底的上表面向上延伸的传导柱。源/漏区在半导体衬底内传导柱下面形成并且与传导柱电耦合。晶体管沟道在源/漏区的下面延伸并且栅在半导体衬底内邻近晶体管沟道形成。
在另一个方面,本发明包含形成半导体构造的方法,所述方法包括为半导体衬底提供开口。氧化膜在半导体衬底上方在开口内形成。传导栅材料在氧化膜上方提供并且填充开口。一对扩散区在半导体衬底内栅材料的相对侧上形成并且沟道区被限定为在半导体衬底内通常垂直延伸。
附图说明
下面参考附图对本发明的优选实施例进行描述。
图1是本发明示范方面的预备处理阶段时的半导体构造的顶部平面片断示意图。
图2是沿图1片断的线条2-2所作的横截面视图。
图3是图1的预备处理阶段之后的处理阶段时所示的图1片断的视图。
图4是沿图3片断的线条4-4所作的横截面视图。
图5是图3的处理阶段之后的处理阶段时所示的图3片断的视图。
图6是沿图5片断的线条6-6所作的横截面视图。
图7是旋转了90度的图5片断的视图。
图8是沿图7片断的线条8-8所作的横截面视图。
图9是图5的处理阶段之后的处理阶段时所示的图5片断的视图。
图10是沿图9片断的线条10-10所作的横截面视图。
图11是旋转了90度的图9片断的视图。
图12是沿图11片断的线条12-12所作的横截面视图。
图13是图9的处理阶段之后的处理阶段时所示的图9片断的视图。
图14是沿图13片断的线条14-14所作的横截面视图。
图15是旋转了90度的图13片断的视图。
图16是沿图15片断的线条16-16所作的横截面视图。
图17是图13的处理阶段之后的处理阶段时所示的图13片断的视图。
图18是沿图17片断的线条18-18所作的横截面视图。
图19是旋转了90度的图17片断的视图。
图20是沿图19片断的线条20-20所作的横截面视图。
图21是图17的处理阶段之后的处理阶段时所示的图17片断的视图。
图22是沿图21片断的线条22-22所作的横截面视图。
图23是旋转了90度的图21片断的视图。
图24是沿图23片断的线条24-24所作的横截面视图。
图25是图21的处理阶段之后的处理阶段时所示的图21片断的视图。
图26是沿图25片断的线条26-26所作的横截面视图。
图27是旋转了90度的图25片断的视图。
图28是沿图27片断的线条28-28所作的横截面视图。
图29是图25的处理阶段之后的处理阶段时所示的图25片断的视图。
图30是沿图29片断的线条30-30所作的横截面视图。
图31是旋转了90度的图29片断的视图。
图32是沿图31片断的线条32-32所作的横截面视图。
图33是图29的处理阶段之后的处理阶段时所示的图29片断的视图。
图34是沿图33片断的线条34-34所作的横截面视图。
图35是旋转了90度的图33片断的视图。
图36是沿图35片断的线条36-36所作的横截面视图。
图37是图33的处理阶段之后的处理阶段时所示的图33片断的视图。
图38是沿图37片断的线条38-38所作的横截面视图。
图39是旋转了90度的图37片断的视图。
图40是沿图39片断的线条40-40所作的横截面视图。
图41是图37的处理阶段之后的处理阶段时所示的图37片断的视图。
图42是沿图41片断的线条42-42所作的横截面视图。
图43是旋转了90度的图41片断的视图。
图44是沿图43片断的线条44-44所作的横截面视图。
图45是图41的处理阶段之后的处理阶段时所示的图41片断的视图。
图46是沿图45片断的线条46-46所作的横截面视图。
图47是旋转了90度的图45片断的视图。
图48是沿图47片断的线条48-48所作的横截面视图。
图49是图45-48的处理阶段之后的处理阶段时的、本发明一个示范实施例的最后处理阶段时的半导体构造的横截面片断视图。
图50是旋转了90度的图49片断的视图。
具体实施方式
本发明公开内容的提出是为了推动美国专利法“促进科学和实用技术的进步”(第八部分,第一款)的立宪目的。
关于存储集成电路,存储阵列中的每个存储单元所要求的衬底上方的面积部分地确定了该器件的容量。这个面积是每个存储单元内元件数量和每个元件尺寸的函数。对于传统存储单元来说,该面积被表示为8F2,其中F代表用于光刻限定特征的最小特征尺寸并且传统单元面积的尺度为2Fx4F。这些存储单元的尺度和面积通过参考2003年12月25日公布的美国专利申请公布No.2003/0234414 A1是很容易理解的,该专利申请的公开内容通过引用而被结合于此。美国专利申请公布No.2003/0234414 A1公开了现有技术的存储器,其中存储单元具有大约为4F2的单元面积。通过回顾美国专利申请公布No.2003/0234414 A1并且将这样的公开内容与本发明的公开内容进行比较,应当理解,本发明公开了包括为4F2量级的存储单元面积的存储电路。
现在参见图1和2(图2是图1的横截面视图),半导体构造10包含衬底12,所述衬底12具有通常水平取向并被可选地描述为上表面的主要表面13。衬底12可包含、基本上由、或者由单晶半导体材料构成,并且在特定方面将包含、基本上由、或者由轻微掺杂有适当的本底类型的掺杂剂的单晶硅构成。例如衬底12可以是单晶硅晶片的一部分。为了有助于解释下面的权利要求,术语“半导电衬底”和“半导体衬底”被限定为指包含半导体材料的任何构造,包括但不限于如半导体晶片这样的大块半导体材料(或单独或在其上包含有其他材料的组合中)以及半导体材料层(或单独或在包含有其他材料的组合中)。术语“衬底”指任何支持结构,包括但不限于上述的半导体衬底。在一个示范实施例中,衬底12包含大块半导体衬底或大块晶片,例如单晶硅衬底或晶片。
仍然参见图1-2,隔离区14在衬底12上形成。在一个示范实施例中,隔离区14包含浅槽隔离(STI)区。隔离区14通常以平行且间隔行的方式延伸,使得衬底12的区域16位于隔离区14的各行之间。衬底12的区域16被隔离区14所限定并且被配置成平行且间隔行,其具有上表面13。
参见图3和4(图4是图3的横截面视图),氮化层18在衬底12的上表面13和隔离区14上方沉积。氮化层18的示范厚度,也就是其中氮化层18自上表面13向上延伸的高度,在大约2000埃至大约3000埃之间变化。
参见图5-8,应当理解,所有四幅图代表相同的处理步骤。图5-6代表第一取向并且图7-8代表根据图5-6的取向被调整了90度的第二取向。氮化层18被形成图案并被蚀刻以形成向下延伸至衬底12的槽20(图8),从而使衬底12的上表面部分22曝光。槽20还使隔离区14的隔离区部分24曝光。使得氮化层18被形成图案,成为取向垂直于隔离区14的方向、通常以间隔并平行关系延伸的氮化物行或流道(runner)18。衬底12的上表面部分22通常被隔离区14的隔离区部分24和氮化物行18所限制,并且通常被成形为正方形。在一个示范实施例中,蚀刻步骤包括范围自0至大约300埃的衬底的过蚀刻。
参见图9-12,隔离区部分24被蚀刻以使隔离区14凹进,在高度上低于衬底12的上表面部分22,从而留下隔离区14的凹面26(图10)。在一个示范实施例中,蚀刻过程包含反应离子蚀刻(R.I.E.)并且将选择性地至氮化物流道18和衬底12的曝光硅,例如上表面部分22。凹槽蚀刻使最初被隔离区14的绝缘材料覆盖的的衬底12的侧壁27曝光。使隔离区14凹进上表面部分22下面大约500至大约1500埃的范围,其中另一个示范的凹进范围为大约800至大约1500埃。在一个示范的实施例中,凹面26和上表面部分22之间的凹进距离等于大约1000埃。利用被称为湿氢氟酸(HF)蚀刻的示范的清洁蚀刻,实施清洁蚀刻以从侧壁27和衬底12的上表面部分22上移去剩余的氧化物。
参见图13-16,氮化物衬垫28被设于衬底12和在其上形成的结构上方,以保护隔离区14的曝光部分(如图9-12中说明的凹面26)。在一个示范实施例中,氮化物衬垫28的厚度在大约30至大约100埃之间变化。设置牺牲层30(比如,旋涂玻璃(SOG)层),以填充氮化物流道18之间的槽20。牺牲层30的其他示范材料包括硼磷硅玻璃(BPSG)和/或TEOS层。实施平面蚀刻以使SOG层30平面化直至平面蚀刻在氮化物行18处停止,其中氮化物行18起到蚀刻停止的作用。示范的平面蚀刻包含CMP(化学机械抛光)处理。
参见图17-20,SOG层30被形成图案并且被选择性地蚀刻以移去SOG层30的若干部分从而形成穿过SOG层30的开口31以便使衬底12的上表面部分22上方的氮化物衬垫28曝光。氮化物衬垫28的曝光部分的示范配置是正方形。SOG层30的若干部分在氮化物流道18之间保持为自衬底12向上延伸的塔柱,其中塔柱的示范配置为矩形。氮化物衬垫28的曝光部分被移去以使衬底12的上表面部分22曝光。用来从上表面部分22上方移去氮化物衬垫28的若干部分的示范蚀刻包括选择性氮化物蚀刻。在自上表面部分22上移去氮化物衬垫28的若干部分之后,开口31延伸到上表面部分22并且被SOG层30的塔柱和氮化物行18限定或邻接。示范的选择性氮化物蚀刻将过度蚀刻氮化物(例如氮化物行18)达0至大约300埃并且优选地在硅衬底12处停止。在一个示范实施例中,衬底12的曝光的上表面部分22限定了衬底12的一般表面面积,其将用来或充当随后形成的器件和/或结构的有效面积。
参见图21-24,薄层绝缘层(例如TEOS层)在硅衬底12上方形成并填充开口31。示范的TEOS层被各向异性蚀刻以在氮化物行18和SOG层30上方形成牺牲TEOS隔片34。示范的蚀刻包括反应离子蚀刻,留下牺牲TEOS隔片34从氮化物行18和SOG层30的侧面侧向延伸达大约200至大约500埃。牺牲TEOS隔片34使开口31变窄,留下通常为圆柱形的开口32使上表面部分22的较小表面面积曝光。在一个示范实施例中,TEOS隔片34改进了可能用于设置在硅衬底12的上表面22上方或之上的随后形成的结构的临界尺寸。
参见25-28,在某些但是并非所有的实施例中,氮化物材料被设置于硅衬底12上方以填充圆柱形开口32并且接着被各向异性蚀刻从而在牺牲TEOS隔片34上方形成另一个氮化物衬垫36(第一氮化物衬垫是28)。示范的各向异性蚀刻将提供具有从大约50至大约200埃变化的厚度的氮化物衬垫36。在各向异性蚀刻以形成氮化物衬垫36之后,实施反应离子蚀刻以自硅衬底12的上表面部分22上移去氮化物衬垫36,其中硅衬底12的上表面部分22再次被曝光。在一个示范实施例中,氮化物衬垫36将在随后的蚀刻处理期间和/或随后的硅化处理期间保护TEOS隔片34。
参见图29-32,在示范的实施例中,可以实施进一步的蚀刻和平面化处理以相对于硅衬底12在高度上使氮化物行18和SOG层30的上表面降低到上表面部分22上方的预选高程或高度。氮化物行18和SOG层30的这种预选高度便于随后形成的与衬底12有关的外延结构的预选高度的形成。所形成的柱或支柱38从硅衬底12的曝光的上表面部分22向上延伸穿过圆柱形开口32。在一个示范实施例中,柱或支柱38包含自硅衬底12的曝光上表面部分22生长或形成的外延硅。柱38具有上表面39并且在一个示范实施例中,所形成的上表面39在高度上低于氮化物行18的上表面47,其中示范的高程差大约是1000至大约1500埃。示范的柱38包含大约1000至大约1500埃的高度(从大约上表面部分22至上表面39测得的)。另一方面,还可以根据相对于自硅衬底12延伸的氮化物行18的高度的百分比高度关系来考虑外延硅柱38的示范高度。例如,所形成的外延硅38从上表面部分22延伸到氮化物行18的高度的大约50%至大约70%以内,以及另一个示范范围为氮化物行18的高度的大约60%至大约65%。在某些实施例中,外延硅柱38将用作或充当电荷存储器件或数据存储元件(例如电容器件)和在随后的处理中形成的晶体管之间的电触点,这将在下面进行更全面地解释。从另一方面考虑,柱38将用来或充当结点区域,例如源/漏区,这一点在后面将进行更全面地解释。
形成外延硅柱38的示范备选过程是在衬底12上方沉积传导材料,其中圆柱形开口32被填充了传导材料。在这个备选过程中,自圆柱形开口32向外延伸的传导材料通过示范的平面或薄层蚀刻被移去,优选地向下到达氮化物行18的上表面47。传导材料接着被凹进成圆柱形开口32,留下传导材料在高度上低于氮化物行18的上表面47,其中示范的高程差是大约1000至大约1500埃。示范的传导材料包括未掺杂或掺杂的多晶硅,其中未掺杂的多晶硅在某个处理阶段将被掺杂。
仍然参见图29-32,传导注入(图中未示出)被实施以将传导掺杂剂提供到衬底12的上表面部分22从而形成扩散区或结点41。在注入方法的一个示范实施例中,传导掺杂剂基本上穿过柱38而被注入,基本上将传导掺杂剂的整体留在硅衬底12内。另一方面,传导掺杂剂的一部分保留在柱38中,从而留下柱38导电形成扩散区或结点41的一部分。示范的扩散区41包含源/漏区,比如漏区。在另一个示范实施例中,柱38被传导掺杂,但是未形成扩散区或结点41的一部分,并且因此形成随后形成的晶体管的扩散区或结点41和电容器之间的电触点。在又一个示范实施例中,柱38和扩散区41包含晶体管的一对源/漏区中的一个的整体,其中柱38电耦合至随后形成的电容器。在示范的处理方法中,传导注入(图中未示出)被实施以将传导掺杂剂基本上仅提供到柱38内并且接着柱38被退火以从柱38将传导掺杂剂向外扩散进入硅衬底12从而至少形成扩散区41的一部分。在备选的示范实施例中,未形成扩散区41,其中传导注入(图中未示出)被实施以将传导掺杂剂基本上仅提供到柱38内,其中柱38包含一对源/漏区中的一个的整体。另一方面,扩散区41还包含一对源/漏区中的一个的一部分并且柱38包含该对源/漏区中的一个的另一部分。
应当理解,示范的柱38通常是环形的或圆柱形的,并且可能或不可能具有在可选地形成的氮化物衬垫36和/或TEOS隔片34之间的空的空间。氮化物材料40被设于衬底12上方以及圆柱形开口32中以填充柱38、氮化物衬垫36和/或TEOS隔片34之间的任何空的空间并将氮化物材料40设于柱38和SOG层30的上方。氮化物材料40被深腐蚀以形成上表面49,所述上表面49被凹进,其在高度上低于SOG层30的上表面37并且低于氮化物流道18的上表面47(氮化物材料40被示出为具有结合的可选氮化物衬垫36)。使氮化物材料40凹进的示范蚀刻包括平面或薄层反应离子蚀刻,其使氮化物材料40凹进以使SOG层30和TEOS隔片34曝光。示范的氮化物材料40是充当阻挡或硬掩模40的牺牲层,以在随后的处理(比如移去SOG层30和TEOS隔片34)期间保护外延硅柱38。
参见图33-36,湿法蚀刻或蒸汽蚀刻被实施以移去SOG层30和TEOS隔片34,并且优选地完全移去SOG层30和TEOS隔片34。示范的蚀刻包括选择性蚀刻,以在氮化物和硅材料如氮化物衬垫28、硬掩模40、氮化物流道18和硅衬底12的上表面部分22处停止蚀刻。选择性蚀刻形成被氮化物衬垫28、柱38(包括硬掩模40)和氮化物流道18限定的开口42。示范的选择性蚀刻包括稀释的氢氟酸蚀刻和/或缓冲氧化物蚀刻。
参见图37-40,干法/湿法氮化物穿孔蚀刻被实施以从隔离区14、硅衬底12、和上表面部分22的上方移去氮化物衬垫28。穿孔蚀刻还从柱38移去硬掩模40的若干部分。在示范的实施例中,直接在柱38上方的硬掩模40的厚度基本上大于柱38的侧面上方的硬掩模40的厚度,以允许穿孔蚀刻能够从柱38移去硬掩模40的若干侧面部分同时将硬掩模40的基本部分直接留在柱38上。
仍然参见图37-40,选择性干法蚀刻被实施以移去邻近柱38的衬底12的上表面部分22并且向下到隔离区14。选择性蚀刻还移去隔离区14的若干部分并且留下硅衬底12的若干部分直接保持在柱38的下面或之下并称为硅支持结构46。示范的硅支持结构46通常是环形或圆柱形的,类似于在高度上于硅支持衬底结构46上方延伸的柱38。选择性蚀刻扩大了开口42以形成具有由硅支持结构46、硅衬底12的上表面48、和隔离区14的上表面50限定的底部外围的开口44。在一个示范实施例中,穿孔蚀刻将蚀刻或使硅衬底12凹进以稍微降低隔离区14的上表面50,留下上表面48在高度上低于上表面50。
仍然参见图37-40,绝缘薄膜52(例如氧化物)在硅衬底12的曝光部分和柱38的曝光部分上方形成。硅衬底12的曝光部分包括由上表面48和硅支持结构46限定的开口44的底部外围。柱38的曝光部分包括柱38的侧壁。在一个示范实施例中,绝缘薄膜52将包含二氧化硅并用来或充当用于随后形成的晶体管的栅氧化层或栅介质。形成栅介质52的示范方法包括在上表面48的曝光硅表面、硅支持结构46和柱38的侧壁上生长氧化物。
在一个示范实施例中,硅支持结构46将用来或充当用于随后形成的晶体管的沟道的若干部分。因此,从柱38的底部部分至上表面48测得的硅支持结构46的长度将通常限定随后形成的晶体管沟道46的垂直长度。此外,因为晶体管沟道46相对于衬底12的取向在通常垂直或正交方向上延伸,并且从另一方面说来,因为晶体管沟道46垂直于衬底12的水平或主要上表面(上表面部分22未示出但是作为柱38和衬底12之间的接触面存在)延伸,晶体管沟道46将在示范实施例中限定示范的垂直晶体管设计。另外,示范的垂直晶体管设计将包括示范实施例中的垂直围绕晶体管或垂直围绕栅晶体管。应当理解,晶体管沟道46(或者称为垂直沟道46)的长度将取决于选择性蚀刻处理步骤,例如选择性蚀刻的时间长度被允许移去和向下蚀刻进硅衬底12(即选择性蚀刻进入衬底12的深度)。
参见图41-44,传导材料在栅介质52上方沉积并且将用来或充当晶体管栅或字线54。形成用于晶体管栅54的传导材料的示范方法包括在开口44内沉积多晶硅材料,通过向下至氮化物流道18的CMP处理移去多晶硅材料的若干部分,并且接着使多晶硅材料凹进开口44内以降低外延硅柱38。例如,所形成的晶体管栅54的上表面55在高度上低于外延硅柱38的上表面39大约1000埃。在一个示范实施例中,晶体管栅54的多晶硅材料被凹进以形成在高度上低于衬底12的上表面的上表面55(例如,柱38和衬底12之间的接触面)。利用包含硅化钛和硅化钴的示范硅,可选的硅化层(图中未示出)在晶体管栅54的上方形成。
参见图45-48,绝缘材料或层56在硅衬底12、栅结构54、外延硅柱38和氮化物流道18上方形成。绝缘层56填充开口44。示范的绝缘层56包括旋涂玻璃层和TEOS层。绝缘层56的最外面部分通过CMP或其他平面蚀刻方法被移去以使氮化物流道18曝光,留下绝缘层56在各自氮化物流道18之间以行配置延伸。接下来,氮化物流道被形成图案并且被选择性蚀刻以形成伸过氮化物流道18的开口62从而使衬底12的上表面部分58曝光。应当理解,氮化物流道18的若干部分保持从硅衬底12以及其上方向上延伸。示范的硅衬底12的上表面部分58被通常配置成正方形并且与绝缘层56和保留在硅衬底12上方的氮化物流道18的若干部分邻接或被其围绕。传导注入(图中未示出)被实施以将传导掺杂剂提供到衬底12的上表面部分58从而形成有效面积59,例如扩散区或结点。在一个示范实施例中,扩散区59将包含用于随后形成的器件(例如晶体管)的源/漏区59。在又一个示范实施例中,扩散区59将包含源/漏区,用来与扩散区或结点41补充和协同操作。示范的扩散区59包含一对源/漏区中的一个,例如源区。
参见图49-50,这样的图形说明了按照某些示范实施例的、在图1-48的处理阶段之后(例如图45-48的处理阶段之后)的处理阶段的半导体构造100。图49表示类似于图46的可视取向的、在随后处理阶段的半导体构造100的可视取向。图50表示类似于图48的可视取向的、在随后处理阶段的半导体构造100的可视取向。应当了解,图50是图49的半导体构造100根据图49视图的取向旋转了90°的视图。图49-50说明了与示范的电荷耦合器件或数据存储元件(如电容器件)电耦合的示范的晶体管器件。这样的晶体管和电容器的示范组合表示包含存储单元(如DRAM)的存储器和/或逻辑电路。示范的晶体管器件通常被标以数字69并且示范的电荷存储器件或数据存储元件(如电容器件)通常被标以数字80。
示范的晶体管69包含栅54、栅介质52和源/漏区41和59(图50)。示范的晶体管69还包括通常表示为衬底12的区域的沟道,其中在图50中示出的电流71从源/漏区59至源/漏区41围绕栅54(和栅介质52)延伸。沟道的示范部分包含在高度上低于源/漏区41直接延伸的硅支持结构46。由硅支持结构46限定的示范的沟道部分是硅衬底12的圆柱形或环形部分。栅54通常垂直向下延伸到衬底12,通常垂直于硅衬底12的上表面(通常由源/漏区41和59的水平顶线表示的上表面,例如柱38和源/漏区42之间的接触面)。栅54被间隔并且通过栅介质52与硅衬底12绝缘。栅54相对于硅衬底12垂直延伸。然而,应当理解,栅54围绕或环绕由硅支持结构46限定的沟道部分。因此,示范的栅54将限定用于垂直晶体管的垂直围绕栅,例如垂直围绕栅晶体管。在示范的实施例中,如果柱38被限定为电触点并且不是限定为源/漏区,则晶体管69的整体在硅衬底或大块晶片12内形成。从另一方面来说,晶体管69在晶片12的最上面的表面处或之下形成。
示范的源/漏区41包含漏区。示范的源/漏区59包含源区。在一个示范实施例中,单个源/漏区59将包含用于晶体管69的整个源区。在另一个示范实施例中,在栅54的相对两侧上形成的一对源/漏区59将包含用于晶体管69的整个源区。在一个实施例中,晶体管69的激活建立从源区59向下通过下面的硅衬底12并围绕栅54底端而后返回向上通过沟道部分46并且到达漏区41的电流71。在图45-48之后的处理期间,直接在柱38上方的硬掩模40被移去并且直接在硬掩模40上方的绝缘层56的若干部分被移去以使柱38的上表面曝光。传导材料102在柱38的上方形成并与之相接触从而形成电触点。示范的传导材料102是多晶硅以形成多晶硅插头或单元插头102,用于经由柱38将晶体管69电耦合至随后形成的器件,如电容器80。
示范的电容器80包含底部单元板或存储结点72、存储结点72上方的电容器介质73和电容器介质73上方的顶部单元板74。电容器80通过外延硅柱38和多晶硅插头102电耦合至晶体管69,其中多晶硅插头102接触并电耦合至存储结点72。所形成的传导插头61(图50)从源/漏区59向上延伸并与之电耦合。传导插头61还接触数位线104的若干部分以经由源/漏区59电耦合数位线104至晶体管69。示范的数位线104包含多晶硅和/或硅化物层。示范的传导插头61包含掺杂的多晶硅。绝缘隔片70(图50)在传导插头61和绝缘层56之间形成。示范的绝缘隔片70包含氮化硅和/或氧化硅,如二氧化硅。
半导体构造100包含电容器80和晶体管69之间的中间结构。氮化物盖106在数位线部分104上方形成。绝缘隔片110在数位线104之间形成并且氮化物盖106位于其一侧以及多晶硅插头102位于其另外一侧。二氧化硅层108在氮化物盖106的上方形成。
遵照法规,本发明已经在语言上或多或少地具体就结构和方法特征进行了描述。然而,将会理解,本发明不限于所示出和描述的特定特征,因为在这里所公开的方法包含实施本发明的优选形式。因此,本发明要求属于依照等同物的原则适当解释的所附权利要求的适当范围的任何形式或修改的权利。

Claims (38)

1.一种晶体管器件,包括:
半导体衬底;
在所述半导体衬底内延伸的栅,在所述栅上方的栅介质,在所述栅的相对侧上的一对源/漏区,以及在所述半导体衬底内的沟道区,所述沟道区的至少一部分限定所述晶体管器件的最下结构;以及
其中所述栅环绕所述半导体衬底的一部分。
2.如权利要求1所述的器件,其中所述栅介质、所述对源/漏区和所述沟道区在所述半导体衬底内。
3.如权利要求1所述的器件,其中所述栅介质整体、所述对源/漏区整体和所述沟道区整体在所述半导体衬底内。
4.如权利要求1所述的器件,其中所述栅围绕所述沟道区的一部分。
5.如权利要求1所述的器件,其中所述沟道区在所述半导体内并且在高度上低于所述对源/漏区。
6.如权利要求1所述的器件,其中所述栅围绕所述沟道区的一部分并且所述栅围绕所述对源/漏区中的一个。
7.如权利要求1所述的器件,其中所述半导体衬底包括单晶硅。
8.如权利要求1所述的器件,还包括结合进DRAM器件的所述晶体管。
9.如权利要求1所述的器件,还包括数据存储元件,所述数据存储元件电耦合至所述晶体管器件从而形成存储单元,所述存储单元在所述半导体衬底上方的面积大约为4F2,其中F表示光刻限定特征的最小特征尺寸。
10.一种晶体管器件,包括:
含有上表面的半导体衬底;
一对源/漏区,其包括所述半导体衬底的部分;
沟道区,其包括在所述半导体衬底内的至少三个侧向间隔部分,并且所述三个部分的每一者相对于所述半导体衬底的上表面垂直延伸;以及
栅部分,其置于所述对源/漏区之间,所述栅环绕所述半导体衬底的一部分,其中所述对源/漏区中的一个实质上垂直延伸且在高度上限定所述晶体管装置的最高结构。
11.如权利要求10所述的器件,其中所述沟道区的所述三个部分中的一个直接在所述对源/漏区中的一个的下面延伸。
12.如权利要求10所述的器件,其中所述沟道区的所述三个部分中的一个直接在所述对源/漏区中的一个的下面延伸,并且其中所述栅在所述半导体衬底内并围绕所述沟道区的所述三个部分的一个。
13.如权利要求10所述的器件,其中所述半导体衬底包括单晶硅。
14.如权利要求10所述的器件,还包括结合进DRAM器件的所述晶体管器件。
15.如权利要求10所述的器件,还包括数据存储元件,所述数据存储元件电耦合至所述源/漏区对中的一个从而形成存储单元,所述存储单元包括所述半导体衬底上方大约4F2的面积,其中F表示光刻限定特征的最小特征尺寸。
16.一种半导体构造,包括:
从半导体衬底的上表面向上延伸的传导柱,所述传导柱包括圆形截面;
在所述半导体衬底内在所述传导柱下面并与所述传导柱电耦合的源/漏区;
晶体管沟道,其在所述源/漏区下面延伸且包括所述半导体衬底的至少一曲线部分;以及
在所述半导体衬底内并邻近所述晶体管沟道的栅,所述栅环绕所述半导体衬底的一部分,其中所述栅围绕所述源/漏区。
17.如权利要求16所述的构造,其中所述传导柱包括外延硅柱。
18.如权利要求16所述的构造,其中所述源/漏区包括漏区。
19.如权利要求16所述的构造,还包括与所述传导柱电耦合的电容器。
20.如权利要求16所述的构造,其中所述构造包括晶体管,并且还包括将所述晶体管结合进存储单元结构,其中所述传导柱将所述晶体管电耦合至电容器。
21.如权利要求16所述的构造,其中所述半导体衬底水平取向并且所述晶体管沟道垂直延伸。
22.如权利要求16所述的构造,其中所述半导体衬底包括单晶硅。
23.如权利要求16所述的构造,还包括:
另一个源/漏区,邻近与所述晶体管沟道相对的所述栅;以及
数据存储元件,电耦合至所述传导柱从而形成存储单元,所述存储单元在所述半导体衬底上方的面积为大约4F2,其中F表示光刻限定特征的最小特征尺寸。
24.一种形成半导体构造的方法,包括:
提供包含一最上表面的半导体衬底;
形成进入所述半导体衬底的开口;
在所述半导体衬底上方在所述开口内形成氧化膜;
在所述氧化膜上方提供传导栅材料并填充所述开口,所述栅环绕所述半导体衬底的一部分;
在所述半导体衬底内形成一对扩散区,其中所述对扩散区中的至少一个在所述开口的所述形成之前形成,且所述对扩散区的所述至少一个从所述最上表面向外延伸;以及
在所述半导体衬底内形成垂直延伸的沟道区。
25.如权利要求24所述的方法,还包括:
形成电容器;以及
将所述电容器电耦合至所述对扩散区中的所述至少一个。
26.如权利要求24所述的方法,其中所述对扩散区的所述至少一个包括从所述半导体衬底的所述最上表面向上延伸的外延柱且还包括:
在所述半导体衬底上方形成电容器;以及
将所述电容器电耦合至所述外延柱。
27.一种垂直晶体管结构,包括:
硅衬底;
在所述硅衬底内限定并且相对于所述硅衬底垂直延伸的沟道区;
在高度上高于所述沟道区的第一源/漏区;
在所述硅衬底内邻近所述沟道区侧向的栅,所述沟道区的至少一部分在高度上低于所述栅的整体,所述栅环绕所述半导体衬底的一部分,;以及
第二源/漏区,其与所述栅邻近;
第三源/漏区,其与所述栅邻近;以及
其中所述栅围绕所述沟道区的另一部分。
28.如权利要求27所述的结构,其中所述硅衬底包括单晶硅。
29.如权利要求27所述的结构,其中所述第一源/漏区包括漏区。
30.如权利要求27所述的结构,其中所述栅围绕所述第一源/漏区。
31.如权利要求27所述的结构,其中所述第一源/漏区在所述硅衬底上方。
32.如权利要求27所述的结构,其中所述第一源/漏区在所述硅衬底内。
33.如权利要求27所述的结构,其中所述硅衬底包括上表面,并且其中所述第一源/漏区包括从所述上表面延伸并在高度上低于所述上表面的一部分,以及包括从所述上表面延伸并在高度上高于所述上表面的另一部分。
34.如权利要求27所述的结构,其中所述第一源/漏区包括从所述硅衬底向上延伸的外延柱。
35.如权利要求27所述的结构,其中所述第一源/漏区包括在所述硅衬底内的扩散区。
36.如权利要求27所述的结构,其中所述第一源/漏区的一部分包括在所述硅衬底内的扩散区,并且所述第一源/漏区的另一部分包括从所述硅衬底向上延伸的外延柱。
37.如权利要求27所述的结构,还包括从所述硅衬底向上延伸并电耦合至所述第一源/漏区的外延柱,以及其中所述外延柱包括用于垂直晶体管的电触点。
38.如权利要求27所述的结构,还包括从所述硅衬底并且直接在所述第一源/漏区上方向上延伸的外延柱,以及所述外延柱包括用于垂直晶体管的电触点。
CN200580038056A 2004-09-01 2005-08-29 具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法 Active CN100583414C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/932,150 US7547945B2 (en) 2004-09-01 2004-09-01 Transistor devices, transistor structures and semiconductor constructions
US10/932,150 2004-09-01

Publications (2)

Publication Number Publication Date
CN101057322A CN101057322A (zh) 2007-10-17
CN100583414C true CN100583414C (zh) 2010-01-20

Family

ID=35632014

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580038056A Active CN100583414C (zh) 2004-09-01 2005-08-29 具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法

Country Status (8)

Country Link
US (4) US7547945B2 (zh)
EP (2) EP1784858A2 (zh)
JP (1) JP2008511996A (zh)
KR (1) KR100918156B1 (zh)
CN (1) CN100583414C (zh)
SG (1) SG155882A1 (zh)
TW (1) TWI287270B (zh)
WO (1) WO2006028775A2 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7282401B2 (en) * 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7867851B2 (en) * 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
DE102005051417A1 (de) * 2005-10-27 2007-05-03 X-Fab Semiconductor Foundries Ag Simulations- bzw. Layoutverfahren für vertikale Leistungstransistoren mit variierbarer Kanalweite und variierbarer Gate-Drain-Kapazität
US7700441B2 (en) * 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) * 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7745319B2 (en) * 2006-08-22 2010-06-29 Micron Technology, Inc. System and method for fabricating a fin field effect transistor
US7589995B2 (en) * 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8072345B2 (en) * 2008-02-14 2011-12-06 Darren Gallo Electronic flare system and apparatus
KR101145396B1 (ko) * 2008-04-04 2012-05-16 에스케이하이닉스 주식회사 수직게이트 형성 방법 및 그를 이용한 반도체장치 제조방법
KR100983693B1 (ko) * 2008-04-10 2010-09-24 주식회사 하이닉스반도체 고집적 반도체 장치 내 수직형 트랜지스터의 제조 방법
US8039399B2 (en) * 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
KR101014855B1 (ko) * 2008-12-22 2011-02-15 주식회사 하이닉스반도체 실린더형 커패시터 형성 방법
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
KR101061321B1 (ko) * 2009-03-02 2011-08-31 주식회사 하이닉스반도체 융기된 랜딩 플러그 콘택을 갖는 새들 핀 트랜지스터 및 그형성 방법
KR101075492B1 (ko) * 2009-03-23 2011-10-21 주식회사 하이닉스반도체 수직트랜지스터를 구비한 반도체장치 및 그 제조 방법
KR101159900B1 (ko) * 2009-04-22 2012-06-25 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
KR101927991B1 (ko) * 2012-07-16 2018-12-12 에스케이하이닉스 주식회사 수직형 반도체 소자, 그 소자를 갖는 모듈과 시스템, 및 그 소자의 제조 방법
TWI560481B (en) * 2012-10-29 2016-12-01 Hon Hai Prec Ind Co Ltd Photoelectric converting module
CN106611763B (zh) * 2015-10-21 2019-06-14 华邦电子股份有限公司 存储器装置及其制造方法
US10002962B2 (en) 2016-04-27 2018-06-19 International Business Machines Corporation Vertical FET structure
US9799765B1 (en) 2016-06-29 2017-10-24 International Business Machines Corporation Formation of a bottom source-drain for vertical field-effect transistors
US9847337B1 (en) * 2016-12-27 2017-12-19 Micron Technology, Inc. Memory arrays comprising ferroelectric capacitors
US10553703B2 (en) * 2017-05-19 2020-02-04 Micron Technology, Inc. Array of elevationally-extending transistors and a method used in forming an array of elevationally-extending transistors
CN108461496B (zh) * 2018-05-09 2023-09-29 长鑫存储技术有限公司 集成电路存储器及其形成方法、半导体集成电路器件

Family Cites Families (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5681974A (en) * 1979-12-07 1981-07-04 Toshiba Corp Manufacture of mos type semiconductor device
KR920010461B1 (ko) * 1983-09-28 1992-11-28 가부시끼가이샤 히다찌세이사꾸쇼 반도체 메모리와 그 제조 방법
US4835741A (en) 1986-06-02 1989-05-30 Texas Instruments Incorporated Frasable electrically programmable read only memory cell using a three dimensional trench floating gate
US5160491A (en) 1986-10-21 1992-11-03 Texas Instruments Incorporated Method of making a vertical MOS transistor
JPS63183691A (ja) 1987-01-26 1988-07-29 Mitsubishi Electric Corp 半導体記憶装置
US4979004A (en) 1988-01-29 1990-12-18 Texas Instruments Incorporated Floating gate memory cell and device
DE3902701A1 (de) 1988-01-30 1989-08-10 Toshiba Kawasaki Kk Verfahren zur herstellung einer halbleiteranordnung
US5014110A (en) 1988-06-03 1991-05-07 Mitsubishi Denki Kabushiki Kaisha Wiring structures for semiconductor memory device
JPH0294477A (ja) 1988-09-30 1990-04-05 Toshiba Corp 半導体装置及びその製造方法
US5108938A (en) * 1989-03-21 1992-04-28 Grumman Aerospace Corporation Method of making a trench gate complimentary metal oxide semiconductor transistor
US5021355A (en) 1989-05-22 1991-06-04 International Business Machines Corporation Method of fabricating cross-point lightly-doped drain-source trench transistor
JPH03219677A (ja) * 1990-01-24 1991-09-27 Fujitsu Ltd 半導体装置
KR930006930B1 (ko) 1990-04-19 1993-07-24 태흥산업 주식회사 트리스아조 직접염료의 개선된 제조방법
US5107459A (en) 1990-04-20 1992-04-21 International Business Machines Corporation Stacked bit-line architecture for high density cross-point memory cell array
JPH0834302B2 (ja) 1990-04-21 1996-03-29 株式会社東芝 半導体記憶装置
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5244824A (en) * 1990-09-05 1993-09-14 Motorola, Inc. Trench capacitor and transistor structure and method for making the same
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
KR960001611B1 (ko) 1991-03-06 1996-02-02 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법
US5122848A (en) * 1991-04-08 1992-06-16 Micron Technology, Inc. Insulated-gate vertical field-effect transistor with high current drive and minimum overlap capacitance
KR940006679B1 (ko) 1991-09-26 1994-07-25 현대전자산업 주식회사 수직형 트랜지스터를 갖는 dram셀 및 그 제조방법
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JP2748072B2 (ja) * 1992-07-03 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5281548A (en) 1992-07-28 1994-01-25 Micron Technology, Inc. Plug-based floating gate memory
JP2889061B2 (ja) 1992-09-25 1999-05-10 ローム株式会社 半導体記憶装置およびその製法
JP3311070B2 (ja) 1993-03-15 2002-08-05 株式会社東芝 半導体装置
US5358879A (en) 1993-04-30 1994-10-25 Loral Federal Systems Company Method of making gate overlapped lightly doped drain for buried channel devices
KR0141218B1 (ko) * 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5514604A (en) 1993-12-08 1996-05-07 General Electric Company Vertical channel silicon carbide metal-oxide-semiconductor field effect transistor with self-aligned gate for microwave and power applications, and method of making
US5532089A (en) 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
AU702584B2 (en) * 1993-12-27 1999-02-25 Kirin Holdings Kabushiki Kaisha DNA strands useful for the synthesis of xanthophylls and the process for producing the xanthophylls
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP2658870B2 (ja) 1994-04-22 1997-09-30 日本電気株式会社 半導体記憶装置およびその製造方法
US5413949A (en) 1994-04-26 1995-05-09 United Microelectronics Corporation Method of making self-aligned MOSFET
US5446299A (en) 1994-04-29 1995-08-29 International Business Machines Corporation Semiconductor random access memory cell on silicon-on-insulator with dual control gates
US5841611A (en) 1994-05-02 1998-11-24 Matsushita Electric Industrial Co., Ltd. Magnetoresistance effect device and magnetoresistance effect type head, memory device, and amplifying device using the same
KR0151195B1 (ko) 1994-09-13 1998-10-01 문정환 박막 트랜지스터의 구조 및 제조방법
US5753947A (en) 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5574621A (en) 1995-03-27 1996-11-12 Motorola, Inc. Integrated circuit capacitor having a conductive trench
DE19519160C1 (de) * 1995-05-24 1996-09-12 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19524092C2 (de) 1995-07-01 1997-08-07 Hewlett Packard Gmbh Verfahren und Vorrichtung zum Komprimieren und Anzeigen digitaler Daten, insbesondere der Herzfrequenz von Kardiotokographen
JPH0982918A (ja) 1995-09-19 1997-03-28 Toshiba Corp 半導体記憶装置およびその製造方法
US5854501A (en) 1995-11-20 1998-12-29 Micron Technology, Inc. Floating gate semiconductor device having a portion formed with a recess
US6420786B1 (en) 1996-02-02 2002-07-16 Micron Technology, Inc. Conductive spacer in a via
US6090700A (en) 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
KR20000015822A (ko) 1996-05-21 2000-03-15 칼 하인쯔 호르닝어 박막 다층 콘덴서_
DE19620625C1 (de) 1996-05-22 1997-10-23 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JPH1022476A (ja) * 1996-07-02 1998-01-23 Sony Corp 容量素子
US5792687A (en) * 1996-08-01 1998-08-11 Vanguard International Semiconductor Corporation Method for fabricating high density integrated circuits using oxide and polysilicon spacers
TW308727B (en) * 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
TW304290B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp The manufacturing method for semiconductor memory device with capacitor
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5714786A (en) 1996-10-31 1998-02-03 Micron Technology, Inc. Transistors having controlled conductive spacers, uses of such transistors and methods of making such transistors
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (ja) 1996-11-06 2008-03-05 富士通株式会社 半導体装置及びその製造方法
US5714412A (en) 1996-12-02 1998-02-03 Taiwan Semiconductor Manufacturing Company, Ltd Multi-level, split-gate, flash memory cell and method of manufacture thereof
KR19980064176A (ko) * 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
JP4053647B2 (ja) * 1997-02-27 2008-02-27 株式会社東芝 半導体記憶装置及びその製造方法
US5792690A (en) 1997-05-15 1998-08-11 Vanguard International Semiconductor Corporation Method of fabricating a DRAM cell with an area equal to four times the used minimum feature
US6337497B1 (en) * 1997-05-16 2002-01-08 International Business Machines Corporation Common source transistor capacitor stack
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6150687A (en) * 1997-07-08 2000-11-21 Micron Technology, Inc. Memory cell having a vertical transistor with buried source/drain and dual gates
US6072209A (en) * 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
US6191470B1 (en) * 1997-07-08 2001-02-20 Micron Technology, Inc. Semiconductor-on-insulator memory cell with buried word and body lines
US5869359A (en) 1997-08-20 1999-02-09 Prabhakar; Venkatraman Process for forming silicon on insulator devices having elevated source and drain regions
US6380026B2 (en) * 1997-08-22 2002-04-30 Micron Technology, Inc. Processing methods of forming integrated circuitry memory devices, methods of forming DRAM arrays, and related semiconductor masks
JP3502531B2 (ja) 1997-08-28 2004-03-02 株式会社ルネサステクノロジ 半導体装置の製造方法
DE19801095B4 (de) * 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US5963469A (en) * 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6259142B1 (en) 1998-04-07 2001-07-10 Advanced Micro Devices, Inc. Multiple split gate semiconductor device and fabrication method
US6696746B1 (en) * 1998-04-29 2004-02-24 Micron Technology, Inc. Buried conductors
US6188996B1 (en) * 1998-05-22 2001-02-13 Pitney Bowes Inc. System for metering permit mail
US5972754A (en) 1998-06-10 1999-10-26 Mosel Vitelic, Inc. Method for fabricating MOSFET having increased effective gate length
US6767789B1 (en) 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
KR100304717B1 (ko) 1998-08-18 2001-11-15 김덕중 트렌치형게이트를갖는반도체장치및그제조방법
US6362506B1 (en) 1998-08-26 2002-03-26 Texas Instruments Incorporated Minimization-feasible word line structure for DRAM cell
JP4322330B2 (ja) * 1998-09-04 2009-08-26 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US6225669B1 (en) 1998-09-30 2001-05-01 Advanced Micro Devices, Inc. Non-uniform gate/dielectric field effect transistor
DE19845003C1 (de) * 1998-09-30 2000-02-10 Siemens Ag Vertikaler Feldeffekttransistor mit innenliegendem ringförmigen Gate und Herstellverfahren
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6114205A (en) * 1998-10-30 2000-09-05 Sony Corporation Epitaxial channel vertical MOS transistor
EP1003219B1 (en) 1998-11-19 2011-12-28 Qimonda AG DRAM with stacked capacitor and buried word line
US5977579A (en) * 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6383861B1 (en) * 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
JP3973819B2 (ja) 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US6180494B1 (en) 1999-03-11 2001-01-30 Micron Technology, Inc. Integrated circuitry, methods of fabricating integrated circuitry, methods of forming local interconnects, and methods of forming conductive lines
KR100282452B1 (ko) 1999-03-18 2001-02-15 김영환 반도체 소자 및 그의 제조 방법
US6297106B1 (en) 1999-05-07 2001-10-02 Chartered Semiconductor Manufacturing Ltd. Transistors with low overlap capacitance
DE19928781C1 (de) 1999-06-23 2000-07-06 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
US6392271B1 (en) 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6187643B1 (en) 1999-06-29 2001-02-13 Varian Semiconductor Equipment Associates, Inc. Simplified semiconductor device manufacturing using low energy high tilt angle and high energy post-gate ion implantation (PoGI)
US6114735A (en) 1999-07-02 2000-09-05 Micron Technology, Inc. Field effect transistors and method of forming field effect transistors
US6630712B2 (en) 1999-08-11 2003-10-07 Advanced Micro Devices, Inc. Transistor with dynamic source/drain extensions
US6033963A (en) 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
US6403442B1 (en) * 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
DE19943760C1 (de) * 1999-09-13 2001-02-01 Infineon Technologies Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
JP3450758B2 (ja) 1999-09-29 2003-09-29 株式会社東芝 電界効果トランジスタの製造方法
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6255165B1 (en) 1999-10-18 2001-07-03 Advanced Micro Devices, Inc. Nitride plug to reduce gate edge lifting
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6383879B1 (en) 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6323506B1 (en) 1999-12-21 2001-11-27 Philips Electronics North America Corporation Self-aligned silicon carbide LMOSFET
JP4860022B2 (ja) * 2000-01-25 2012-01-25 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
JP4363736B2 (ja) * 2000-03-01 2009-11-11 新電元工業株式会社 トランジスタ及びその製造方法
US6399490B1 (en) * 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036725C2 (de) * 2000-07-27 2002-11-28 Infineon Technologies Ag Verfahren zur Herstellung einer porösen Isolierschicht mit niedriger Dielektrizitätskonstante auf einem Halbleitersubstrat
DE10038728A1 (de) * 2000-07-31 2002-02-21 Infineon Technologies Ag Halbleiterspeicher-Zellenanordnung und Verfahren zu deren Herstellung
US6580137B2 (en) 2000-08-29 2003-06-17 Boise State University Damascene double gated transistors and related manufacturing methods
US6495474B1 (en) 2000-09-11 2002-12-17 Agere Systems Inc. Method of fabricating a dielectric layer
US6391720B1 (en) 2000-09-27 2002-05-21 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6340614B1 (en) * 2000-10-03 2002-01-22 Vanguard International Semiconductor Corporation Method of forming a DRAM cell
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US6301726B1 (en) 2000-11-27 2001-10-16 Robert Pena Method of installing a bathtub
US6348385B1 (en) 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
US6570207B2 (en) * 2000-12-13 2003-05-27 International Business Machines Corporation Structure and method for creating vertical capacitor and anti-fuse in DRAM process employing vertical array device cell complex
JP4635333B2 (ja) * 2000-12-14 2011-02-23 ソニー株式会社 半導体装置の製造方法
US6864536B2 (en) * 2000-12-20 2005-03-08 Winbond Electronics Corporation Electrostatic discharge protection circuit
KR100360414B1 (ko) 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6300177B1 (en) 2001-01-25 2001-10-09 Chartered Semiconductor Manufacturing Inc. Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
JP3944367B2 (ja) 2001-02-06 2007-07-11 松下電器産業株式会社 絶縁膜の形成方法及び半導体装置の製造方法
US6531727B2 (en) * 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
KR100388682B1 (ko) * 2001-03-03 2003-06-25 삼성전자주식회사 반도체 메모리 장치의 스토리지 전극층 및 그 형성방법
US6759707B2 (en) 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
DE10111755C1 (de) * 2001-03-12 2002-05-16 Infineon Technologies Ag Verfahren zur Herstellung einer Speicherzelle eines Halbleiterspeichers
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6734510B2 (en) 2001-03-15 2004-05-11 Micron Technology, Ing. Technique to mitigate short channel effects with vertical gate transistor with different gate materials
JP4895430B2 (ja) 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP3671854B2 (ja) 2001-04-05 2005-07-13 松下電器産業株式会社 シリコン系基板の表面処理方法
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
EP1253634A3 (en) 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6498062B2 (en) * 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
US6509612B2 (en) 2001-05-04 2003-01-21 International Business Machines Corporation High dielectric constant materials as gate dielectrics (insulators)
US6624486B2 (en) * 2001-05-23 2003-09-23 International Business Machines Corporation Method for low topography semiconductor device formation
DE10125967C1 (de) * 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM-Zellanordnung mit vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
JP2002353445A (ja) 2001-05-30 2002-12-06 Sony Corp 溝ゲート型電界効果トランジスタの製造方法
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
JP4246929B2 (ja) * 2001-06-29 2009-04-02 株式会社東芝 半導体記憶装置およびその製造方法
JP2003023150A (ja) 2001-07-10 2003-01-24 Sony Corp トレンチゲート型半導体装置及びその作製方法
KR100398955B1 (ko) 2001-08-02 2003-09-19 삼성전자주식회사 이이피롬 메모리 셀 및 형성 방법
DE10139827A1 (de) * 2001-08-14 2003-03-13 Infineon Technologies Ag Speicherzelle mit Grabenkondensator und vertikalem Auswahltransistor und einem zwischen diesen geformten ringförmigen Kontaktierungsbereich
US6800899B2 (en) 2001-08-30 2004-10-05 Micron Technology, Inc. Vertical transistors, electrical devices containing a vertical transistor, and computer systems containing a vertical transistor
KR100431656B1 (ko) * 2001-09-11 2004-05-17 삼성전자주식회사 반도체 장치의 제조 방법
KR100436287B1 (ko) 2001-11-17 2004-06-16 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US6630720B1 (en) 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6563183B1 (en) 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6858500B2 (en) * 2002-01-16 2005-02-22 Fuji Electric Co., Ltd. Semiconductor device and its manufacturing method
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
DE10208249B4 (de) * 2002-02-26 2006-09-14 Infineon Technologies Ag Halbleiterspeicher mit vertikalem Auswahltransistor
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6661042B2 (en) 2002-03-11 2003-12-09 Monolithic System Technology, Inc. One-transistor floating-body DRAM cell in bulk CMOS process with electrically isolated charge storage region
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6756625B2 (en) * 2002-06-21 2004-06-29 Micron Technology, Inc. Memory cell and method for forming the same
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US20040034587A1 (en) * 2002-08-19 2004-02-19 Amberson Matthew Gilbert System and method for calculating intra-period volatility
US6838723B2 (en) * 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
US6818947B2 (en) 2002-09-19 2004-11-16 Fairchild Semiconductor Corporation Buried gate-field termination structure
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
US6853252B2 (en) 2002-10-04 2005-02-08 Intersil Corporation Phase-lock loop having programmable bandwidth
US6753228B2 (en) 2002-10-15 2004-06-22 Semiconductor Components Industries, L.L.C. Method of forming a low resistance semiconductor device and structure therefor
KR100481867B1 (ko) 2002-11-11 2005-04-11 삼성전자주식회사 강유전체 커패시터 및 그 제조 방법
US7250650B2 (en) * 2002-11-21 2007-07-31 Infineon Technologies Ag Field-effect transistor structure and associated semiconductor memory cell
US7030436B2 (en) 2002-12-04 2006-04-18 Micron Technology, Inc. Embedded DRAM gain memory cell having MOS transistor body provided with a bi-polar transistor charge injecting means
KR100521369B1 (ko) 2002-12-18 2005-10-12 삼성전자주식회사 고속도 및 저전력 소모 반도체 소자 및 그 제조 방법
TW574746B (en) 2002-12-19 2004-02-01 Taiwan Semiconductor Mfg Method for manufacturing MOSFET with recessed channel
KR20040061967A (ko) 2002-12-31 2004-07-07 동부전자 주식회사 반도체 소자의 제조방법
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
JP2004281736A (ja) 2003-03-17 2004-10-07 Nec Electronics Corp 半導体記憶装置
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
KR100480645B1 (ko) 2003-04-01 2005-03-31 삼성전자주식회사 역자기 정합 방식을 이용한 트윈―ono 형태의sonos 메모리 소자 제조 방법
FR2853319B1 (fr) 2003-04-03 2005-05-06 Rhodia Chimie Sa Composition reticulable pour electrolyte de batterie
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6967143B2 (en) 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
TW587338B (en) 2003-05-06 2004-05-11 Mosel Vitelic Inc Stop structure of trench type DMOS device and its formation method
JP2004335031A (ja) 2003-05-09 2004-11-25 Toshiba Corp 半導体記憶装置
JP3913709B2 (ja) 2003-05-09 2007-05-09 株式会社東芝 半導体記憶装置
US7105406B2 (en) * 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6818515B1 (en) 2003-06-23 2004-11-16 Promos Technologies Inc. Method for fabricating semiconductor device with loop line pattern structure
KR100521381B1 (ko) 2003-06-25 2005-10-12 삼성전자주식회사 모오스 전계 효과 트랜지스터의 제조 방법
US7335934B2 (en) 2003-07-22 2008-02-26 Innovative Silicon S.A. Integrated circuit device, and method of fabricating same
US7326619B2 (en) 2003-08-20 2008-02-05 Samsung Electronics Co., Ltd. Method of manufacturing integrated circuit device including recessed channel transistor
US6784069B1 (en) * 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
KR100546378B1 (ko) 2003-09-09 2006-01-26 삼성전자주식회사 리세스 채널을 가지는 트랜지스터 제조 방법
US6844591B1 (en) 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7184298B2 (en) 2003-09-24 2007-02-27 Innovative Silicon S.A. Low power programming technique for a floating body memory transistor, memory cell, and memory array
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US20050104156A1 (en) 2003-11-13 2005-05-19 Texas Instruments Incorporated Forming a semiconductor structure in manufacturing a semiconductor device using one or more epitaxial growth processes
KR100521383B1 (ko) 2003-11-17 2005-10-12 삼성전자주식회사 소자분리막 상에 형성된 소오스/드레인을 갖는 반도체소자 및 그 제조방법
JP4342970B2 (ja) 2004-02-02 2009-10-14 株式会社東芝 半導体メモリ装置及びその製造方法
US7262089B2 (en) * 2004-03-11 2007-08-28 Micron Technology, Inc. Methods of forming semiconductor structures
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7160788B2 (en) * 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7122425B2 (en) * 2004-08-24 2006-10-17 Micron Technology, Inc. Methods of forming semiconductor constructions
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7285812B2 (en) * 2004-09-02 2007-10-23 Micron Technology, Inc. Vertical transistors
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
JP4083160B2 (ja) 2004-10-04 2008-04-30 株式会社東芝 半導体記憶装置およびfbcメモリセルの駆動方法
US7608503B2 (en) * 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US20060167741A1 (en) 2005-01-25 2006-07-27 Cisco Technology, Inc. System and method for designing a supply chain
DE102005008478B3 (de) * 2005-02-24 2006-10-26 Infineon Technologies Ag Verfahren zur Herstellung von sublithographischen Strukturen
JP2006237455A (ja) 2005-02-28 2006-09-07 Toshiba Corp 半導体装置とその製造方法
US7244659B2 (en) 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7384849B2 (en) * 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
WO2006103973A1 (ja) 2005-03-25 2006-10-05 Toshiba Tec Kabushiki Kaisha 電気掃除機
US7611944B2 (en) * 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7214621B2 (en) * 2005-05-18 2007-05-08 Micron Technology, Inc. Methods of forming devices associated with semiconductor constructions
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006352005A (ja) * 2005-06-20 2006-12-28 Toshiba Corp 強誘電体記憶装置およびその製造方法
US7517741B2 (en) 2005-06-30 2009-04-14 Freescale Semiconductor, Inc. Single transistor memory cell with reduced recombination rates
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7867845B2 (en) 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
JP4773182B2 (ja) 2005-10-28 2011-09-14 エルピーダメモリ株式会社 半導体装置の製造方法
KR100843139B1 (ko) * 2005-12-15 2008-07-02 삼성전자주식회사 오픈 비트 라인 구조를 갖는 멀티레벨 동적 메모리 장치 및그 구동 방법
US7495294B2 (en) * 2005-12-21 2009-02-24 Sandisk Corporation Flash devices with shared word lines
TWI293207B (en) 2006-01-11 2008-02-01 Promos Technologies Inc Dynamic random access memory structure and method for preparing the smae
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7495946B2 (en) * 2006-03-02 2009-02-24 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7476933B2 (en) * 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7349232B2 (en) * 2006-03-15 2008-03-25 Micron Technology, Inc. 6F2 DRAM cell design with 3F-pitch folded digitline sense amplifier
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7755132B2 (en) * 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7732275B2 (en) * 2007-03-29 2010-06-08 Sandisk Corporation Methods of forming NAND flash memory with fixed charge
US7494870B2 (en) * 2007-01-12 2009-02-24 Sandisk Corporation Methods of forming NAND memory with virtual channel
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US7684245B2 (en) * 2007-10-30 2010-03-23 Atmel Corporation Non-volatile memory array architecture with joined word lines
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors

Also Published As

Publication number Publication date
JP2008511996A (ja) 2008-04-17
EP2267769A2 (en) 2010-12-29
WO2006028775A2 (en) 2006-03-16
KR20070034131A (ko) 2007-03-27
TW200633137A (en) 2006-09-16
EP2267769A3 (en) 2011-08-24
US7547945B2 (en) 2009-06-16
US8120101B2 (en) 2012-02-21
US20080142882A1 (en) 2008-06-19
SG155882A1 (en) 2009-10-29
US20060261393A1 (en) 2006-11-23
KR100918156B1 (ko) 2009-09-17
US7825462B2 (en) 2010-11-02
WO2006028775A3 (en) 2006-04-27
TWI287270B (en) 2007-09-21
CN101057322A (zh) 2007-10-17
US20060043449A1 (en) 2006-03-02
US7501684B2 (en) 2009-03-10
US20110012182A1 (en) 2011-01-20
EP1784858A2 (en) 2007-05-16

Similar Documents

Publication Publication Date Title
CN100583414C (zh) 具有埋入衬底的栅的动态随机存取存储器晶体管及其形成方法
US8058683B2 (en) Access device having vertical channel and related semiconductor device and a method of fabricating the access device
US7696567B2 (en) Semiconductor memory device
US7795620B2 (en) Transistor structure and dynamic random access memory structure including the same
US7767525B2 (en) Methods of forming vertical transistor structures
US6204140B1 (en) Dynamic random access memory
JP2004505466A (ja) 半導体メモリーセル構造およびその製造方法
CN111696987B (zh) 动态随机存取存储单元与其相关的工艺
US6383864B2 (en) Memory cell for dynamic random access memory (DRAM)
US6750095B1 (en) Integrated circuit with vertical transistors
JPH04233272A (ja) ダブルトレンチ半導体メモリ及びその製造方法
US7435643B2 (en) Fabrication method of a dynamic random access memory
US10608086B2 (en) Semiconductor structure with diffusion barrier region and manufacturing method thereof
US7026209B2 (en) Dynamic random access memory cell and fabrication thereof
JP2653683B2 (ja) 半導体トレンチ・メモリ・セル構造
KR20130141935A (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant