CN101065513B - Gas distribution system for improving transient vapor phase deposition - Google Patents

Gas distribution system for improving transient vapor phase deposition Download PDF

Info

Publication number
CN101065513B
CN101065513B CN2005800408151A CN200580040815A CN101065513B CN 101065513 B CN101065513 B CN 101065513B CN 2005800408151 A CN2005800408151 A CN 2005800408151A CN 200580040815 A CN200580040815 A CN 200580040815A CN 101065513 B CN101065513 B CN 101065513B
Authority
CN
China
Prior art keywords
gas
channel
ring
passage
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800408151A
Other languages
Chinese (zh)
Other versions
CN101065513A (en
Inventor
苏达哈尔·贡德哈利卡
罗伯特·邓肯
塞玛可·萨力米恩
穆罕姆德·M·拉希德
哈瑞·S·怀特赛尔
布鲁诺·杰弗里昂
帕德曼那泊罕·克里希纳拉杰
鲁道夫·古杰尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101065513A publication Critical patent/CN101065513A/en
Application granted granted Critical
Publication of CN101065513B publication Critical patent/CN101065513B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes

Abstract

Embodiments of the present invention are directed to a gas distribution system which distributes the gas more uniformly into a process chamber. In one embodiment, a gas distribution system comprises agas ring including an outer surface and an inner surface, and a gas inlet disposed at the outer surface of the gas ring. The gas inlet is fluidicly coupled with a first channel which is disposed between the outer surface and the inner surface of the gas ring. A plurality of gas outlets are distributed over the inner surface of the gas ring, and are fluidicly coupled with a second channel which isdisposed between the outer surface and the inner surface of the gas ring. A plurality of orifices are fluidicly coupled between the first channel and the second channel. The plurality of orifices arespaced from the gas inlet by a plurality of distances, and have sizes which vary with the distances from the gas inlet as measured along the first channel, such that the size of the orifice increaseswith an increase in the distance between the orifice and the gas inlet as measured along the first channel.

Description

Be used to improve the gas distributing system of transient vapor phase deposition
Technical field
The present invention roughly relates to semiconductor technology, and clearer and more definite, be about, for example be used for the gas distributing system of the improvement of chemical vapor deposition chamber, so that the transient vapor phase deposition of improvement to be provided.
Background technology
One of key step of making semiconductor element now is that the chemical reaction by gas forms thin layer on semiconductor substrate.This kind depositing operation is commonly referred to as chemical vapor deposition (CVD).The traditional hot chemical vapor deposition method is that reactant gas is supplied to substrate surface, is desired thin layer to form in the hot chemical reaction that brings out of this place's generation.On the other hand, plasma enhanced chemical vapor deposition (PECVD) technology then can be passed through the application of radio-frequency (RF) energy (RF), promotes reactant gas exciting near the substrate surface reaction zone and/or decomposing, in order to form plasma body.The species of hyperergy can produce institute's energy requirement that chemical reaction takes place in the plasma body, therefore compare the temperature that has reduced the CVD arts demand with traditional hot CVD technology.And high density plasma (HDP) CVD technology has further shown these advantages, because of the plasma body of densification is to form under low vacuum pressure, so make plasma species have more reactivity." high-density " can have the ion density that is equal to or higher than 1011 ion/cubic centimeters by literal its expression of upward understanding.
Utilize the application-specific of HDP-CVD technology to comprise that shallow trench isolation (STI), preceding metal and dielectric matter (PMD) are used and intermediate metal dielectric substance (IMD) is used.Yet the deposition characteristics in these various application can influence to some extent because of the diffusion between the adjoining course of the different constituents of tool, and diffusion is understood influence gained layer structure specific and desired characteristic.For avoiding this kind diffusion, one of settling mode that industry adopted comprises the intermediate barrier layer (intermediate barrier layer) that deposition is extra.For example, when deposition is through adulterated Si oxide in IMD uses, diffuses to the metal doping thing and can form the happy chemical species of seeing, make oxide compound and intermetallic adhesion variation at oxide/metal interface place.Before the dopant deposition silicon oxide layer, on metal, deposit the laying that is rich in silicon and can avoid the hotchpotch diffusion.The use of barrier layer has sizable help for the improvement of structure tackyness.In many application, deposit barrier layers is several when forming ad hoc structure has become customary step.For example, in the fluorinated silica glass that utilizes HDP-CVD was used, the silicon oxide layer that is rich in the normal dopant deposition fluorine of oxide liner bed course of silicon was formed on the base material before.
Deposition embryo deposit layer or laying are the key elements of avoiding the plasma body injury in the HDP-CVD reactor.Yet, reach even laying and truly have difficulty because the nonuniform gas of the instantaneous phase of embryo deposit distributes.A kind of method of the even laying of deposition is to utilize low-pressure strike at present, that is does not contain plasma body in the gaseous mixture in the treatment chamber.During mixing step, base material is to cool off not using under the plasma body, in order to reduce the depositing temperature of laying.The laying precursor gas generally includes oxygen and silicon source gas (for example silane), also may be fluoro-gas (for example silicon tetrafluoride).Plasma bombardment after the pre-blend step can be undertaken by the processing mode of low-pressure strike, for example be described in and applied on December 23rd, 1999, in just examining at present, and yield the U.S. Patent application the 09/470th of present assignee jointly, No. 819, its title is " LOW PRESSURE STRIKE INHDP-CVD CHAMBER ".During plasma body is initial, uses low-pressure strike can avoid the instability of plasma body, otherwise can cause the inconsistent of membrane quality.
On the other hand, now having observed the depositing temperature that raises as far as possible in the HDP-CVD reactor is a kind of slit completion method of key.Yet the mode that reduces depositing temperature by low-pressure strike will make the slit fill quality badness.
Summary of the invention
Described embodiment of the present invention during its instantaneous phase when gas flow to processing chamber by gas distributing system at first, can make gas be dispensed to processing chamber in comparatively uniform mode about gas distributing system.In specific embodiment, gas distributing system can be in conjunction with the different bore hole sizes between exterior passage way and interior passageway.The gas that flow to exterior passage way via the gas inlet can then pass through the hole of different size to interior passageway.When exterior passage way is measured, bore hole size is to increase with the distance between hole and gas inlet.In this way, gas can flow to interior gas passage more uniformly, because interior gas passage is to be coupled to several to be located at treatment chamber pneumatic outlet all around with circulating, so that gas is introduced treatment chamber.Exterior passage way and interior passageway are to be located at around the processing chamber in circular gas ring mode.After carrying out the low-pressure strike method, gas distributing system can need not reduce the embryo deposit temperature in order to deposit even laying, in order to guarantee sedimentary quality, comprises good slit filling characteristic.
According in the aspect of the present invention, gas distributing system comprises a gas ring, and it has an outside surface and an internal surface; And a gas inlet, be located at the outer surface of gas ring.The gas inlet fluidly couples with first channel, and this first channel is located between the outside surface and internal surface of gas ring.Several pneumatic outlets then are distributed in the total inner surface of gas ring, and itself and circulation ground couples mutually with second passage between the outside surface of being located at the gas ring and internal surface.Several holes then fluidly are coupled between first channel and the second passage.Several holes then with gas inlet some distances of being separated by, and when first channel is measured, its size changes along with the distance of distance gas inlet, so that bore hole size is increasing along with the distance between hole and gas inlet when first channel is measured.
According to another aspect of the present invention, gas is flowed into treatment chamber comprise with the apportioning method of handling base material a gas ring is provided, it comprises that an outside surface and an internal surface, be located at first channel and between this outside surface and internal surface and be located at second passage between this outside surface and internal surface.This first channel fluidly couples with second passage by several holes.Gas flow to the gas ring via gas inlet (being located at the outer surface of gas ring).The gas that flow to first channel via the gas inlet flow to second passage by several holes, and flow to treatment chamber by several gas holes (fluidly coupling with second passage).Several holes and gas inlet some distances of being separated by.Described hole has different size, and gas is dispensed to treatment chamber to provide roughly uniformly by pneumatic outlet during instantaneous when gas is introduced the gas ring at first.
Description of drawings
Fig. 1 is the simple diagram according to the embodiment of a high density plasma CVD of the present invention (HDP-CVD) system;
Fig. 2 is a simple nodal section figure applicable to the gas ring of the exemplary HDP-CVD system of Fig. 1;
Fig. 3 is the sectional view according to the gas ring of one embodiment of the invention;
Fig. 4 is the amplification sectional view of the part of Fig. 3 gas ring;
Fig. 5 utilizes known gas ring to be deposited on the variation in thickness figure of the layer on the base material;
Fig. 6 is the layer of Fig. 5 is deposited on the layer on the base material in the gas ring that utilizes one embodiment of the invention under the same terms variation in thickness figure.
The main element nomenclature
10 systems, 13 treatment chambers
14 domes, 16 plasma process districts
17 base materials, 18 substrate supports
19 base material acceptance divisions, 20 electrostatic chucks
21 base portions, 22 body elements
23 hot-plates, 24 cooling plates top
25 throttle body, 26 three-chip type throttling valve
27 gate valve, 28 isolated pumps
Coil 30 lateral coils on 29
31A generator 31B SRF generator
31C bias voltage RF (BRF) generator 32C bias voltage matching network
32A matching network 32B matching network
33 gas distributing system 34A-34B treatment chambers
First and second gas source of 34A-34B
The 34B gas source
34C-34D the 3rd and the 4th gas source
The 34E purge gas source
First and second gas flow controller of 35A-35B
35C-35D ' the 3rd and the 4th gas stream controller
Two MFCs36 gas of 35B-35B ' annular space
37 gas rings, 38 gas delivery lines
38A conveyer line 39 gas jets
40 gas jets, 41 body plenum
43B valve 43A valve
Pipeline before 43C valve 44 vacuum
Relief outlet on 45 upper spray nozzles 46
Space 50 remote plasma cleaning system on 48
The movable 54 clean air feed port of 53 reactors
55 apply pipe handles the position 56 times
" loaded " position 70 vacuum systems on 57
80B bias plasma system 80A plasma system
300 gas rings, 302 outside surfaces
304 internal surfaces, 306 gas inletes
308 first channels, 310 second passages
Hole in the middle of 312 6 hole 312b
312c is the nearest hole of hole 312a farthest
316 first gas holes, 318 second gas holes
320 two first channel end 324 lines
330 connectors, 500 known gas rings
502 gas inletes, 504 exterior passage ways
508 two holes of 506 interior passageways
510 first gas holes, 512 second gas holes
620 base materials
Embodiment
Fig. 1 is the embodiment that explanation one utilizes high density plasma CVD (HDP-CVD) system 10, but this system's dielectric layer.System 10 comprises that a treatment chamber 13, a vacuum system 70, come source plasma system 80A, a bias plasma system 80B, a gas distributing system 33 and remote plasma cleaning system 50.
The upper portion of treatment chamber 13 comprises a dome 14, and it is to be made by ceramic dielectric material, for example aluminum oxide or aluminium nitride.Dome 14 can define the coboundary in Cement Composite Treated by Plasma district 16.Cement Composite Treated by Plasma district 16 is as the border with base material 17 upper surfaces of bottom and substrate support 18.
Hot-plate 23 and cooling plate 24 be higher than dome 14 and with heat couple.In hot-plate 23 and cooling plate 24 can be controlled at dome temperature and make an appointment with ± 10 ℃ between about 100 ℃ to 200 ℃ scopes.But this optimizing dome temperature is to be suitable for different process.For example, generally wish that all remaining on dome than depositing operation is high temperature, to be used for cleaning or etch process.Correct control dome temperature also can reduce the amount of fragment in the treatment chamber or particulate, and improves the tackyness between settled layer and base material.
Generally speaking, be exposed under the plasma body and can heat the base material that places on the substrate support 18.Substrate support 18 comprises interior passageway and exterior passage way (not shown), so that thermal conversion gas (being sometimes referred to as backside coolant gas) is passed to substrate back side.
The bottom of treatment chamber 13 comprises a body elements 22, and it can be connected to vacuum system with treatment chamber.The base portion 21 of substrate support 18 is mounted in body elements 22 and forms continuous internal surface.Base material then by the mechanical blade (not shown) transmit debouch treatment chamber 13 sides send into/shift out the opening (not shown).The lift pins (not shown) can be done to rise and descend under the control of motor (not shown equally), moves to down from mechanical blade with the base material that will go up " loaded " position 57 places and handles position 56, and base material is to be placed on the base material acceptance division 19 of substrate support 18 in this disposal.Base material acceptance division 19 comprises an electrostatic chuck 20, and it can be fixed on base material on the substrate support 18 during substrate process.In a preferred embodiment, substrate support 18 is to be made by aluminum oxide or aluminium stupalith.
Vacuum system 70 comprises throttle body 25, its shade three-chip type throttling valve
And be to be connected to gate valve 27 and turbomolecular pump 28.It should be noted that throttle body 25 can provide the minimum of gas stream to block, to aspirate synchronously.Gate valve 27 can completely cut off pump 28 and throttle body 25, and the delivery flow also can be by restriction throttling valve 26 complete openings the time is controlled chamber pressure.The configuration of throttling valve, gate valve and turbomolecular pump can be accurate and stable chamber pressure is controlled at about 1 milli-torr (milli-Torr) to about 2 the holder ears between.
Come source plasma system 80A to comprise coil 29 and lateral coil 30 on one, all be installed on the dome 14.Symmetric ground connection plate washer (not shown) can reduce the electrical couplings between coil.Last coil 29 is by last source radio frequency (SRF) generator 31A supply power, and lateral coil 30 can be by side SRF generator 31B supply power, to provide independent current source position falcon and operating frequency to each coil.Radiation ion density in this kind dual coil system may command treatment chamber 13 is in order to improve plasma uniformity.Lateral coil 30 reaches and goes up coil 29 generally is the driving of irritability, and it does not need supporting electrode.In a specific embodiment, last power supply RF generator 31A about 8000 watts (7kW) can be provided nominally or be higher than the RF power supply of 2MHz, and side source RF generator 31B can provide 8000 watts (5kW) at the most nominally or be higher than the RF power supply of 2MHz.The operating frequency of top and side RF generator can depart from nominal operating frequency (for example reaching 1.7-1.9MHz and 1.9-2.1MHz respectively), forms efficient to improve plasma body.
Bias plasma system 80B comprises bias voltage RF (BRF) but generator 31C and bias voltage matching network 32C. bias plasma system 80B electric capacity ground are coupled to body elements 22 with base material portion 17, it is as supporting electrode. and bias plasma system 80B can be used for strengthening the transmission of the formed plasma species of source plasma system 80A (for example ion) to substrate surface. and in a specific embodiment, bias voltage RF generator can provide 8000 watts or be higher than the RF power supply of 13.56MHz.
RF generator 31A and 31B comprise the synthesizer of numerical digit control, and are operable in the range of frequency between about 1.8 to about 2.1MHz.Each generator comprises a RF pilot circuit (not shown), reflexes to the power supply of generator in order to measure from treatment chamber and coil, and adjust operating frequency with minimum reflected power, as have the knack of this technology personage understanding person.The RF generator generally is to operate in the load of tool 50 ohm characteristic impedance through design.The RF power supply can have the load reflection with the impedance of generator different qualities certainly.This can reduce the power supply that is converted to load.In addition, by load reflex to generator power supply may or the overload and the injury generator.Because plasma impedance may be between 5 ohm with down to more than 900 ohm; depend on plasma ion density or other factors; and because the power supply of reflection is the function of frequency; so adjust the generator frequency according to the power supply that is reflected; can increase the power supply that converts plasma body from the RF generator to, and the protection generator.Other plants the method that reduces reflected power and improve efficient is to cooperate matching network.
Matching network 32A and 32B can its coil 29 separately and the output impedance of 30 coupling generator 31A and 31B.The RF pilot circuit can be adjusted two matching networks by the mode that changes capacitance in the matching network, when load changes generator is matched to load.The RF pilot circuit can reflex at the power supply of load and adjust matching network when generator surpasses a specific limited.A kind of providing fixed coupling and effectively made the RF circuit need not adjust the method for matching circuit for this reflected power limits value is set in the arbitrary predictor that is higher than reflected power.This can help stable plasma by matching network being maintained its most close condition in some cases.Additive method also helps stable plasma, and for example, the RF pilot circuit can be sent to the power supply of load (plasma body) in order to judgement, and can increase or reduce the generator out-put supply, roughly maintains definite value will transmit power supply during stringer.
Air delivery system 33 can be via gas delivery lines 38 (only illustrating part), provides gas from several gas source 34A-34F treatment chambers that are used to handle base material.As have the knack of this technology personage can understanding person, be actually used in the gas source of source 34A-34F and conveyer line 38 and treatment chamber 13 actual be connected be depend on deposit and treatment chamber 13 in the cleaning procedure that carries out and changing.Gas is to introduce treatment chamber 13 via gas ring 37 and/or upper spray nozzle 45.Fig. 2 is simple, the partial cross section figure of gas ring 37 additional detail of display process chamber 13.
In an embodiment, first and second gas source 34A and 34B and first and second gas stream controller 35A ' and 35B ' can provide the annular space (plenum) 36 of gas to the gas ring 37 via gas delivery lines 38 (only illustrating some).Gas ring 37 has several gas jets 39 (only illustrating so that explanation), in order to uniform gas stream is provided to whole base material.Nozzle length and nozzle angle can change, and give the profile and the gas evening ratio of the indoor special process unanimity of independent processing to revise supply.In an embodiment, gas ring 37 has 24 gas jets 39, and it is to be made by alumina-ceramic.
Gas ring 37 also has several gas jets 40 (only illustrating), its in a preferred embodiment with source gas jet 39 coplines and tool equal length, and be in an embodiment in order to receive gas from body plenum 41. Gas jet 39 and 40 in some embodiment circulation ground do not couple, because of the mixed gas not before gas is injected treatment chamber 13 of hope among those embodiment.In other embodiment, can be by providing several opening (not shown), so that gas can give mixing earlier before injecting treatment chamber 13 in body plenum 41 and 36 of gas annular spaces.In an embodiment, the 3rd and the 4th gas source 34C and 34D and the 3rd and the 4th gas stream controller 35C and 35D ' can provide gas to body plenum via gas delivery lines 38.Additional valves for example 43B (other valves are not shown) can stop by the gas of stream controller to treatment chamber.
Among the embodiment of, tool toxicity inflammable or corrosive gases, may wish to deposit the back and get rid of the gas that remains in the gas delivery lines in those uses.Can use for example valve 43B of three-dimensional formula valve (3-way valve) this moment, so that treatment chamber 13 is separated with conveyer line 38A, and with conveyer line 38A row guiding, for example the preceding pipeline (foreline) 44. of vacuum as shown in Figure 1, other similar valve such as 43A and 43C also can connect other gas delivery lines. can be located at treatment chamber 13 contiguous places in the actually operating of this kind three-dimensional formula valve, so that the volume of emission gases conveyer line (between three-dimensional formula valve and treatment chamber) does not minimize. in addition, two-way (on-off) valve (not shown) can be located between a mass flow control device (MFC) and the treatment chamber, or between gas source and MFC.
Referring again to Fig. 1, treatment chamber 13 also has upper spray nozzle 45 and goes up relief outlet 46.Upper spray nozzle 45 and last relief outlet 46 can independently be controlled top and side gas stream, to improve film equality and good adjustment depositing of thin film and doping parameters.Last relief outlet 46 is an annular opening around upper spray nozzle 45.In an embodiment, the first gas source 34A can replenish source gas jet 39 and upper spray nozzle 45.Source nozzle MFC 35A ' may command gas is sent to the amount of source gas jet 39, and upper spray nozzle MFC 35A may command gas is sent to the amount of gas jet 45.Same, both can be used for the flow of oxygen of control from single source of oxygen (34B for example originates) supreme relief outlet 46 and oxidizer gas nozzles 40 MFCs 35B and 35B '.The gas that is supplied to upper spray nozzle 45 and goes up relief outlet 46 can be kept independence before flowing to treatment chamber 13, or gas can mixing in last space 48 before flowing into treatment chamber 13.The same gas in independent source can be used for providing the different piece of treatment chamber.
In the embodiment of Figure 1 and Figure 2, remote microwave produces electricity can periodically clean deposition residues from processing chamber components with cleaning system 50.These cleaning system comprise remote microwave generator 51, and it can form plasma body by a purge gas source 34E (for example, fluorine molecule, nitrogen trifluoride, other fluorine carbide or equipollents) in reactor cavity 53.The reactive species of plasma body formation can be sent to treatment chamber 13 by clean air feed port 54 via applying pipe 55 thus.Be used to hold the impact that the material of cleaning plasma (as cavity 53 and apply pipe 55) must be able to be resisted plasma body.Should keep enough weak points in the distance operation that reactor cavity 53 and feed port are 54, because the plasma species of being desired can decay along with the distance of distance reactor cavity 53.In distal cavities, form cleaning plasma and can more effectively utilize microwave generator, and can not make processing chamber components be subjected to the glow discharge bombardment that may exist in the plasma body of temperature, radiation or original position formation.Therefore, sensitive element, for example electrostatic chuck 20 need not cover or the required protection of in-situ plasma cleaning procedure to consume wafer (dummy wafer).
Fig. 3 illustrates the gas ring 300 according to one embodiment of the invention.This gas ring 300 comprises an outside surface or peripheral 302 and one internal surface or 304 on every side.Gas inlet 306 is located at outside surface 302 places of gas ring 300, and negotiable younger brother and first channel or space 308 couple.This first channel 308 is located between the outside surface 302 and internal surface 304 of gas ring 300.Second passage or space 310 are located between the outside surface 302 and internal surface 304 of gas ring 300, and via several holes or opening and fluidly couple with first channel 308.As shown in Figure 3, first channel is an exterior passage way 308, and second passage is an interior passageway, and second passage society is between the internal surface 304 of exterior passage way 308 and gas ring 300.Yet in another embodiment, this first and second passage 308,310 can be done different configurations.For example, two passages can be laterally along the axle each interval of gas ring 300, and have same circumference.
Fig. 3 is six holes 312 of diagram, and it is roughly along the even interval of circumference of first channel 308 or second passage 310.Several first pneumatic outlets 316 are the internal surfaces 304 that are distributed in gas ring 300, and couple mutually with these second passage 310 circulation ground.Fig. 3 illustrates first pneumatic outlet 316, and it has 12 second pneumatic outlets 318 and is separated by with these second passage 310 fluids, and it also is configured to gas by other gas source introducing technology treatment chambers.For example, this first pneumatic outlet 316 can be used for guiding for example silane of silicon source gas, and second pneumatic outlet 318 then can be used for guiding other reactant gasess, for example oxygen.
In shown in specific embodiment in, second passage 310 extends 360 ° around the internal surface 304 of gas ring 300, and first channel 308 parts are extended less than 360 ° around the internal surface 304 of gas ring 300, be located at each place near two first channel ends 320 and have two first channel end spaced apart 320. holes 312, two first channel ends then in comprising the gas ring 300 of six evenly spaced holes 312 each other separately with about 60 ° angle, as shown in Figure 3. gas inlet 306 is to couple with first channel 308 near 320 middle approximately places of two first channel ends (distance along first channel 308 is measured). generally speaking, several holes 312 are to comprise that the setting greater than even number hole 312. several holes 312 of 2 is to be roughly symmetry with respect to line 324 and the center around the first channel 308 by gas inlet 306. these holes 312 do not have any one to be positioned on the line by the center around gas inlet 306 and the first channel 308. certainly, in alternate embodiment, the number of hole 312 and interval all can change.
Several holes 312 are gas inlet, interval 306 some distances.Hole 312 has different size, and gas distributes to provide roughly uniformly via pneumatic outlet 316 during instantaneous when gas is initially introduced gas ring 300.Generally speaking, the size of hole 312 can be with changing with the distance of gas inlet (when when first channel 308 is measured), so that hole 312 sizes increase with the increase of the distance (when along first channel 308 measurements) of 306 of hole 312 and gas inletes.
Fig. 4 is one o'clock the enlarged view of expression near first channel end 320.A kind of method of making hole 312 enters second passage 310 from outside surface 302 by first channel 308 for boring a through hole by gas ring 300 parts.The through hole that outside surface 302 and first channel are 308 can a connector 330 closures.
The 5th and Fig. 6 show to utilize known gas ring 500 and according to the experimental result of the gas ring 300 of the present invention's one exemplary embodiments deposit liner layer or air retaining wall on base material.In Fig. 5, known gas ring 500 comprises that one fluidly is coupled to the gas inlet 502 of exit passageway 504, and it is to be the hole of 180 ° of separations and fluidly to be coupled to interior passageway 506 by two settings.There are 24 first pneumatic outlets 510 fluidly to be coupled to interior passageway 506, and have 12 second pneumatic outlets 512 fluidly to be coupled to another gas source.About 0.188 inch of hole 508 diameters.Gas ring 300 has six holes 312, comprise two apart from the gas inlet 306 about 30 ° holes, two apart from the gas inlet 306 about 90 ° hole 312b and two apart from the gas inlet 306 about 150 ° holes.About 0.093 inch of immediate hole 312a diameter, about 0.125 inch of middle hole 312b diameter, and about 0.221 inch of hole 312c diameter farthest.
Set liner is a silicon oxide liners of utilizing the plasma enhanced chemical vapor deposition formation of HDP-CVD system 10.Process gas comprises the silane via first pneumatic outlet 316 or 510 introducings, and via second pneumatic outlet 318 or 512 oxygen of introducing.The energy that is applied comprises about 1500 watts last coil 29 and about 5000 watts lateral coil 30.Service temperature is about 450 ℃ and about 6 milli-torrs of working pressure.Base material 520 and 620 diameters are 300mm.Depositing time about 3 seconds.
Utilize known gas ring 500 to be formed on about 241.8 dusts of laying thickness on the base material 520, changing value is 8.10%.As shown in Figure 5, both sides are thicker near the liner of two holes 508.Utilize gas ring 300 of the present invention to be formed on liner about 216.5 dusts of thickness then on the base material 620, changing value obviously has 4.48% improvement 3.62%.Difference in thickness then reduces at least half.As shown in Figure 6, liner thickness is comparatively symmetrical with respect to base material 620 centers.The symmetry value of liner is 2.66 (dust/dusts) among Fig. 6, and Fig. 5 then is 4.2.The present invention repeatedly tests different bore hole sizes, and finds that the size that the homogeneity of laying can be by increasing hole 312 (hole 312 when first channel 308 is measured that makes with 306 of gas inletes apart from increase) improves.After test result more illustrated during instantaneous, the gas ring 300 that utilizes different hole 312 sizes of tool was than under the steady-state condition, and can making subsequently, deposition continues to form roughly thin layer uniformly.
Should will be understood that, the above stated specification purpose is explanation and unrestricted. many embodiment will more can be after the reference above stated specification and have the knack of this technology personage and understand. for example, the present invention can contain the treatment chamber of other types and other handle the technology of base materials. the hole 312 of different numbers, size and configuration all can change to be applicable to particular case. therefore, the scope of the invention should with reference to claim with and the complete scope of equipollent and deciding.

Claims (20)

1. gas distributing system that is used for providing gas stream to treatment chamber, it comprises at least:
One gas ring comprises an outside surface and an internal surface;
One gas inlet is located at the outer surface of this gas ring, and this gas inlet fluidly couples with a first channel, and this first channel is located between the outside surface and internal surface of this gas ring;
Several pneumatic outlets are distributed in the internal surface of this gas ring, and these several pneumatic outlets fluidly couple with a second passage, and this second passage is located between the outside surface and internal surface of this gas ring; And
Several holes, extend to this second passage in this gas ring, fluidly this first channel is couple to this second passage from this first channel, this first channel only fluidly is couple to this treatment chamber by this second passage, these several holes and this gas inlet some distances of being separated by, the size of described hole is along with the hole of measuring along this first channel changes to the distance of gas inlet, so that bore hole size is along with increasing along the increase of the hole of this first channel measurement and the distance between the gas inlet.
2. gas distributing system as claimed in claim 1, wherein these several holes are along the even on every side interval of this first channel.
3. gas distributing system as claimed in claim 1, wherein this second passage extends 360 ° around the internal surface of this gas ring.
4. gas distributing system as claimed in claim 3, wherein this first channel part is extended less than 360 ° around the internal surface of gas ring, and has two first channel ends spaced apart.
5. gas distributing system as claimed in claim 4, wherein this gas inlet be between two first channel ends in the middle of the range observation of first channel the place couple with this first channel, and wherein several holes comprise that number is greater than 2 even number hole.
6. gas distributing system as claimed in claim 5, wherein the setting of these several holes is to be symmetry with respect to the line by the center around gas inlet and this first channel.
7. gas distributing system as claimed in claim 6, wherein six holes distribute around this first channel.
8. one kind is used for one by flowing process gas to a treatment chamber and handle gas distributing system in the equipment of semiconductor base material in the mode that this treatment chamber carries out the process gas reaction, so that flow of process gas is flowed into treatment chamber, wherein this gas distributing system comprises at least:
One gas ring, comprise an outside and one in around;
One gas inlet is located at the outside place of this gas ring, and this gas inlet fluidly couples with a first channel, this first channel be located at this gas ring the outside and in around between; And
Several pneumatic outlets are distributed in around this gas ring interior, these several pneumatic outlets be fluidly and one be located at this gas ring outside and in around between second passage couple;
Wherein this first channel fluidly couples with the opening and the second passage that are positioned at different positions via several, this opening extends to this second passage from this first channel in this gas ring, this first channel only fluidly is couple to this treatment chamber by this second passage, these some distances in a plurality of extended distances gas inlet, described opening has different size, to provide uniform gas to distribute via described pneumatic outlet during instantaneous when gas is introduced the gas ring at first.
9. gas distributing system as claimed in claim 8, the size of wherein said opening is along with the opening of measuring along this first channel changes to the distance of gas inlet, so that the size of this opening is along with increasing along the increase of this opening of this first channel measurement and the distance between this gas inlet.
10. gas distributing system as claimed in claim 8, wherein these several openings are symmetry with respect to a line by the center around this gas inlet and this first channel.
11. gas distributing system as claimed in claim 8, wherein these several openings comprise number greater than 2 even number opening, and wherein do not have any opening to be positioned on the line by the center around gas inlet and the first channel.
12. gas distributing system as claimed in claim 8, wherein these several openings along first channel on every side and evenly at interval.
13. one kind flows into a treatment chamber to handle the method for a base material with a gas, this method comprises the following step at least:
One gas ring is provided, comprises an outside surface and an internal surface; One first channel is located between this outside surface and this internal surface; And a second passage, being located between this outside surface and this internal surface, this first channel fluidly couples with this second passage via several holes and this first channel only fluidly couples with this treatment chamber by this second passage; And
One gas is introduced this gas ring via a gas inlet that is located at this gas ring outer surface, the gas that this gas coming through inlet enters first channel enters second passage by several holes, and flows to treatment chamber by several and this negotiable pneumatic outlet that couples of second passage;
These several holes and this gas inlet some distances of being separated by wherein, wherein said hole have different size provides uniform gas to be dispensed to this treatment chamber with during instantaneous when gas is introduced the gas ring at first via described pneumatic outlet.
14. method as claimed in claim 13, the size of wherein said hole is along with the hole of measuring along this first channel changes to the distance of gas inlet, so that the size of hole is along with increasing along the increase of the hole of this first channel measurement and the distance between the gas inlet.
15. method as claimed in claim 13, wherein the setting of these several holes is to be symmetry with respect to the line by the center around this gas inlet and this first channel.
16. method as claimed in claim 13, wherein these several holes comprise number greater than 2 even number hole, and wherein do not have any hole to be positioned on the line by the center around this gas inlet and this first channel.
17. method as claimed in claim 13, wherein these several holes along this first channel on every side evenly at interval.
18. method as claimed in claim 13, wherein this gas comprises a silicon source gas.
19. method as claimed in claim 13, wherein this gas is at this treatment chamber internal reaction, to form a laying on base material.
20. method as claimed in claim 13, wherein this gas reacts in this treatment chamber by applying the mode of a plasma body in this treatment chamber.
CN2005800408151A 2004-11-29 2005-11-22 Gas distribution system for improving transient vapor phase deposition Expired - Fee Related CN101065513B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US63171404P 2004-11-29 2004-11-29
US60/631,714 2004-11-29
US11/123,453 2005-05-04
US11/123,453 US7722737B2 (en) 2004-11-29 2005-05-04 Gas distribution system for improved transient phase deposition
PCT/US2005/042790 WO2006058240A1 (en) 2004-11-29 2005-11-22 Gas distribution system for improved transient vapor phase deposition

Publications (2)

Publication Number Publication Date
CN101065513A CN101065513A (en) 2007-10-31
CN101065513B true CN101065513B (en) 2010-05-12

Family

ID=36097165

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800408151A Expired - Fee Related CN101065513B (en) 2004-11-29 2005-11-22 Gas distribution system for improving transient vapor phase deposition

Country Status (6)

Country Link
US (2) US7722737B2 (en)
JP (1) JP4881873B2 (en)
KR (1) KR100927495B1 (en)
CN (1) CN101065513B (en)
TW (1) TWI342899B (en)
WO (1) WO2006058240A1 (en)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7989366B2 (en) * 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
MY165356A (en) 2009-09-10 2018-03-21 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US8562742B2 (en) 2010-04-30 2013-10-22 Applied Materials, Inc. Apparatus for radial delivery of gas to a chamber and methods of use thereof
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101389007B1 (en) * 2012-11-27 2014-04-24 에이피시스템 주식회사 Dry etching apparatus and method of dry etching using the same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102176189B1 (en) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 Multi-zone gas injection assembly with azimuthal and radial distribution control
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
CN104752274B (en) * 2013-12-29 2017-12-19 北京北方华创微电子装备有限公司 Processing chamber and semiconductor processing equipment
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR102581681B1 (en) 2018-09-05 2023-09-22 삼성전자주식회사 Plasma deposition method and plasma deposition apparatus
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
WO2020117400A1 (en) * 2018-12-07 2020-06-11 Applied Materials, Inc. A component, method of manufacturing a component, and method of cleaning a component
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7203070B2 (en) * 2020-09-23 2023-01-12 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202230438A (en) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 Gas supply ring and substrate processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US20220277933A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company Limited Wafer treatment system and method of treating wafer
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
CN1536612A (en) * 2003-04-09 2004-10-13 三星电子株式会社 Gas supply device

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2846324B2 (en) * 1988-09-30 1999-01-13 廣光 奥村 An unbalance correcting method, an unbalance correcting device used in the method, a driving blade used in the method, and a method of drilling a shaft insertion hole.
JP2667364B2 (en) * 1993-08-16 1997-10-27 キヤノン販売株式会社 Film forming equipment
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JPH11195611A (en) * 1997-12-26 1999-07-21 Canon Inc Manufacture of reactor and semiconductor member
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3618246B2 (en) * 1999-03-15 2005-02-09 松下電器産業株式会社 Manufacturing method of semiconductor device
JP2000290777A (en) * 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6335288B1 (en) * 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6896737B1 (en) 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6524969B2 (en) * 2000-10-05 2003-02-25 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US6458722B1 (en) 2000-10-25 2002-10-01 Applied Materials, Inc. Controlled method of silicon-rich oxide deposition using HDP-CVD
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6894296B2 (en) * 2002-07-30 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd Multi-inlet PFS arc chamber for hi-current implanter
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
KR100862658B1 (en) * 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
KR20040046571A (en) * 2002-11-27 2004-06-05 주식회사 피앤아이 Apparatus For Surface Modification of Polymer, Metal and Ceramic Materials Using Ion Beam
US7141145B2 (en) 2003-10-02 2006-11-28 Seagate Technology Llc Gas injection for uniform composition reactively sputter-deposited thin films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
CN1536612A (en) * 2003-04-09 2004-10-13 三星电子株式会社 Gas supply device

Also Published As

Publication number Publication date
US7722737B2 (en) 2010-05-25
US20080041821A1 (en) 2008-02-21
CN101065513A (en) 2007-10-31
JP4881873B2 (en) 2012-02-22
TW200624591A (en) 2006-07-16
JP2008522416A (en) 2008-06-26
US20060113038A1 (en) 2006-06-01
WO2006058240A1 (en) 2006-06-01
KR100927495B1 (en) 2009-11-19
TWI342899B (en) 2011-06-01
KR20070086758A (en) 2007-08-27

Similar Documents

Publication Publication Date Title
CN101065513B (en) Gas distribution system for improving transient vapor phase deposition
JP4590071B2 (en) Method and apparatus for forming a dielectric layer on a substrate surface
US8450191B2 (en) Polysilicon films by HDP-CVD
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
CN101304630B (en) Internal balanced coil for inductively coupled high density plasma processing chamber
US6660662B2 (en) Method of reducing plasma charge damage for plasma processes
CN101278380B (en) Gapfill using deposition-etch sequence
US7481886B2 (en) Plasma process system and plasma process method
US6591850B2 (en) Method and apparatus for fluid flow control
US20140186544A1 (en) Metal processing using high density plasma
CN100483646C (en) High-throughput HDP-CVD processes for advanced gapfill applications
US20070181531A1 (en) Plasma processing apparatus and plasma processing method
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
US20040126952A1 (en) Gas delivery system for semiconductor processing
US6458722B1 (en) Controlled method of silicon-rich oxide deposition using HDP-CVD

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100512

Termination date: 20131122