CN101558186B - Processes and integrated systems for engineering a substrate surface for metal deposition - Google Patents

Processes and integrated systems for engineering a substrate surface for metal deposition Download PDF

Info

Publication number
CN101558186B
CN101558186B CN200780032409.XA CN200780032409A CN101558186B CN 101558186 B CN101558186 B CN 101558186B CN 200780032409 A CN200780032409 A CN 200780032409A CN 101558186 B CN101558186 B CN 101558186B
Authority
CN
China
Prior art keywords
substrate
copper
metal
vacuum
integrated system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200780032409.XA
Other languages
Chinese (zh)
Other versions
CN101558186A (en
Inventor
耶兹迪·多尔迪
弗里茨·C·雷德克
约翰·博伊德
威廉·蒂
蒂鲁吉拉伯利·阿鲁娜
阿瑟·M·霍瓦尔德
衡石·亚历山大·尹
约翰·韦尔托门
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/514,038 external-priority patent/US8241701B2/en
Priority claimed from US11/513,446 external-priority patent/US8747960B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority claimed from PCT/US2007/018270 external-priority patent/WO2008027216A2/en
Publication of CN101558186A publication Critical patent/CN101558186A/en
Application granted granted Critical
Publication of CN101558186B publication Critical patent/CN101558186B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

The embodiments provide processes and integrated systems that produce a metal-to-metal or a silicon-to-metal interface to enhance electro-migration performance, to provide lower metal resistivity, andto improve metal-to-metal or silicon-to-metal interfacial adhesion for copper interconnects. An exemplary method of preparing a substrate surface to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of in an integrated system to improve electromigration performance of a copper interconnect is provided. The method includes removing contaminants and metal oxides from t he substrate surface in the integrated system, and reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system. The method also includes selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface. Systemto practice the exemplary method described above are also provided.

Description

The technique and the integrated system that carry out metal deposition are anticipated to substrate surface
Background technology
On a semiconductor substrate, integrated circuit uses conducting wiring to connect independently device, or communicates with the integrated circuit of outside.The wiring metal that through hole and conductive trench use may comprise aluminium alloy and copper.Electromigration (EM) is a kind of integrity problem common in metal line process, and promote metallic atom by electronics and to move at the sense of current and cause, translational speed depends on the density of electric current.Electromigration may finally cause metal wire thinning, thus makes resistance uprise even metal wire fracture.Fortunately, with power line or ground wire difference, the electric current of all conductive metal wires on integrated circuit does not always move in the same direction.But along with metal wire more and more narrow (in ITRS (ITRS), each scientific and technological progress live width all will reduce general 70%), electromigratory problem is more and more serious.
In aluminum steel, electromigration is a kind of scale of construction phenomenon (bulk phenomenon), well can be controlled by the admixture agent (such as copper) of mixing trace.But the electromigration in copper cash is a kind of top layer phenomenon (surface phenomenon).As long as copper can move, this phenomenon will occur, particularly on the interface of copper with other metals, because there adherence is poor.In the dual damascene technique (dual-damascene process) of today, this situation the most often occurs in the top of copper cash, and there contacts with SiC diffused barrier layer usually, but also may occur on copper/barrier interface.Each time in the process of next technology node progress, along with the increase of current density, it is more serious that problem becomes.
The solution of problems of electromigration, the same with another common integrity problem related stress hole (related stress voids), become the problem that technique is integrated: optimize deposition (such as, reduce the thickness of barrier layer and kind crystal layer), with post-depositional wafer cleaner before deposition, surface grooves etc.All measures, target is to provide a kind of uniform interface and good adherence to reduce atomic migration and hole diffusion between the layers.In dual damascene technique, groove and hole (as contact and through hole) are carved in dielectric, then the barrier material be combined to form of tantalum (Ta), tantalum nitride (TaN) or two kinds of films is used to fill, then carry out the deposition of copper kind crystal layer, electro-coppering, make copper planarization with CMP, then deposit dielectrics storehouse, the low-k/SiC of such as SiC/.Because when copper is exposed in air, surface can form oxide, so before with SiC covering copper, needs the removal of the cleaning after carrying out CMP and Cu oxide, to ensure adherence good between copper and SiC.The removal carrying out the oxide of copper before deposition SiC is necessary for good electric migration performance and reduction metallic resistance.
Recently, before SiC dielectric layer, carry out covering copper with cobalt alloy cover layers such as CoWP, CoWB, CoWBP, with compared with SiC covering copper, show good electromigration.As shown in Figure 1, cobalt alloy cover layer 20,30 is deposited to layers of copper 23, on 33, and covers SiC layer 25,35 times at dielectric respectively.Tantalum or tantalum nitride layer are the layers 24,34 in figure.Cobalt alloy layer 20,30 enhance layers of copper 23, and 33 and SiC cover layer 25, the adherence between 35.Cobalt alloy layer 20,30 also show certain copper diffusion barrier characteristics.Utilize non-electrical deposition, cobalt alloy cover layer can optionally be deposited on copper.But the very thin Cu oxide layer being exposed to the copper generation in air may suppress non-electrical deposition.And copper also may cause the coating effect relevant to pattern with the pollutant of dielectric surface, comprises the thickness of the cobalt alloy relevant to pattern, the caliper portion loss of the copper cash relevant to pattern that " hatching " etching in the time starting cobalt plating causes.Therefore, Controlling Technology environment is with the growth of the oxide of restriction (or control) primary copper, and before plated metal cover layer such as cobalt alloy, the organic and metal pollutant removed on the oxide of copper and the organic pollution on copper surface and dielectric is extremely important.And in order to reduce the relevant sedimentary difference of pattern, must control dielectric surface with what make it on different mode density structure affects standardization.In order to ensure good interface adherence and good electromigration characteristic, change layers of copper 23, between 33, interface between copper and barrier layer 33,34,23 and 24, promote layer (or metal cladding) such as cobalt alloy cover layer 20 with adherence, it is very crucial that the interface between 30 becomes.And along with metal line becomes more and more narrow, the proportion of the metal line that physical vapour deposition (PVD) (PVD) barrier and the brilliant film of kind are formed is increasing, which increases effective impedance, thus adds current density.Utilize ald (ALD) layer (TaN, Ru or its mixture) the conformal stepping that provides covers and acceptable barrier characteristic, and the conformal kind crystal layer that the non-electrical depositing operation of copper provides, the thin and barrier of conformal and plant crystal layer and can alleviate this trend.But still do not produce the kind crystal layer of the copper of the non-electrical deposition being adhered to ALD TaN barrier film so far.
To sum up, be necessary to provide a kind of system and technique of producing metal and intermetallic interface, to promote electric migration performance, reduce Chip-R, and adherence between the interface promoting thin copper film.
Summary of the invention
Taking it by and large, the present invention meets the demand by providing a kind of better technique and system, technique of the present invention and system strengthen electric migration performance by producing better metal and intermetallic interface or silicon and intermetallic interface, thus provide lower metal impedance, and promote the metal of thin copper film and intermetallic or silicon and intermetallic interface adherence.Obviously, the present invention can be completed by several different execution mode, comprises scheme, method, technique, device or system etc.Several implementation of the present invention is described below.
In one embodiment, provide a kind of and anticipate with the method for the surface of the copper of the thin copper film at substrate optionally deposition of cobalt-alloys material thin-layer substrate surface in an integrated system, the method can promote the electric migration performance of thin copper film.The method comprises, and in an integrated system, removes pollutant and the metal oxide of substrate surface, then uses reducing environment repairing substrate surface.The method also comprises, and behind repairing substrate surface, deposits this cobalt-alloy material thin layer on the surface of the copper of the thin copper film of integrated system selectively.
In another embodiment, provide a kind of and transmit and the integrated system for the treatment of substrate in controllable environment, with at the surperficial optionally deposition of cobalt-alloys material thin-layer of the copper of thin copper film to promote the electric migration performance of thin copper film.This integrated system comprises lab-ambient transfer chamber, substrate can be conveyed into this integrated system from the substrate box be coupled with it; And the board cleaning reactor to be coupled with this lab-ambient transfer chamber, can carry out clean with the metal-organic composite pollutant removing substrate surface to substrate surface.
This system also comprises vacuum transfer, works under air pressure is less than the vacuum environment of 1 holder, and is coupled with at least one vacuum process module; And a vacuum process module, this vacuum process module is one at least one vacuum process module be coupled with this vacuum transfer, also works under air pressure is less than the vacuum environment of 1 holder.This system also comprises controllable environment transfer chamber, and inside is full of the inert gas selected from one group of inert gas; And at least one controllable environment processing module to be coupled with this controllable environment transfer chamber.And, this system also comprises the non-electrical depositing operation module of cobalt-alloy material, after substrate surface eliminates metal pollutant and organic pollution, at this cobalt-alloy material thin layer of copper surface deposition of thin copper film, the non-electrical depositing operation module of this cobalt-alloy material is one of at least one controllable environment processing module be coupled with this controllable environment processing module, and its inside is full of the inert gas selected from one group of inert gas, and it has fluid delivery system, treatment fluid is wherein through degassed process.
In another embodiment, thering is provided a kind of anticipates with plated metal barrier layer to fill the method for the thin copper film structure on substrate the copper-depositing on surface kind crystalline substance thin layer at this metal barrier layer, to promote the electric migration performance of thin copper film to substrate surface in an integrated system.The method comprises in an integrated system, and the exposed surface of clean underlying metal is to remove the metal oxide on surface.This underlying metal is a part for the bottom wiring being electrically connected at this thin copper film.The method also comprises, and in an integrated system, deposits this metal barrier layer to fill thin copper film structure.After depositing this metal barrier layer, transmit under controllable environment and process this substrate to prevent the formation of this metallic barrier oxide.The method also comprises, and in an integrated system, deposits the brilliant thin layer of this copper kind and deposited copper packed layer thereon.
In another embodiment, providing a kind of anticipates with the method at the brilliant thin layer of the metal barrier layer copper-depositing on surface kind of thin copper film structure, to promote the electric migration performance of this thin copper film structure to the metallic barrier surface of substrate in an integrated system.The method comprises, in an integrated system, reduce this metal barrier layer surface with by the oxygenate conversion on surface to make the surface metal enrichment of this metal barrier layer.The method also comprises, and in an integrated system, deposits the brilliant thin layer of this copper kind and deposited copper packed layer thereon.
In another embodiment, provide one treatment substrate in controlled environment, with the integrated system at the brilliant thin layer of the metal barrier layer copper-depositing on surface kind of thin copper film.This integrated system comprises lab-ambient transfer chamber, substrate can be conveyed into this integrated system from the substrate box be coupled with it.This system also comprises vacuum transfer, works under air pressure is less than the vacuum environment of 1 holder, and is coupled with at least one vacuum process module.This integrated system also comprises vacuum process module, with the metal oxide of the exposed surface of cleaning systems underlying metal.This underlying metal is the part that the bottom be electrically connected with thin copper film connects up.This vacuum process module being used for cleaning is one of them of at least one vacuum process module be coupled with this vacuum transfer, works under air pressure is less than the vacuum environment of 1 holder.
And this integrated system comprises vacuum process module with plated metal barrier layer.This vacuum process module being used for plated metal barrier layer is one of them of at least one vacuum process module be coupled with this vacuum transfer module, works under air pressure is less than the vacuum environment of 1 holder.And this integrated system also comprises controllable environment transfer chamber, inside is full of the inert gas selected from one group of inert gas.This controllable environment transfer chamber is coupled with at least one controllable environment processing module.This integrated system also comprises the non-electrical deposition process module of copper with at the brilliant thin layer of this copper kind of this metal barrier layer surface deposition.The non-electrical deposition process module of this copper is one at least one controllable environment processing module be coupled with this controllable environment transfer chamber.
In another embodiment, provide one treatment substrate in controlled environment, with the integrated system at the brilliant thin layer of the metal barrier layer copper-depositing on surface kind of thin copper film.This integrated system comprises lab-ambient transfer chamber, substrate can be conveyed into this integrated system from the substrate box be coupled with it.This integrated system also comprises vacuum transfer, works under air pressure is less than the vacuum environment of 1 holder.This vacuum transfer is coupled with at least one vacuum process module.
This integrated system also comprises vacuum process module, to reduce this metal barrier layer.This vacuum process module is one at least one vacuum process module be coupled with this vacuum transfer module, works under air pressure is less than the vacuum environment of 1 holder.And this integrated system also comprises controllable environment transfer chamber, its inside is full of the inert gas selected from one group of inert gas.This controllable environment transfer chamber is coupled with at least one controllable environment processing module.And this integrated system also comprises the non-electrical depositing operation module of copper with the brilliant thin layer of this metal kind of surface deposition at this metal barrier layer.The non-electrical depositing operation module of this copper is one at least one controllable environment processing module be coupled with this controllable environment transfer chamber.
In another embodiment, provide a kind of in an integrated system to substrate surface anticipate with the silicon of substrate or polysilicon surface optionally depositing metal layers to form the method for metal silicide.The method comprises, and in an integrated system, removes the organic pollution of substrate surface, then reduces this silicon or polysilicon surface, with the oxygenate conversion of the silicon by this silicon or polysilicon surface for silicon.Then, transmit under controllable environment and process this substrate to stop the formation of the oxide of silicon, reducing the surface of this silicon or polysilicon to promote the alternative of silicon face metal.The method also comprises in an integrated system, reduces after this silicon or polysilicon surface, at silicon or the polysilicon surface optionally depositing metal layers of substrate.
In another embodiment, provide a kind of under controllable environment treatment substrate, with optionally at the silicon face depositing metal layers of substrate to form the integrated system of metal silicide.This integrated system comprises lab-ambient transfer chamber, substrate can be conveyed into this integrated system from the substrate box be coupled with it.This system also comprises vacuum transfer, works under air pressure is less than the vacuum environment of 1 holder, and is coupled with at least one vacuum process module.This integrated system also comprises the vacuum process module of organic pollution removing substrate surface, and this module is one at least one vacuum process module be coupled with this vacuum transfer, and it works under air pressure is less than the vacuum environment of 1 holder.
And this integrated system comprises vacuum process module, to reduce this silicon face.This vacuum process module is one at least one vacuum process module be coupled with this vacuum transfer, and it works under air pressure is less than the vacuum environment of 1 holder.And this integrated system also comprises controllable environment transfer chamber, its inside is full of the inert gas selected from one group of inert gas, and is coupled with at least one controllable environment processing module.This integrated system also comprises the non-electrical depositing operation module of metal, after reduction silicon face, optionally deposits this thin metal layer at silicon face.The non-electrical depositing operation module of this metal is one at least one controllable environment processing module be coupled with this controllable environment transfer chamber.
From embodiment below, in conjunction with the accompanying drawing that principle of the present invention is described, can make other aspects of the present invention and advantage and understanding clearly.
Accompanying drawing explanation
Below in conjunction with embodiment and accompanying drawing, be described in more detail particular content of the present invention and advantage, each element is as shown in number designation.
Fig. 1 shows a kind of cross section of exemplary wiring.
Fig. 2 A-Fig. 2 D shows the cross section of the wire structures in each step of interconnection wiring technique.
Fig. 3 shows the pollutant of chemical mechanical polishing of metals (CMP) metacoxal plate several form on the surface.
Fig. 4 A shows and carries out preliminary treatment to carry out the example flow of the non-electrical deposition of cobalt alloy to copper surface.
Fig. 4 B shows the example system that the technological process shown in a kind of Fig. 4 of utilization A processes substrate.
Fig. 5 A-Fig. 5 C shows the cross section of the wire structures in each step of interconnection wiring technique.
Fig. 6 A shows and carries out preliminary treatment to carry out the example flow of the non-electrical deposition of cobalt alloy to copper surface.
Fig. 6 B shows the example system that the technological process shown in a kind of Fig. 6 of utilization A processes substrate.
Fig. 7 A-Fig. 7 C shows the cross section of the wire structures in each step of interconnection wiring technique.
Fig. 8 A shows and carries out preliminary treatment to carry out the example flow of the non-electrical deposition of cobalt alloy to copper surface.
Fig. 8 B shows the example system that the technological process shown in a kind of Fig. 8 of utilization A processes substrate.
Fig. 9 A-Fig. 9 E shows the cross section of the metal wire in each step of interconnection wiring technique.
Figure 10 A shows a kind of example flow of anticipating to carry out the non-electrical deposition of layers of copper to barrier layer surface.
Figure 10 B shows the example system that the technological process shown in a kind of Figure 10 of utilization A processes substrate.
Figure 10 C shows a kind of example flow of anticipating to carry out the non-electrical deposition of layers of copper to barrier layer surface.
Figure 10 D shows the example system that the technological process shown in a kind of Figure 10 of utilization C processes substrate.
Figure 11 A shows one and anticipates to carry out the non-electrical deposition of layers of copper to barrier layer surface, and anticipates the example flow of carrying out the non-electrical deposition of cobalt alloy to copper surface.
Figure 11 B shows the example system that the technological process shown in a kind of Figure 11 of utilization A processes substrate.
Figure 12 A-Figure 12 D shows the cross section of the wire structures in each step of interconnection wiring technique
Figure 13 A shows one and anticipates to carry out the non-electrical deposition of layers of copper to barrier surface, and anticipates the example flow of carrying out the non-electrical deposition of cobalt alloy to layers of copper.
Figure 13 B shows the example system that the technological process shown in a kind of Figure 13 of utilization A processes substrate.
Figure 14 A-Figure 14 D shows the cross section of the door forming each stage of metal silicide.
Figure 15 A shows the exemplary plot that a kind of silicon face to exposing anticipates to be formed metal silicide.
Figure 15 B shows the example system that the technological process shown in a kind of Figure 15 of utilization A processes substrate.
Figure 16 shows the flow chart of the system integration in the integrated system under controllable environment.
Embodiment
There is provided the specific embodiment of the metal integration techniques of several improvement below, this technology removes the metal oxide on surface with modified metal interface by utilizing reduction reaction, thus improves electromigration metal impedance and interface adherence.It should be pointed out that the present invention can be completed by several mode, comprise technique, method, device, or system.Several specific embodiment of the present invention is described below.Obviously, for a person skilled in the art, even if do not provide some of them or all ins and outs, the present invention still can realize.
The cross sectional representation of wire structures after Fig. 2 A shows and uses dual damascene process sequence Butut (pattern).This wire structures is positioned on substrate 50, has a dielectric layer 100, and this dielectric layer 100 is made to be formed a metal wire 101 in advance wherein.Then this metal wire is generally inserted conducting metal (such as copper) make by being carved a groove in dielectric 100 in groove.
In the trench, there is a barrier layer 120, diffuse into this dielectric 100 in order to stop copper product 122.This barrier layer 120 can be by physical vapour deposition (PVD) (PVD) TaN, physical vapour deposition (PVD) Ta, and the combination of ald (ALD) Ta or this several film is made.Also other barrier layer materials can be used.Deposit barrier layers 102 on copper product 122 in this planarization, with prevent the dielectric substance 104,106 being etched through upper strata to the through hole 114 of this barrier layer 102 time, copper product 122 premature oxidation.This barrier layer 102 also can be used as selective etch stop layer and copper diffusion barrier layer.Typical barrier layer 102 material comprises silicon nitride (SiN) or carborundum (SiC).
This barrier layer 102 deposits via dielectric layer 104.This via dielectric layer 104 can be that preferably, this dielectric substance has lower dielectric constant by organic silicate glass (OSG, the oxide of the silicon of carbon doping) or other forms of dielectric substance.The oxide of typical silicon comprises, the oxide of the TEOS silicon of the non-admixture of plasma reinforced chemical vapour deposition (PECVD), the fluorinated silica glass (FSG) of plasma reinforced chemical vapour deposition, HDP FSG, OSG, porous OSG or similar substance.The Black Diamond (I) that the Applied Materials that commercially available dielectric substance also can be used to comprise Santa Clara produces and Black Diamond (II), and the Coral that ASM Nutool Inc. of San Jose (Novellus Systems) produces, the Aurora of Co., Ltd of the ASM U.S. production of Phoenix, AZ.This via dielectric layer 104 is trench dielectric layer 106.This trench dielectric layer 106 can be low-k dielectric materials, the oxide (oxide of carbon) of such as carbon doping.The dielectric constant of this low-k dielectric materials can be about 3.0 or lower.In one embodiment, this through hole and trench dielectric layer material same material make, and in deposition of same time to form a continuous film.After having deposited this trench dielectric layer 106, this substrate 60 having this structure conventionally carries out Butut and etching technics to form through hole 114 and groove 116.
After Fig. 2 B shows and forms through hole 114 and groove 116, deposit this barrier layer 130 and a layers of copper 132 with liner with fill this through hole 114 and groove 116.This barrier layer 130 can be formed by the mixture of TaN, Ta, Ru or this several film.Although these are all the materials usually adopted, other barrier layer materials also can be adopted.Then depositing copper film 132 is to fill this through hole 114 and groove 116.
As shown in Figure 2 C, after this copper film 132 fills this through hole 114 and groove 116, chemico-mechanical polishing (CMP) technology is utilized to make this substrate planarization to remove this copper product (or unnecessary copper) and this barrier layer (or unnecessary barrier) on this dielectric 106 surface.As shown in Figure 2 D, next step is that such as cobalt alloy, covers this copper surface 140 with copper/SiC interface adhesion promoter layer 135.Typical cobalt alloy comprises: CoWP, CoWB or CoWBP, all optionally can be deposited on copper by non-electrical deposition process.The thickness of this adhesion promoter layer can be as thin as monolayer, namely only has a few dust, such as, only have 5 dusts, also can have the thickness of 200 to 300 dusts, at this moment can also be used as Cu diffusion barrier, now no longer needs dielectric to cover.
The chemico-mechanical polishing (CMP) of copper often uses benzotriazole (BTA) as the corrosion inhibitor of copper.Copper and BTA synthesize Cu-BTA compound.Substrate, by after the cleaning procedure after copper CMP and CMP, Cu line and adjacent dielectric may remain, as shown in the open circles in Fig. 3 by the copper containing Cu-BTA composite form.Need the Cu-BTA compound removed on dielectric in case leak-stopping is electric or the increase of short circuit metal.And Ta or other barrier materials residual, as shown in the hollow triangle in Fig. 3, may exist with trace, also may have various organic pollution, as shown in the filled circles in Fig. 3.Except these pollutants, the oxide of other those metals several also may be had to exist, mainly CuO and CuO 2, as shown in the triangles in Fig. 3.Cu-BTA compound, metal oxide, and organic pollution is three kinds of main surface contaminants, must remove from substrate surface.Anticipate dielectric surface and metal surface, make it not have organic substance and metal combined contamination thing to be more difficult, need the multiple surface treatment step comprising wet processing step and dry processing step.
Here is several typical technological process and system, carries out preliminary treatment can deposit on it with the good adherence between double layer of metal to make metal level above the surface of underlying metal.Show good electric migration performance by the metal level that several typical process flow and system deposit below, and therefore have lower metallic resistance rate.
1. process copper surface to carry out cobalt alloy deposition
example I: metal CMP stops on the dielectric layer
Fig. 4 A shows the specific embodiment of the technological process of non-electrical deposition of cobalt-alloys on the copper surface 140 after the CMP of dual damascene through hole-groove structure that effects on surface carries out anticipating to show in fig. 2 c.This substrate used in the flow process 400 shown in Fig. 4 A has just completed metal CMP technique to remove unnecessary copper and barrier layer, such as Ta and/or TaN.As described in paragraph relevant to Fig. 3 above, this substrate surface has several metal and organic pollutant.
This technique starts from step 401, removes the metal-organic composite pollutant (or metal-organic composite pollutant) of substrate surface, such as Cu-BTA compound, and metal oxide.Although also will remove metal pollutant from copper and dielectric surface, the object of this step may be deposited as the potential source metal of epipole, to strengthen selectivity and to promote Co film form as cobalt alloy below afterwards by removing.In this step, by copper-BTA compound, the oxide (CuOx) of copper and other metal oxide, the oxide (TaOy) of such as tantalum is removed from substrate surface.The amount of the oxide of the copper removed depends on the degree of depth of the metal oxide on level of pollution and surface.Metal composite and metal oxide can use O2/Ar sputtering technology, or the chemically wet-etching technology of the chemically wet processing process sequence of one-step or two-step is removed.In a good embodiment, wet processing is used to remove the metal of compound and the oxide of metal.This is wet processing process use organic acid (DeerClean of the Kanto Chemical Co., Ltd. production of such as Japan), half soluble solvent (ESC 5800 of the DuPont production of such as Wilmington, DE), organic base (such as TMAH) chemically, serotonin (such as ethylenediamine, diethylenetriamine) or special chemicals (such as health is ELD cleaning agent and the Cap Clean 61 of the Enthone Co., Ltd production of Dick state Xi Heiwen).The Cu-BTA removing dielectric surface ensure that the copper in Cu-BTA compound can not be oxidized to the oxide of copper and be reduced to copper in other surface treatment step, reduce selectivity and the nucleating point of cobalt alloy is provided at dielectric surface, cause short circuit and electrical leakage quantity is increased.Therefore, Cu-BTA removes the loss of yield that technique also may cause with cause short circuit or electric leakage.
Cu-BTA compound and other burning pollutant are the main metal pollutants of this step two kinds of will remove, and this step can be carried out in controlled or uncontrollable environment.Such as, Cu-BTA can be removed by wet cleaning process, this wet cleaning process utilizes clean solution to complete, this clean solution comprises by TMAH, serotonin is ethylenediamine such as, diethylenetriamine or special cleaning chemicals (such as health is ELD cleaning agent and the Cap Clean 61 of the Enthone Co., Ltd production of Dick state Xi Heiwen).Weak organic acid (as citric acid) or other organic acid or inorganic acid can be utilized to remove metal oxide, the particularly oxide of copper.And, also can working concentration very low (being such as less than 0.1%) containing the acid of peroxide, such as sulfuric-peroxide mixtures.This wet cleaning process can also remove other metal or metal oxide remains.
On different Butut or attribute type (such as little intensive, little isolation or wide copper cash) copper cash, the existence of BTA is the result of wiring passivation, and the amount of BTA is relevant with the degree part with the relevant electric shock effect of these characteristics.This may cause the formation of the passivation layer relevant to pattern.This correlation may affect cobalt alloy deposition characteristics further, causes the deposition characteristics relevant to pattern, and this is sometimes referred to as hatching effect or initial effect.The BTA removed in Cu wiring contributes to eliminating this relevant to the pattern sedimentary effect of cobalt alloy (depositing in subsequent step) and makes cobalt alloy intensive with uniform deposition in isolation characteristic.
As shown in step 403, such as can remove this organic pollution containing oxygen plasma technique by oxidation plasma.Preferably, this oxygen plasma technique occurs in the relatively low temperature lower than 120 DEG C.High temperature oxygen plasma process trends towards copper to be oxidized to a thicker layer, is more difficult to reduction later.Therefore, preferably cryogenic oxygen plasma process is used.In one embodiment, this oxygen plasma technique can be following current plasma process.Or, O2/Ar sputtering technology also can be used physically to remove organic residue (or pollutant).Oxygen plasma technique and O2/Ar sputtering technology are generally all operated in below 1 holder.
Once this substrate surface does not exist such as Cu-BTA, the pollutants such as metal oxide and other organic pollutions, then this substrate should be exposed in oxygen, to avoid copper surface oxidized as far as possible less.The oxidation of copper is not the process of a self limit.The time length that the amount of oxygen and copper surface are exposed to oxygen all should be limited (or control) to minimum with the formation of the oxide reducing copper as far as possible.Although the oxide of copper can be reduced in subsequent step, if the oxide skin(coating) of copper is thicker, just possibly cannot reduce completely.Therefore, except needs during except removing organic pollution, minimizing copper is exposed in oxygen is as far as possible very important.In order to reach control and limit exposure in the object of oxygen, this substrate should carry out transmitting and processing, such as, in vacuum environment or in the environment being full of inert gas in a kind of controllable environment.
In step 405, the surface in order to ensure copper does not have the oxide of copper, repairs with the oxygenate conversion of the copper that will remain as copper in reducing environment to this substrate surface.Before aforesaid cleaning, step should eliminate any metal on dielectric layer, and therefore reduction reaction only occurs over just on thin copper film.The reduction reaction on this copper surface can have been come by hydrogeneous plasma process, with by the oxygenate conversion of copper for copper (copper of substantially pure).The typical reacting gas that can be used for producing hydrogeneous plasma comprises hydrogen, ammonia, and carbon monoxide.Such as, this substrate surface is by by hydrogen, and the hydrogeneous plasma deoxidization that the mist of ammonia or two kinds of gases generates, the temperature of this substrate is increased between 20 DEG C and 300 DEG C.In one embodiment, this hydrogeneous plasma process is downstream plasma technique.After this substrate completes this During Hydrogen Reducing, just may be used for cobalt alloy and deposited.Should carefully protect the surface of copper to avoid the formation of the oxide of copper.As mentioned above, the existence of the oxide of copper may suppress the non-electrical deposition of cobalt alloy.Therefore, the environment controlling transmission and treatment substrate is very important to reduce the exposure of copper to oxygen as far as possible.
In processing step 407 below, this cobalt alloy, such as CoWP, CoWB or CoWBP, be electrically deposited on this copper on the surface by non-.The non-electrical deposition process of this cobalt alloy is a kind of wet processing, and is only deposited on catalyst surface, such as copper surface.This cobalt alloy is only optionally deposited on copper surface.
After completing the non-electrical deposition of cobalt alloy, flow process can enter an optional processing step 409: post-depositional clean.Post-depositional cleaning can be cleaned by utilizing chemical solution brush, and this chemical solution comprises the CP72B solution produced by the air products of Pennsylvania's Allentown and Chemical Company.Also other substrate surface cleaning procedure can be used, such as the C3 of bright nurse tMor P3 tMcleaning procedure.Other rear Clean-chemicals comprises azanol base chemicals, may remain in any Metal Substrate pollutant of dielectric surface after removing chemical deposit.
As mentioned above, to process and wafer transmit environment control for substrate is carried out preliminary treatment with carry out cobalt alloy deposition be very important, particularly complete hydrogen plasma to the reduction on copper surface after.Fig. 4 B shows the schematic diagram of a typical integrated system 450, and this integrated system reduces substrate surface to the exposure of oxygen in committed step after effects on surface processes as far as possible.And because this is an integrated system, this substrate is transferred to rapidly another process place by from a process place, which has limited the time that the complete copper surface of preliminary treatment is exposed to oxygen.This integrated system 450 may be used for treatment substrate in the whole process flow sequences shown in Fig. 4 A.
As mentioned above, surface treatment, non-electrical deposition and the post-depositional process of optional cobalt alloy of cobalt alloy comprise a series of dry method and wet processing.Under this wet processing is generally operational in atmospheric environment, but the oxygen plasma technique of dry method, hydrogen plasma process and O2/Ar sputtering technology are all operated in lower than under 1 holder air pressure.Therefore, this integrated system had both needed to process dry process, needed again to process wet processing.This integrated system 450 has three substrate delivery modules (or substrate transfer chamber) 460,470, and 480.This transfer chamber 460,470, and 480 are all equiped with the mechanical arm that substrate 455 can be transferred to another block processing region from one piece of processing region.This processing region can be substrate box, reactor or load lock chamber (loadlock).Under substrate delivery module 460 works in laboratory environment, namely work in room temperature, atmospheric pressure and be exposed to laboratory (or factory) environment of air, air generally filters through HEPA-or ULPA-to control dust defect.Module 460 engages with substrate loader (or substrate box) 461, this substrate 455 is sent into this integrated system or substrate is sent back to substrate box 461 to proceed other process outside system 450.
As mentioned above, in technological process 400, substrate carried out to planarization to remove the excessive metal of substrate surface and after only retaining this metal (as shown in Figure 2 C) in the trench utilizing the chemico-mechanical polishing of metal (CMP), this substrate 455 is admitted to integrated system 450 with deposition of cobalt-alloys, such as CoWB, CoWP or CoWBP.As described in the step 401 in technological process 400, need the surface contaminant removing this substrate surface, such as Cu-BTA compound and other metal oxide remain.Cu-BTA and metal oxide can be removed by wet clean process, this technique uses clean solution, such as, comprise the solution of TMAH or amine compound (complexing amines) (such as but not limited to ethylenediamine, diethylenetriamine).After removing BTA-metal composite, the metal oxide remaining in copper and dielectric surface can be removed by wet clean process, and the clean solution that this technique uses such as comprises citric acid or other can more or less relative to the organic acid that the oxide selectivity of copper is removed by copper.The oxide of metal oxide, particularly copper, can utilize the weak acid such as citric acid to remove, also can use other organic acid or inorganic acid.And, also can working concentration very low (such as, being less than 0.1%) containing the acid of peroxide, such as sulfuric-peroxide mixtures.This wet cleaning process can also remove other metal or metal oxide remains.
This wet cleaning reactor 463 can be integrated with laboratory environment delivery module 460, and this module work is under laboratory environment.This wet cleaning reactor 463 may be used for carrying out the cleaning procedure of the one-step or two-step described in step 401 in Fig. 4 A above.Or, by integrated to another one wet cleaning reactor 463 ' and this laboratory delivery module 460, to carry out the first step of this two steps cleaning procedure in reactor 463, second step can be carried out in reactor 463 '.Such as, the clean solution comprising the chemicals such as TMAH in reactor 463 with clean Cu-BTA, the clean solution comprising the weak acid such as citric acid in reactor 463 ' with clean metal oxide.
This laboratory environment is under atmospheric pressure and is exposed in air.In technological process 400, although this wet cleaning reactor 463 can be integrated with this laboratory environment delivery module 460, this processing step also can be admitted to before integrated system carries out cobalt alloy deposition at substrate, completes after having carried out metal CMP at once.Or this wet cleaning process also can complete in controlled laboratory process environment, in the process that wet cleaning step carries out He after completing, maintain this controlled laboratory environment.
The organic residue (or pollutant) that aforementioned wet clean process can not be removed can be removed by dry oxidation plasma process, such as oxygen containing plasma process, O2/Ar sputtering technology or carry out Ar sputtering technology after having removed Cu-BTA and metal oxide.As mentioned above, under most plasma or sputtering technology all work in and are less than 1 holder air pressure; Therefore, need these systems (or device, room or module) to be coupled to the delivery module that works in vacuum environment (such as air pressure is less than 1 holder).If work in vacuum environment with this delivery module that this plasma process is integrated, more free efficiency (faster) is understood in the transmission of substrate and this processing module is maintained at vacuum environment, because do not need the unnecessary time to vacuumize process to this delivery module.And, because delivery module is under vacuum conditions, so under the substrate after cleaning with plasma process is only exposed on low-down oxygen level.If select this oxygen plasma technique to remove organic substance residues, this oxygen plasma treatment reactor 471 is coupled in a vacuum transfer module 470.
Because under under laboratory environment delivery module 460 works in atmospheric pressure, vacuum transfer module 470 works in vacuum (being less than 1 holder), these two intermodules will place a load lock chamber (loadlock) 465 can work in transmission between under different air pressure two modules 460 and 470 to make substrate 455.Load lock chamber 465 is configured to be operated under air pressure is less than the vacuum environment of 1 holder, or under laboratory environment, or inside is full of the inert gas selected from one group of inert gas.
Such as, after substrate 455 completes the oxygen plasma technical process using oxygen to carry out, be admitted to containing hydrogen reduction plasma reduction room (or mould certainly) 473.Hydrogeneous plasma reduction is generally carried out at low pressure (being less than 1 holder) environment; Therefore, this reduction room is connected with this vacuum transfer module 470.After this substrate 455 has carried out hydrogeneous plasma reduction, copper surface has just become clean, the oxide no longer containing copper.In a preferred embodiment, substrate is not removed wafer after completing oxygen plasma technique indoor, and carries out hydrogen or hydrogen/ammonia plasma reduction step in situ.In either case, namely substrate can carry out cobalt alloy and deposited after completing reducing process.
As mentioned above, after utilizing the reparation of hydrogeneous plasma reduction technique completing substrate, the environment of control treatment and transmission is very important to reduce that copper surface is exposed in oxygen.This substrate 455 should process under controllable environment, and this controllable environment can be vacuum environment or the environment being full of one or more inert gases, is exposed in oxygen to limit this substrate 455.Dotted line 490 in Fig. 4 B depicts the boundary profile of a part for integrated system, shows the controlled treatment system of environment and delivery module.The exposure of substrate to oxygen can be reduced in controllable environment 490 times transmission and treatment substrate.
The non-electrical deposition of cobalt alloy is a kind of wet processing, comprise and utilize reducing agent to reduce the cobalt in solution, this reducing agent can be phosphorus substrate (such as hypophosphites) or boryl thing (such as dimethylamine borane), or the mixture of phosphorus substrate and boryl thing.This solution can utilize phosphorus base reductant to deposit CoWP, also can utilize boryl thing as reducing agent to deposit CoWB, also can utilize the mixture of phosphorus substrate and boryl thing as reducing agent to deposit CoWBP.In one embodiment, the non-electrical deposit solution of this cobalt alloy is basic species.Or the non-electrical deposit solution of this cobalt alloy also can be acid.Because this wet processing process generally under atmospheric pressure completes, the delivery module 480 be coupled with this non-electrical deposition reactor should be operated in approximate atmospheric and depress.There is no oxygen in order to ensure this environment, generally fill this controllable environment delivery module 480 with inert gas.And all fluids used in this technique are all through degassed process, the oxygen removing such as utilizing commercial available degas system will dissolve in fluid.Typical inert gas comprises nitrogen, helium, neon, argon gas, Krypton and xenon.
In one embodiment, this wet method cobalt alloy non-electro-deposition reactor (or device, system or module) is coupled with rinsing and drying system (or device, module), is all in dry state (dry enter/do) to make this substrate when transmitting and entering and send this non-electrical depositing system 481.This dry requirement of entering/doing makes this non-electrical depositing system 481 can integrate with this controllable environment delivery module 480, avoids the demand using wet robot to be sent to the rinsing-irradiation modules of separation.Also need the environment controlling this wet method depositing system 481 to provide lower (limited) oxygen and moisture (water vapour) level.Also this system can be filled to ensure oxygen level lower in processing environment with inert gas.
Or the mode that the non-electrical deposition of cobalt alloy also can dryly be entered/do is carried out, be similar to the non-electrical copper deposition process disclosed recently.The dry non-electrical copper deposition process entered/do is used to the non-electrical deposition of carrying out copper.This technique uses closes on process head (proximity process head) and the contact of non-electrical process liquid and substrate surface is limited in a limited scope.The substrate surface no longer closed on below process head is dry.This technique and system can be 10/607 at application number, 611, name is called " ApparatusAnd Method For Depositing And Planarizing Thin Films OnSemiconductor Wafers ", the applying date is on June 23rd, 2003, and application number is 10/879,263, name is called " Method and Apparatus For PlatingSemiconductor Wafers ", the applying date is find in the U.S. Patent application on June 28th, 2004, and what these two parts of applications can be complete is merged into here.The similar process head that closes on can be utilized to carry out electroless-plating to cobalt alloy can carry out dryly entering/do process.
In system 481 after cobalt alloy deposition, this substrate 455 is transmitted through an optionally rear cleaning reactor of deposition.This by using mechanical assistance instrument, such as, can use such as CP72B or azanol base cleaning chemical to carry out hairbrush and cleans, or uses additive method, and such as submergence is clean, spin rinse cleans, or C3 tMclose on technology.Rinsing and drying system must be integrated together substrate 455 dryly in this wet-cleaned system 483 can be entered/do with this hairbrush wiping system.Inert gas can be full of with the amount of oxygen in restriction (or reduction) system in system 483.As shown in Figure 4 A, this system 483 represents to illustrate that this system is optional with dotted line, because cleaning systems are optional after this deposition.Because cleaning step is last step of carrying out in integrated system 450 after this deposition, this substrate 455 will be sent back to substrate box 461 after being disposed.Therefore, these cleaning systems 483 also can be coupled with this laboratory environment delivery module 460, as shown in Figure 4 B.If these cleaning systems 483 are coupled with this laboratory environment delivery module 460, then these cleaning systems 483 do not need work in a controlled environment, do not need to be full of this system with inert gas yet.
As mentioned above, Cu-BTA and metal oxide are removed processing step and also can be admitted to before integrated system carries out cobalt alloy deposition at substrate, carry out after metal CMP at once. example II: metal CMP stops on barrier layer
Fig. 5 A-5C shows the cross section of each stage wire structures in technique.In Fig. 5 A, on substrate, this layers of copper has utilized the planarization of CMP technology.This barrier layer 130 is not also removed, and also retains on the surface of the substrate.Fig. 6 A shows and anticipates this surface, to carry out the specific embodiment of the technological process of the non-electrical deposition of cobalt alloy on the copper of the metal valley of dual damascene.The substrate that technological process 600 in Fig. 6 A is used just has completed the CMP of copper, to remove copper.Barrier layer still retains on the surface of the substrate, as shown in Figure 5A.The difference of example II and example I is, in example II, dielectric 106 surface is not exposed to Cu-BTA compound or other copper alloy remains.The quality of the dielectric layer in example II is than higher (or the having less metal pollutant) in example I.Therefore, those targets are that the processing step of the oxide (these oxide oxygen gas plasmas are formed after removing organic pollution) of the copper removing dielectric layer surface just can eliminate.
Technique starts from step 601, removes metal pollutant, such as Cu-BTA or metal oxide from substrate surface.As mentioned above, Cu-BTA compound and metal oxide are the crucial metal pollutants of two kinds of will remove.The technique removing metal pollutant (such as Cu-BTA and metal oxide) from substrate surface is described above.Such as, Cu-BTA and metal oxide, comprise the oxide of copper, can be removed by wet clean process; This wet clean process uses clean solution, comprises such as, TMAH or the serotonin such as ethylenediamine, diethylenetriamine.Remove Cu-BTA to eliminate cobalt alloy deposition (can in step the below deposit) effect relevant with pattern, and make to be consistent in cobalt alloy deposition that is intensive and isolation characteristic place.
The oxide of metal oxide, particularly copper, can remove with weak acid such as citric acids, also can use other organic acid or inorganic acid.And, also can working concentration very low (being less than 0.1%) containing the acid of peroxide, such as sulfuric-peroxide mixtures.This wet cleaning process also can remove other metal and metal oxide remains.
Organic pollution, comprises the residual BTA of Cu and barrier layer surface, can remove in step 602.Organic pollution can be removed with dry method oxygen plasma technique or other oxidation plasma process (such as using water, the plasma process of ozone or hydrogen peroxide steam).As mentioned above, oxygen containing plasma process preferably carries out at a relatively low temperature, lower than 50 DEG C and preferably lower than 120 DEG C.This oxygen containing plasma process can be following current plasma process.Or organic residue (or pollutant) also can be removed by O2/Ar sputtering technology, physical method is namely used to remove organic pollution.As mentioned above, oxygen plasma technique and O2/Ar sputtering technology are generally all carried out under the air pressure lower than 1 holder.
Remove the Cu-BTA of substrate surface, after the pollutant such as metal oxide and organic pollution, substrate should few contact with oxygen avoid copper surface to be further oxided as far as possible.After removing surface contaminant, in step 603, the barrier layer of substrate surface is removed, the compound of such as Ta, TaN, Ru or these materials, as shown in Figure 5 B.Barrier layer can use such as CF 4plasma process, O2/Ar sputtering technology, CMP or chemical wet lithography technique are removed.CF 4plasma process and O2/Ar sputtering technology are all carried out under lower than 1 holder air pressure.
In Fig. 5 A, copper surface 140 exists with the oxide of copper that produces in plasma oxidation step, may be completely removed in barrier metal removal step 603.Therefore, the processing step utilizing hydrogeneous plasma reduction copper surface is optional.But not having the oxide of copper in order to ensure copper surface, can (alternatively) be copper by the Reduction of Oxide of any for substrate surface residual copper in step 605.Hydrogeneous plasma process can be utilized to complete to the reparation on copper surface, be copper the Reduction of Oxide of copper.Carry out gas needed for hydrogeneous plasma process and process conditions to have described in superincumbent example I.After substrate completes During Hydrogen Reducing, just can carry out cobalt alloy and deposited.Carefully to protect the surface of copper, avoid the oxide contacting with oxygen to guarantee to form copper.As mentioned above, the existence of the oxide of copper may suppress the non-electrical deposition of cobalt alloy.Therefore, control treatment and carrying environment are very important to reduce or eliminate copper surface with contacting of oxygen.
In next processing step 607, cobalt alloy, such as CoWP, CoWB or CoWBP, optionally deposited to copper on the surface.Cobalt alloy is as shown in the layer 135 in Fig. 5 C.The non-electrical deposition of this cobalt alloy is selectivity deposition process, is also a kind of wet processing.Cobalt alloy only deposits on copper surfaces.
As described in example I above, after the non-electrical deposition of having carried out cobalt alloy, technological process can enter an optional processing step 609, namely post-depositional clean.Post-depositional cleaning can be cleaned with the hairbrush with chemical solution, this chemical solution is such as comprise the CP72B solution produced by the air products of Pennsylvania's Allentown and Chemical Company, or azanol base chemicals, to remove any metal pollutant that dielectric surface is brought by this non-electrical depositing operation.Also other base-plate cleaning technique can be used.
As mentioned above, the deposition controled environment for cobalt alloy is very important, particularly after carrying out hydrogeneous plasma reduction to copper surface.Fig. 6 B shows the schematic diagram of typical integrated system 650, this system 650 make substrate surface process cross after in step as far as possible few the contacting with oxygen of key.This integrated system 650 may be used for the processing substrate of whole process sequence 600 in Fig. 6 A.
Similar to integrated system 450, integrated system 650 has three substrate delivery modules 660,670 and 680.Delivery module 660,670 and 680 is all equipped with manipulator, substrate 655 can be moved to another process area from a process area.Substrate delivery module 660 works in laboratory environments.Module 660 is connected with substrate loader (or substrate box) 661, substrate 655 sent into this integrated system or to send substrate box 661 back to proceed the process outside system 650.
As above described in handling process 600, smooth to remove unnecessary copper from substrate through copper CMP technique at substrate, and barrier layer is stayed dielectric surface, after copper being stayed in the trench, as shown in Figure 5A, substrate 655 is sent into deposition of cobalt-alloys in integrated system 650, such as CoWB, CoWP or CoWBP.As described in step 601 of process flow 600, need the surface contaminant removing this substrate surface, such as Cu-BTA, metal oxide and organic residue.Cu-BTA and metal oxide can use and utilize clean solution, such as comprise the solution of TMAH, and wet clean process is removed.In technological process 600, wet cleaning reactor 663 can be coupled with laboratory environment delivery module 660.In technological process 600, although wet cleaning reactor 663 can be coupled with this laboratory environment delivery module 660, this processing step also being sent into by substrate before integrated system carries out cobalt alloy deposition, can carry out after metal CMP technique at once.Or this wet clean process can carry out under controllable experimental room environmental, this controllable environment should keep in whole wet cleaning process is carried out He after completing.
The wet clean process 601 carried out in reactor 683 does not have the organic substance residues (or pollutant) removed, and in step 602, is removed by dry plasma technique, such as oxygen plasma technique or O2/Ar sputtering technology.As mentioned above, most plasma or sputtering technology are all carry out under the environment lower than 1 air pressure; Therefore need these systems that the delivery module be less than under the vacuum environment of 1 holder is coupled with being operated in air pressure.If select oxygen plasma technique to carry out this organic substance residues clean, this oxygen plasma process reactor 671 is coupled with this vacuum transfer module 670.
This oxygen plasma technique can be following current plasma process.In technological process 600, although oxygen plasma reactor 671 can be integrated with this vacuum transfer module 670, this processing step also can be admitted to integrated system with before carrying out cobalt alloy deposition at substrate, carries out after metal CMP at once.
Because under under laboratory environment delivery module 660 works in atmospheric pressure, vacuum transfer module 670 works in vacuum environment (being less than 1 holder), so place a load lock chamber 665 between these two delivery modules, with in these two modules 660, between 670, transmit substrate 655.
After substrate 655 completes oxygen plasma technique, be admitted to a treatment system and carry out barrier layer etching, as shown in step 603.If select dry method barrier plasma etching, barrier layer etching chamber (or module) 673 can be coupled with this vacuum transfer module 670.Dry method barrier plasma process can be CF 4plasma process or O 2/ Ar sputtering technology.
Optional hydrogeneous plasma reduction technique after barrier layer has etched, to ensure that copper surface does not have the oxide of copper.Hydrogeneous plasma reduction reaction can be carried out in plasma chamber (or module) 674, and this plasma chamber is coupled with vacuum transfer module 670.Or hydrogeneous plasma reduction also can be carried out subsequently in the oxygen plasma reactor 671 for removing organic residue after the oxygen that emptying indoor are residual.
As mentioned above, the non-electrical deposition of cobalt alloy is a kind of wet processing.Because wet-etching technique generally carries out under atmospheric environment, this delivery module 680 being coupled in this non-electrical deposition reactor should work in approximate atmospheric pressure.There is no oxygen in order to ensure in this environment of control, utilize inert gas to be full of this controllable environment delivery module 680.Such as, and all fluids used in technique, all through degassed process, slough the oxygen of dissolving with commercially available degas system.
The non-electrical deposition reactor of the wet method of cobalt alloy needs to be coupled with rinsing and drying system, is in drying regime (dry enter/do) to make to transmit the substrate entering and send in this non-electrical depositing system 681.As mentioned above, the dry requirement of entering/doing makes this non-electrical depositing system 681 can be integrated with this controllable environment delivery module 680.Guarantee to maintain in system lower (or limited or controlled) oxygen level with inert gas fill system 681.
After cobalt alloy has deposited in system 681, substrate is sent to a rear cleaning reactor 683 of deposition.Also needing rinsing and drying system and hairbrush wiping system, integrated to make, substrate 655 is dry in cleaning systems 683 to be entered/does.This system 683 is filled to guarantee not having oxygen with inert gas.As shown in Figure 6A, system 683 represents with dotted line, to illustrate that this system is optional, because cleaning systems are optional after this deposition.Because after deposition, cleaning is last step of carrying out in integrated system 650, so substrate 655 will send substrate box 661 back to after being disposed.These cleaning systems 683 also can be coupled with this laboratory environment delivery module 660.
EXAMPLE III: metal CMP stops on copper lamina
Fig. 7 A-7C shows the cross section of the wire structures in each stage of Wiring technique.Substrate in Fig. 7 A has just completed the planarization of copper, but does not also remove the copper of substrate surface completely.One copper lamina 132 also stays on the surface of the substrate.Fig. 8 A shows effects on surface and carries out preliminary treatment with the specific embodiment of the technological process of electrical deposition of cobalt-alloys non-on the copper of the metal valley of dual damascene.Substrate in the technological process 800 of Fig. 8 A has just completed copper CMP technique to remove the most copper on the barrier layer on dielectric layer.As shown in Figure 7 A, about 100 dusts stay on the surface of the substrate to the copper lamina that about 1000 dusts are thick.The difference of EXAMPLE III and example I and II is, in EXAMPLE III, has a copper lamina to cover whole substrate surface, does not therefore worry the electrolytic corrosion problem of the copper caused because of the different materials contacted with it in copper CMP solution.Because this copper lamina and other surface contaminant can be removed in oxygen-free environment, therefore do not worry the problem of oxidation of copper.Therefore, do not need to carry out hydrogen plasma reduction.Example II and III do not have barrier CMP; Therefore the expense of CMP can be saved.By this technique, preliminary treatment is carried out to copper surface and bring cobalt alloy in layers of copper relative to good selectivity on the dielectric layer.
Technique starts from step 801, namely removes the pollutant of substrate surface, comprises organic residue and inorganic, metal oxide.Organic pollution can be removed with containing oxygen plasma, such as dry method oxygen plasma technique, water plasma process, the plasma process of hydrogen peroxide plasma process or ozone steam.As mentioned above, preferably, oxygen plasma technique is carried out under lower than the relative low temperature of 120 DEG C.Oxygen plasma technique can be following current plasma process.Or organic residue (or pollutant) also can be removed by O2/Ar sputtering technology physical property.As mentioned above, under oxygen plasma technique and O2/Ar sputtering technology are generally operational in and are less than 1 holder air pressure.
After substrate surface eliminates pollutant, the contact of substrate and oxygen should be reduced to prevent copper surface oxidized as far as possible.After removing the pollutant on surface, in step 803, the copper lamina on barrier layer and on dielectric layer is removed.Can be sputtered by O2/Ar, by O2/HFAC plasma etching, by using the chemicals such as sulfuric acid or hydrogen peroxide to carry out chemical etching, or by using composite chemical medicament to be removed by copper lamina.Under O2/Ar sputtering and O2/HFAC plasma process are all operated in the low pressure lower than 1 holder.
Then, in step 805, by the barrier layer of substrate surface, the combination of such as Ta, TaN or two kinds of films is removed.The cross section of wire structures after Fig. 7 B shows and removes copper lamina and barrier layer.Barrier layer can use CF4 plasma process, O2/Ar sputtering, CMP or chemical etching to remove.CF4 plasma etching and O2/Ar sputtering technology all work in lower than under 1 holder air pressure.
Because optionally the surface of the copper of deposition of cobalt-alloys is formed by the copper lamina on etching dielectrics under controllable environment and barrier layer, usually do not need by the step on hydrogeneous plasma deoxidization copper surface.But the surface in order to ensure copper does not have the oxide of copper, alternatively, can in step 807 reducing base surface with by the Reduction of Oxide of any residual copper for copper.Copper surface reduction technique is described above.Substrate is by containing after Process of Hydrogen Reduction, just should carrying out cobalt alloy and deposited.Need carefully protection copper surface, prevent the oxide generating copper.In next processing step 809, the non-electrical deposition of cobalt-alloys in face on copper surfaces, such as CoWP, CoWB or CoWBP.The layer 135 of Fig. 7 C is cobalt alloy.The non-electrical deposition of cobalt alloy is optionally, is a kind of wet processing.Cobalt alloy only deposits on copper surfaces.
As described in example I above and II, after having carried out the non-electrical depositing operation of cobalt alloy, technological process can enter an optionally cleaning procedure step 811 after deposition.Described in clean superincumbent example I and II after deposition.
As mentioned above, control environment for pretreated substrate with carry out cobalt alloy deposition be very important, particularly after hydrogeneous plasma reduction is carried out on copper surface.Fig. 8 B shows the schematic diagram of a typical integrated system 850, and this integrated system reduces the contact of substrate surface and oxygen after the surface treatment as far as possible by strict step.This integrated system 850 can be used to the processing substrate of the whole process sequence of the technological process 800 shown in Fig. 8 A.
This integrated system 850 has three substrate delivery modules 860,870 and 880.Substrate delivery module 860,870 and 880 is equiped with manipulator, substrate 855 can be moved to another process area from a process area.Substrate delivery module 860 be work in laboratory environments.Module 860 is connected with substrate loader (or substrate box) 861, substrate 855 is sent into integrated system or sends substrate box 861 back to proceed the process outside system 850.
As described in technological process above 800, copper CMP is utilized to carry out planarization to remove the unnecessary copper of substrate surface at substrate, and leave a copper lamina on the barrier layer of dielectric surface after, as shown in Figure 7 A, this substrate 855 is admitted to integrated system 850 with deposition of cobalt-alloys, such as CoWB, CoWP or CoWBP.As described in the step 801 of technological process 800, need the metal oxide of the pollutant such as organic residue and non-copper removing substrate surface.Because do not need to carry out wet method Cu-BTA to clean, so different from example I and II, this laboratory environment delivery module 860 perhaps can omit base plate carrying machine 861 can be directly coupled with load lock chamber 865.
Surface contaminant can be removed by the sputtering of oxidation plasma technique such as oxygen gas plasma or O2/Ar, comprise organic residue and metal oxide.As mentioned above, under most plasma or sputtering technology work in 1 holder air pressure, therefore, need these systems to be coupled with the delivery module worked under the vacuum environment of holding in the palm lower than 1.If select oxygen plasma technique to clean organic substance residues, then oxygen plasma process reactor 871 is coupled with a vacuum transfer module 870.
Oxygen plasma technique can be following current plasma process.In technological process 800, although oxygen plasma reactor 871 can be coupled with vacuum transfer module 870, this processing step also can be admitted to before integrated system carries out cobalt alloy deposition at substrate, carries out after metal CMP at once.
Because under under laboratory environment delivery module 860 works in atmospheric pressure, vacuum transfer module 870 works in the vacuum environment being less than 1 holder, so place load lock chamber 865 to transmit substrate 855 between these two modules 860 and 870 at these two intermodules.
After substrate 855 completes oxygen plasma technique, transmit substrate 855 to treatment system and carry out copper etching, as shown at 803.If select dry method copper plasma etching, copper etching chamber (or module) 873 is coupled with this vacuum transfer module 870.If select wet processing, this wet etching reactor and rinsing/drying system is integrated becomes wet copper etch system 873 ', this system 873 ' can be coupled with the delivery module 880 of controllable environment.For making this wet copper etch system 873 ' can be coupled with this controllable environment delivery module 880, need dryly to enter/do this system 873 '.In one embodiment, can by a rinsing and drying system and this wet copper etch system 873 ' be integrated dryly enters/do requirement to meet.System 873 ' also needs to control as not containing oxygen.This system can be full of to ensure in process environments not containing oxygen with inert gas.
Barrier layer etching is carried out, as indicated at step 805 after copper etching.If with dry method barrier plasma etching industrial, barrier layer etching chamber 874 can be coupled with vacuum transfer module 870.If select wet method barrier layer etching technics, wet method barrier layer etch reactor can be integrated to become wet method barrier layer etching system 874 ' with rinsing/drying system, and system 874 ' can be coupled with controllable environment delivery module 880.In order to make wet method barrier etching system 874 ' can be coupled with controllable environment delivery module 880, this substrate needs are dry enters/does system 874 '.Need the environment of control system 874 ' to provide lower (or limited or controlled) oxygen level.This system can be filled to ensure there is lower oxygen level in process environments with inert gas.
Discussed above, the technique after barrier layer etching is optional hydrogeneous plasma reduction.Hydrogen plasma reduction can be carried out in plasma chamber 877, and plasma chamber 877 is coupled with vacuum transfer module 870.
As mentioned above, the non-electrical deposition of cobalt alloy is a wet processing.Because wet processing generally under atmospheric pressure carries out, so under the delivery module 880 be coupled with this non-electrical deposition reactor also should work in nearly atmospheric pressure.Content in order to ensure the middle oxygen that controls environment is a lower level, can be full of inert gas in controllable environment delivery module 880.Such as, and all fluids used in technique, all through degassed process, utilize commercially available degas system that the oxygen dissolved is removed.Typical inert gas comprises nitrogen, helium, neon, argon gas, Krypton and xenon.
The non-electrical deposition reactor of cobalt alloy wet method needs to be coupled with rinsing and drying system substrate is sent into the state of drying and sends this system 881 (dry enter/do).The dry requirement of entering/doing makes non-electrical depositing system 881 can be integrated with controllable environment delivery module 880.System 881 is full of lower with oxygen level in guarantee system with inert gas.
Carried out cobalt alloy deposition in system 881 after, substrate 855 is transmitted through the rear cleaning reactor of deposition.Rinsing and drying system also need integrated to make substrate 855 can dryly enter/do this wet cleaning system 883 with hairbrush wiping system.System 883 is full of to ensure not having oxygen with inert gas.As shown in Fig. 8 A above, system 883 represents to illustrate that this system is optional with dotted line, because cleaning procedure is optional after deposition.Because after deposition, cleaning is last technique needing to carry out in integrated system 850, so substrate 855 can send substrate box 861 back to after being disposed.These cleaning systems 883 also can be connected with this laboratory environment delivery module 860.
2. process barrier surface to carry out the non-electrical deposition of copper
Above-described system conception can be used for carrying out preliminary treatment to carry out the planarization of copper to barrier surface.Barrier layer, such as Ta, TaN, or Ru, exceed certain hour if be exposed in oxygen, can form TaxOy (oxide of Ta), TaOxNy (nitrogen oxide of Ta), or RuO 2(oxide of Ru).The non-electrical height of deposition of depositing metal layers depends on surface characteristic and the composition of substrate on the surface of the substrate.The non-electrolytic copper plating on Ta, TaN or Ru surface is formed for the kind crystal layer before plating and in lithographic definition pattern, the selectivity of thin copper film deposits all helpful.Aspect that will pay close attention to is the suppression for non-electrical depositing operation of the thin atom native metal oxide thin layer that automatically generates due to the existence of oxygen.
And copper film does not adhere to barrier oxide layer, the oxide of such as Ta, the nitrogen oxide of Ta or the oxide of Ru, but adhere to pure barrier metal or rich barrier tunic, the TaN film of such as Ta, Ru or rich Ta.Ta and/or TaN barrier layer is only be used as example.This description and conception are also applicable to other barrier metal, such as, have Ta or TaN that Ru thin layer covers.As mentioned above, adherence deficiency may have a negative impact to electric migration performance.And the formation of Ta oxide or Ta nitrogen oxide may increase the resistivity of barrier layer on barrier layer surface.Because these problems, so need to use the interface of integrated system to barrier/copper to anticipate, to ensure adherence good between barrier layer and copper interface, reduce the resistivity of barrier layer.
example I: generate metal wire
Fig. 9 A shows a kind of cross sectional representation being formed the metal line result after pattern by dielectric etch and removing photoresist.Metal wire structure is on substrate 900 and have a silicon layer 110; Generate before this silicon layer 110, there is the door 105 of gate oxide 121, pad 107 and contact 125.Then this contact 125 is generally inserted the conducting metals such as tungsten wherein make by being etched a contact hole on oxide 103.Substitution material can be copper, aluminium or other electric conducting materials.This barrier layer 102 is also configured to the function with the termination of selectivity etching groove.Barrier layer 102 can be made with the such as material such as silicon nitride (SiN) or carborundum (SiC).
Metal wire dielectric layer 106 is deposited on this barrier layer 102.The dielectric substance that can be used for depositing is described above.After having deposited this dielectric layer 106, substrate graphically and etching to generate metal valley 106.After Fig. 9 B shows and forms metal valley 116, plated metal barrier layer 130 connects up to carry out metal valley 116.After Fig. 9 C shows and has deposited barrier layer 130, copper layer 132 on barrier layer 130.Barrier layer 132 can be made up of the combination of TaN, Ta, Ru or this several film.Then depositing copper film 132 is to fill metal valley 116.In one embodiment, copper film 132 comprises the brilliant thin layer 131 of copper kind below.
Utilizing plasma pre-treatment of surface technique to prepare this catalyst surface with the brilliant thin layer 131 of the non-electrical copper kind of depositing conformal, and with after copper film 132 filling groove 116, substrate 900 is utilized chemistry and mechanical means planarization (CMP) or is etched with the copper product (or copper of redundancy) and barrier layer (or barrier of redundancy) of removing dielectric 106 surface, as shown in fig. 9d.In one embodiment, the thickness of the brilliant thin layer of copper kind is between about 5 dusts to about 300 dusts.Next step is by copper/SiC interface adherence promoting layer 135, such as cobalt alloy, as shown in fig. 9e, and covering copper surface 140.Typical cobalt alloy comprises: CoWP, CoWB or CoWBP, and these can utilize depositing on copper of non-electrical process selectivity.The thickness of adhesion promoter layer can be so thin as monolayer, and namely a few dust, such as 5 dusts also can be thicker, such as 200 dusts.
Figure 10 A shows and anticipates, so that carry out the technological process 1000 of the non-electrical deposition of copper after trench formation barrier (or serif (liner)) layer surface.But, it should be noted that barrier (or serif) layer also can process separately in the depositing system of non-integration such as ALD or PVD deposition reactor.In this case, be the brilliant thin layer of deposited copper kind and preliminary treatment that effects on surface carries out can not comprise metal bolt prerinse and barrier deposition step.In step 1001, the upper surface 124a of clean contact bolt (contact plug) is to remove native metal oxide.Metal oxide can pass through Ar sputtering technology, utilizes fluoro-gas, and as the plasma process that NF3, CF4 or both combinations are carried out, wet chemical etching process or reducing process (such as using hydrogeneous plasma process) are removed.In step 1003, deposit barrier layers.Because extremely narrow metal line and strict clear size of opening requirement, this barrier layer can carry out with technique for atomic layer deposition (ALD), and this determines according to technological level.The thickness of barrier layer 130 is between about 20 dusts to about 200 dusts.As mentioned above, stop the contact of barrier layer and oxygen for guaranteeing that non-being electrically deposited on copper and barrier layer interface of copper has good adherence very crucial.After having deposited barrier layer, substrate should carry out transmitting and process to limit and the contacting of oxygen under controllable environment.In optional step 1005, barrier layer utilizes hydrogen plasma process to carry out processing and think that follow-up copper kind crystal layer deposition step provides catalytic surface to generate metal rich surface on Ta, TaN or Ru layer.This step is the need of the metal enrichment degree depending on this surface.
Then, in step 1007, at barrier surface deposition conformal copper kind crystal layer, then in step 1008, carry out thick copper filling (or scale of construction filling) technique.In one embodiment, non-electrical depositing operation is utilized to deposit this conformal copper kind crystal layer.The thick copper scale of construction fill process right and wrong can electrically deposit (ELD) technique or electrochemistry plating (ECP) technique.Non-electrical depositing operation and electrochemical plating processes are all the wet processings known.In order to the system integration by wet processing process and above-mentioned controlled transmission and processing environment, reactor should be integrated dryly can enter/do with rinsing/drying device.And, need in this system to be full of inert gas to reduce the contact of substrate and oxygen as far as possible.Have recently emerged the dry non-electrical process for copper entering/do.And all fluids that technique uses have to pass through degassed process, such as, by commercially available degas system, the oxygen dissolved is removed.
Non-electrical depositing operation can be undertaken by good several mode, such as puddling plating, to distribute a fluid on substrate and to make it under static state to react, then reactant removed and abandon, or recycling.In another embodiment, this technique use close on process head only contact with the localized area of substrate surface to limit non-electrical treatment fluid.Not close on process head under substrate surface be dry.This technique and system can be 10/607 at application number, 611, name is called " Apparatus And Method For Depositing And PlanarizingThin Films On Semiconductor Wafers ", the applying date is on June 23rd, 2003, and application number is 10/879,263, name is called " Method and Apparatus For PlatingSemiconductor Wafers ", the applying date is find in the U.S. Patent application on June 28th, 2004, and what these two parts of applications can be complete is merged into here.The similar process head that closes on can be utilized to carry out electroless-plating to cobalt alloy can carry out dryly entering/do process.
Carried out step 1007 and 1008 copper deposition process after, substrate can carry out an optional board cleaning step 1009.Clean after copper deposition and can clean by using the hairbrush of chemical solution, this chemical solution is such as comprise the CP72B solution produced by the air products of Pennsylvania's Allentown and Chemical Company.Also other substrate surface cleaning procedure can be used, such as the C3 of bright nurse tMor P3 tMcleaning procedure.
Figure 10 B shows after barrier surface preparation, reduces the schematic diagram of the integrated system 1050 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is sent to rapidly next process place from a process place, can restricting substrate surface and oxygen touch a lower level.Integrated system 1050 can be used for treatment substrate in the whole process flow sequences 1000 shown in Figure 10 A.
As mentioned above, preliminary treatment is carried out to substrate surface and not only comprise dry process but also comprise wet processing with the non-electrical deposition and the rear technique of optional cobalt alloy deposition of carrying out copper.Wet processing generally carries out under nearly atmospheric pressure, and dry plasma technique is carried out being less than under 1 holder air pressure.Therefore, this integrated system must carry out dry process and can carry out wet processing again.This integrated system 1050 has three substrate delivery modules (or substrate transfer chamber) 1060,1070, and 1080.This delivery module 1060,1070, and 1080 are all equiped with the mechanical arm that substrate 1055 can be transferred to another block processing region from one piece of processing region.This processing region can be substrate box, reactor or load lock chamber.Under substrate delivery module 1060 works in laboratory environment.Module 1060 engages with substrate loader (or substrate box) 1061, this substrate 1055 is sent into this integrated system or sends substrate back to substrate box 1061.
As described in technological process above 1000, substrate 1055 is sent into integrated system 1050 with deposit barrier layers and layers of copper.As described in the step 1001 of technological process above 1000, the oxide removing primary tungsten is etched to the tungsten matter upper surface 124a of contact 125.After removing the oxide of tungsten, need the exposed surface of the tungsten protected in Fig. 9 A, to avoid contacting with oxygen.If this removal technique is Ar sputtering technology, so reactor 1071 is coupled with this vacuum transfer module 1070.If select chemical etching process, reactor should be coupled with controllable environment delivery module 1080, instead of is coupled with laboratory environment delivery module 1060, to limit the contact on tungsten surface and oxygen.
Then, plated metal barrier layer on substrate, the combination of such as Ta, TaN, Ru or these films, as described in the step 1003 in Figure 10 A.Barrier layer 130 in Fig. 9 B can pass through ALD technique or PVD process deposits.In one embodiment, ALD technological work is under holding in the palm air pressure lower than 1.ALD reactor 1073 is coupled with vacuum transfer module 1070.In another embodiment, depositing operation is the high-pressure process utilizing supercritical carbon dioxide and Organometallic precursor to form metallic barrier.In another embodiment, this depositing operation works in physical vapour deposition (PVD) (PVD) technique lower than under 1 holder air pressure.Use the details of the typical reactor of the high-pressure process of supercritical carbon dioxide at the common U.S. Patent application 10/357 transferred the possession of, describe in 664, name is called " Method and Apparatus forSemiconductor Wafer Cleaning Using High-Frequency Acoustic Energywith Supercritical Fluid ", the applying date is on February 3rd, 2003, introduces for reference herein.
Substrate can carry out an optional reducing process, such as, use hydrogeneous plasma, as described in the step 1005 of Figure 10 A.Hydrogen reducing reactor 1074 is coupled with vacuum transfer module 1070.In this stage, substrate can carry out the non-of copper and electrically deposited.The non-electrical plating of copper can complete the kind crystal layer with depositing conformal in the non-electrical associated with electroplating reactors 1081 of copper.After deposition kind of crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1081 of the kind crystal layer of this conformal of deposition, but utilizes different chemicals to fill to complete the scale of construction.Or the scale of construction of copper is filled and can be carried out in one independently ECP reactor 1081 '.
Before substrate leaves integrated system 1050, alternatively, substrate can enter a surface cleaning processes, removes the residual of copper deposition process above.Such as, this base-plate cleaning technique can be brush cleaning technique.Board cleaning reactor 1083 can be integrated with controllable environment delivery module 1080.Or board cleaning reactor 1083 can be integrated with laboratory environment delivery module 1060.
Or, before system of being sent into by substrate 900 carries out surface treatment and copper deposition, in process chamber, carry out the deposition of barrier layer 130 in Fig. 9 B.Figure 10 C shows and carries out preliminary treatment to carry out the embodiment of the technological process 1090 of the non-electrical deposition of copper to barrier (or serif) layer surface.H plasma treatment is carried out to produce metal rich surface at Ta, TaN or Ru layer to barrier surface, in optional step 1095, thinks that the brilliant deposition step of copper kind provides catalytic surface below.This step is the need of the content depending on surface metal.
Then, in step 1097, at barrier surface deposition conformal copper kind crystal layer, then in step 1098, carry out thick copper filling (or scale of construction filling) technique.In one embodiment, this conformal copper kind crystal layer of non-electrical process deposits is utilized.The thick copper scale of construction fill process right and wrong can electrically deposit (ELD) technique or electrochemistry plating (ECP) technique.Carried out step 1097 and 1098 copper deposition process after, substrate can carry out an optional board cleaning step 1099.Clean after copper deposition and can clean by using the hairbrush of chemical solution, this chemical solution is such as comprise the CP72B solution produced by the air products of Pennsylvania's Allentown and Chemical Company.Also other substrate surface cleaning procedure can be used, such as the C3 of bright nurse tMor P3 tMcleaning procedure.
Figure 10 D shows after barrier surface preparation, reduces the schematic diagram of the integrated system 1092 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is sent to rapidly next process place from a process place, can restricting substrate surface and oxygen touch a lower level.Integrated system 1092 can be used for treatment substrate in the whole process flow sequences 1090 shown in Figure 10 C.
As mentioned above, preliminary treatment is carried out to substrate surface and not only comprise dry process but also comprise wet processing with the non-electrical deposition and the rear technique of optional cobalt alloy deposition of carrying out copper.Wet processing generally carries out under nearly atmospheric pressure, and dry plasma technique is carried out being less than under 1 holder air pressure.Therefore, this integrated system must carry out dry process and can carry out wet processing again.This integrated system 1092 has three substrate delivery modules (or substrate transfer chamber) 1060,1070, and 1080.This delivery module 1060,1070, and 1080 are all equiped with the mechanical arm that substrate 1055 can be transferred to another block processing region from one piece of processing region.This processing region can be substrate box, reactor or load lock chamber.Under substrate delivery module 1060 works in laboratory environment.Module 1060 engages with substrate loader (or substrate box) 1061, this substrate 1055 is sent into this integrated system or sends substrate back to substrate box 1061.
As described in technological process above 1090, at the complete barrier layer of substrate deposition to carry out preliminary treatment to barrier surface with after carrying out non-electrical copper deposition, substrate 1055 is sent into integrated system 1092.First substrate carries out a reducing process, such as, use hydrogeneous plasma, as described in the step 1095 of Figure 10 C.Hydrogen reducing reactor 1074 is coupled with vacuum transfer module 1070.In this stage, substrate can carry out the non-of copper and electrically deposited.The non-electrical plating of copper can complete the kind crystal layer depositing a conformal in the non-electrical associated with electroplating reactors 1081 of a bronze medal.After having deposited kind of crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1081 of the kind crystal layer of this conformal of deposition, but utilizes different chemicals to fill to complete the scale of construction.Or the scale of construction of copper is filled and can be carried out in one independently ECP reactor 1081 '.
Before substrate leaves integrated system 1092, alternatively, substrate can enter a surface cleaning processes, removes the residual of copper deposition process above.Such as, this base-plate cleaning technique can be brush cleaning technique.Board cleaning reactor 1083 can be integrated with controllable environment delivery module 1080.Or board cleaning reactor 1083 can be integrated with laboratory environment delivery module 1060.
Figure 11 A shows and anticipates so that carry out the non-electrical deposition of copper barrier (or serif (liner)) layer surface, and anticipates so that carry out the embodiment of the technological process of the non-electrical deposition of cobalt alloy the copper surface after CMP.In step 1101, the upper surface 124a of clean contact bolt (contact plug) is to remove native metal oxide.Metal oxide can pass through Ar sputtering technology, plasma reduction technique, reactive ion etching, the removals such as chemical wet lithography technique.In step 1103, deposit barrier layers.In optional step 1105, barrier layer utilizes hydrogen plasma process to carry out processing and think that follow-up copper kind crystal layer deposition step provides catalytic surface to generate metal rich surface on Ta, TaN or Ru layer.The content of surface metal is depended on the need of this step.
But, it should be noted that barrier (or serif) also can process separately in the depositing system of non-integration such as ALD or PVD deposition reactor.In this case, be the brilliant thin layer of deposited copper kind and preliminary treatment that effects on surface carries out can not comprise metal bolt prerinse and barrier deposition step, these steps Figure 10 A step 1001 and 1003 and Figure 11 A step 1101 and 1103 in described.In these cases, above-mentioned technique should from step 1005 or 1105.
Then, in step 1107, at barrier surface deposition one conformal copper kind crystal layer, then in step 1108, carry out thick copper filling (or scale of construction filling) technique.In one embodiment, this conformal copper kind crystal layer of non-electrical process deposits is utilized.The thick copper scale of construction fill process right and wrong can electrically deposit (ELD) technique or electrochemistry plating (ECP) technique.Non-electrical depositing operation and electrochemical plating processes are all the wet processings known.In order to the system integration by wet processing process and above-mentioned controlled transmission and processing environment, reactor should be integrated dryly can enter/do with rinsing/drying device.And, need in this system to be full of inert gas to reduce the contact of substrate and oxygen as far as possible.Have recently emerged the dry non-electrical process for copper entering/do.And all fluids that technique uses have to pass through degassed process, such as, by commercially available degas system, the oxygen dissolved is removed.
Substrate completes copper kind crystalline substance (step 1107) of depositing conformal and completes thick copper by non-electrical or electrical electroplating technology and fill after (or scale of construction filling) (step 1108), in step 1109, the layers of copper 132 of the substrate surface on the barrier layer 130 on dielectric 106 is removed, as shown in fig. 9d.Then barrier layer is removed.Remove technique for these two all to carry out in the step 1109 of Figure 11 A.The copper removed on the surface on barrier layer can be completed by CMP, and this is a kind of wet processing.Barrier layer can be removed, such as CF4 plasma process, O2/Ar sputtering technology, CMP or wet chemical etch process by reactive ion etching.All described before these barrier layer etching technics.
After removing barrier layer, cleaning procedure is utilized to remove Cu-BTA compound and metal oxide (step 1110) and organic pollution (1111) to remove the pollutant of substrate surface.Described above the details of by this two step substrate surface being carried out to cleaning procedure after metal CMP.
In step 1112, after removing the pollutant of substrate surface, reduction plasma (hydrogeneous) is utilized to be metal by all residual metal oxide back.After completing hydrogen reduction, what copper surface became cleans and has catalytic, can carry out the non-of cobalt alloy and electrically deposited.In step 1113, rinsing is carried out with dry to carry out the non-electrical deposition of cobalt alloy on substrate to substrate.Last processing step 1115 is optional substrate cleaning step, to remove any residual pollutant in cobalt alloy depositing operation above.
Figure 11 B shows after barrier surface preparation, reduces the schematic diagram of the integrated system 1150 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is sent to rapidly next process place from a process place, can restricting substrate surface and oxygen touch a lower level.Integrated system 1150 can be used for treatment substrate in the whole process flow sequences 1100 shown in Figure 11 A.
This integrated system 1150 has three substrate delivery modules (or substrate transfer chamber) 1160,1170, and 1180.This delivery module 1160,1170, and 1180 are all equiped with the mechanical arm that substrate 1155 can be transferred to another block processing region from one piece of processing region.This processing region can be substrate box, reactor or load lock chamber (loadlock).Under substrate delivery module 1160 works in laboratory environment.Module 1160 engages with substrate loader (or substrate box) 1161, this substrate 1155 is sent into this integrated system or sends substrate back to substrate box 1161.
As described in the technological process 1100 in Figure 11 A above, substrate 1155 is sent into integrated system 1150 with deposit barrier layers, preliminary treatment is carried out with copper layer to barrier surface, to copper surface row preliminary treatment after CMP to carry out the non-electrical deposition of cobalt alloy.As described in the step 1101 of technological process above 1100, the upper surface 124a of clean contact bolt (contact plug) 125 is to remove native metal oxide.Such as, or the oxide on metal bolt surface also can use reduction plasma process to remove, hydrogeneous plasma process.After removing the oxide on metal bolt surface, should prevent the metallic upper surface 124a of the exposure in Fig. 9 A from contacting with oxygen.If this removal technique is Ar sputtering technology, so reactor 1171 is coupled with this vacuum transfer module 1170.If select wet chemical etch process, reactor should be coupled with controllable environment delivery module 1180, instead of is coupled with laboratory environment delivery module 1160, to limit clean metal bolt surface and the contact of oxygen.
Then, plated metal barrier layer on substrate, the combination of such as Ta, TaN, Ru or these films, as described in the step 1103 in Figure 11 A.Barrier layer 130 in Fig. 9 B can pass through ALD technique or PVD process deposits.In one embodiment, ALD technological work is under holding in the palm air pressure lower than 1.ALD reactor 1173 is coupled with vacuum transfer module 1170.In another embodiment, depositing operation is the high-pressure process utilizing supercritical carbon dioxide and Organometallic precursor to form metallic barrier.In another embodiment, this depositing operation works in physical vapour deposition (PVD) (PVD) technique lower than under 1 holder air pressure.Substrate can carry out an optional reducing process, such as, use hydrogeneous plasma, as described in the step 1105 of Figure 11 A.Hydrogen reducing reactor 1174 is coupled with vacuum transfer module 1170.In this stage, substrate can carry out the non-of copper and electrically deposited.The non-electrical plating of copper can complete the kind crystal layer with depositing conformal in the non-electrical copper associated with electroplating reactors 1181 of a bronze medal.After deposition kind of crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1181 of the kind crystal layer of this conformal of deposition, but utilizes different chemicals to fill to complete the scale of construction.Or the scale of construction of copper is filled and can be carried out in one independently ECP reactor 1181 '.
Then, the copper redundancy on substrate and barrier redundancy is removed, as described in the step 1109 of Figure 11 A.Removal copper redundancy and barrier redundancy can complete in a CMP system 1183, also can complete in two CMP systems.In the embodiment shown in Figure 11 A, only only used a CMP system 1183.After the CMP completing copper redundancy and barrier redundancy removes, need clean substrate surface to remove surface contaminant.Copper BTA compound and metal oxide is removed by wet cleaning system 1185.Organic pollution is removed by oxygen plasma system 1177.In one embodiment, the oxygen plasma technique of organics removal can be carried out in hydrogen reduction room 1174.
After removing pollutant, reducing process is carried out to substrate, as described in the step 1112 of Figure 11 A.Process of Hydrogen Reduction can with by barrier surface reduction be rich in Ta same reduction reactor 1174 in carry out.After hydrogen reduction is disposed, the non-electrical deposition of cobalt alloy can be carried out in copper surface in reactor 1187.
Before substrate leaves integrated system 1150, substrate can carry out an optional surface cleaning process, with the residue in clean copper electroplating technology above.Board cleaning technique can be a brush cleaning technique, and its reactor 1163 can be integrated with laboratory environment delivery module 1160.
The wet processing system be coupled with controllable environment delivery module 1180 as described in Figure 15 B, all the dry requirement of entering/doing of demand fulfillment is to carry out the system integration.
Example II: dual damascene wiring sequence
Figure 12 A shows the cross sectional representation of the wire structures after dual damascene wiring.This wire structures is on substrate 1200 and have an oxide layer 100; Generate before this oxide layer 100, to manufacture metal wire 101 inside.Then this metal wire is generally inserted the conducting metals such as copper wherein make by being etched a groove on oxide 100.
There is a barrier layer 120 in groove, diffuse into oxide 100 to prevent copper product 122.Barrier layer 120 can be made up of the combination of TaN, Ta, Ru or this several film.Also other barrier layer materials can be used.On copper product 122, deposit barrier layers 102 stops to provide etching in via etch process, and as the diffusion barrier between dielectric layer and copper.This barrier layer 102 can be made with silicon nitride (SiN) or (SiC) or other materials being applicable to being integrated into dual damascene technological process.
Barrier layer 102 deposits via dielectric layer 104.Via dielectric layer 104 can be by inorganic dielectric material such as silicon dioxide, or preferably low-k dielectric materials is made.Typical dielectric comprises undoped TEOS silicon dioxide, fluorinated silica glass (FSG), organic silicate glass (OSG), porous OSG, or commercially available Black Diamond (I) and Black Diamond (II), Coral, Aurora etc.After having deposited via dielectric layer 104, carry out Butut and etching technics to form via holes 114.By dielectric barrier layer, such as SiC or Si 3n 4, protect copper surface 122a.Figure 12 A shows the dual damascene structure formed after via holes 114 and groove 116.Dielectric barrier layer 102 under via holes 114 has been got rid of.
As shown in Figure 12 B, after formation via holes 114 and groove 116, deposit the first barrier layer 130I, the second barrier layer 130II and layers of copper 132 are with filling vias hole 114 ' and groove 116.First barrier layer 130I and the second barrier layer 130II is made up of TaN, Ta or Ru.Also other barrier layer materials can be used.In one embodiment, the first barrier layer 130I is the TaN thin layer by ALD process deposits, and the second barrier layer 130II is with the very thin Ta layer of quick PVD process deposits or the Ru layer utilizing ALD or PVD process deposits.In one embodiment, the thickness of the first barrier layer 130I is between about 10 dusts to about 150 dusts; The thickness of the second barrier layer 130II is between about 10 dusts to about 50 dusts.The conformal that the TaN thin layer of ALD provides the barrier layer on through hole 114 ' and groove 116 covers.The Ta thin layer of PVD or Ru thin layer provide the good adherence with the layers of copper deposited on barrier layer 130I and 130II.Usually, the barrier layer of PVD deposition does not have good Step Coverage (this film is not conformal in other words).Therefore, an ALD barrier layer is needed to cover to provide good barrier in through hole and groove.In another embodiment, the first barrier layer 130I and the second barrier layer 130II is attached on a simple layer, can pass through ALD technique or PVD process deposits.The material of this simple layer can be the combination of Ta, TaN, Ru or these films.
After having carried out the deposition of the first barrier layer 130I and the second barrier layer 130II, substrate carries out aforesaid surface reconditioning step to guarantee that barrier layer surface is rich in Ta.Then depositing copper film 132, method is with PVD deposition kinds brilliant 131 or non-electrical deposition kind of crystalline substance 131, and then with thick copper packed layer filling vias hole 114 and groove 116.
After with copper film 132 filling vias hole 114 and groove 116, by substrate 1200 planarization to remove copper product (or copper redundancy) and the barrier layer (or barrier redundancy) on dielectric 106 surface, as indicated in fig. 12 c.Then aforesaid surface reconditioning treatment step is carried out to guarantee that substrate surface is clean and the surface of copper does not have the oxide of copper to substrate.Next step is with the copper such as cobalt alloy/adhesion promoter layer 135 covering copper surface, SiC interface 140, as seen in fig. 16d.Typical cobalt alloy comprises CoWP, CoWB and CoWBP, can depositing on copper with non-electrical process selectivity.The thickness of adhesion promoter layer can be so thin as monolayer, and namely a few dust also can be thicker, such as 200 dusts.
Figure 13 A shows and carries out preliminary treatment to carry out the non-electrical deposition of layers of copper to barrier (or serif) layer surface, and to copper surface row preliminary treatment after CMP to carry out the specific embodiment of the technological process of the non-electrical deposition of cobalt alloy.In step 1301, the upper surface 122a of clean metal line 101 is to remove the oxide of primary copper.The oxide of copper can be sputtered by Ar or chemical wet lithography technique is removed.In step 1302, the first barrier layer (130I in Figure 12 B) ALD system deposition, in step 1303, the second barrier layer (130II in Figure 12 B) PVD system deposition.As mentioned above, prevent the contact of barrier layer and oxygen, for when guaranteeing that layers of copper deposits to barrier layer, between copper and barrier layer, have good adherence to be very crucial.After having deposited barrier layer, the transmission should carrying out substrate under controllable environment and process are to limit contacting of itself and oxygen.In step 1305, process barrier layer to produce a rich metal level with Reductive plasma (such as hydrogeneous plasma), this rich metal level can provide catalytic surface for the brilliant deposition step of follow-up copper kind.This Reductive plasma process is optional, depends on the composition on surface.
Then, in step 1307, brilliant in the copper kind of barrier surface deposition conformal, then in step 1308, carry out thick copper filling (or scale of construction filling) technique.The copper kind crystal layer of conformal can be deposited by non-electrical technique.The thick copper scale of construction is filled (or gap-fill) and can be deposited by ECP technique.Or thick copper packed layer also can deposit in the same non-electrical sexual system of this conformal kind crystal layer of deposition, but uses different chemicals.
After step 1307 (the copper kind crystal layer of depositing conformal) and step 1308 (by non-electrical plating or electrically electroplate the thick copper scale of construction fill process carried out) complete, in step 1309, remove the layers of copper 132 of the substrate surface on the barrier layer 130 on dielectric 106, as shown in Figure 11 C.Then barrier layer is removed.These two are removed technique is all complete in the step 1309 of Figure 13 A.The copper removing barrier layer upper surface can complete by CMP, and this is a kind of wet processing.Barrier layer can be completed by CF4 plasma process, O2/Ar sputtering technology, CMP or chemical wet lithography technique.Described before these barrier layer etching technics.
After removing barrier layer, cleaning procedure is utilized to remove Cu-BTA compound and metal oxide (step 1310) and organic pollution (1311) to remove the pollutant of substrate surface.Described above the details of by this two step substrate surface being carried out to cleaning procedure after metal CMP.
In step 1312, after removing the pollutant of substrate surface, utilizing reduction plasma process, such as hydrogeneous plasma process, is metal by all residual metal oxide back.After completing hydrogen reduction, copper surface becomes clean and has catalytic, can carry out the non-of cobalt alloy and electrically deposited.In step 1313, rinsing is carried out with dry to carry out the non-electrical deposition of cobalt alloy on substrate to substrate.Last processing step 1315 is optional substrate cleaning step, to remove any residual pollutant in cobalt alloy depositing operation above.
Figure 13 B shows after barrier and copper surface preparation, reduces the schematic diagram of the integrated system 1350 that substrate surface contacts with oxygen in the step of key as far as possible.。And because be integrated system, substrate is sent to rapidly next process place from a process place, can restricting substrate surface and oxygen touch a lower level.Integrated system 1350 can be used for treatment substrate in the whole process flow sequences 1300 shown in Figure 13 A.
This integrated system 1350 has three substrate delivery modules (or substrate transfer chamber) 1360,1370, and 1380.This delivery module 1360,1370, and 1380 are all equiped with the mechanical arm that substrate 1355 can be transferred to another block processing region from one piece of processing region.This processing region can be substrate box, reactor or load lock chamber (loadlock).Under substrate delivery module 1360 works in laboratory environment.Module 1360 engages with substrate loader (or substrate box) 1361, this substrate 1355 is sent into this integrated system or sends substrate back to substrate box 1361.
As described in the technological process 1300 in Figure 13 A above, substrate 1355 is sent into integrated system 1350 with deposit barrier layers, preliminary treatment is carried out with copper layer to barrier surface, to copper surface row preliminary treatment after CMP to carry out the non-electrical deposition of cobalt alloy.As described in the step 1301 of technological process above 1300, the oxide removing primary copper is etched to the copper upper surface 122a of metal wire.After removing the oxide of copper, need the exposed surface 122a of the tungsten protected in Figure 12 A, to avoid contacting with oxygen.If this removal technique is Ar sputtering technology, so reactor 1371 is coupled with this vacuum transfer module 1370.If select chemical etching process, reactor should be coupled with controllable environment delivery module 1380, instead of is coupled with laboratory environment delivery module 1360, to limit the contact on tungsten surface and oxygen.
Then, substrate deposits this first and second barrier layer.This first barrier layer 130I ALD process deposits of Figure 12 B, this technique is a dry process, works in lower than under 1 holder air pressure.ALD reactor 1372 is coupled with this vacuum transfer module 1370.This second barrier layer 130II PVD of Figure 12 B or ALD process deposits, this technique is dry process, works in lower than under 1 holder air pressure.PVD reactor 1373 and this vacuum transfer module 1370 are coupled.Substrate carries out an optional Process of Hydrogen Reduction to ensure that barrier layer surface is the non-electrical deposition to carry out copper of rich metal.The non-electrical plating of copper can be carried out, with the copper kind crystal layer of depositing conformal, as described in the step 1307 of Figure 13 A in the non-electrical associated with electroplating reactors 1381 of copper.As mentioned above, in the step 1308 of Figure 13 A, deposited copper packed layer can carry out in same non-electrical associated with electroplating reactors 1381, but utilizes different chemicals, or carries out in one independently ECP reactor 1381 '.
Then, as described in the step 1309 of Figure 13 A, remove the copper redundancy on substrate and barrier redundancy.Removal copper redundancy and barrier redundancy can complete in a CMP system 1383, also can complete in two CMP systems.In the embodiment shown in Figure 13 A, only only used a CMP system 1383.After the CMP completing copper redundancy and barrier redundancy removes, need clean substrate surface to remove surface contaminant.Copper BTA compound and metal oxide is removed by wet cleaning system 1385.Organic pollution is removed by oxygen plasma system 1377.In one embodiment, the oxygen plasma technique of organics removal can be carried out in hydrogen reduction room 1374.
After removing pollutant, reducing process is carried out to substrate, as described in the step 1312 of Figure 13 A.Process of Hydrogen Reduction can carry out for being rich in Ta with by barrier surface reduction in same reduction room 1374.After hydrogen reduction is disposed, the non-electrical deposition of cobalt alloy can be carried out in copper surface in reactor 1387.
Before substrate leaves integrated system 1350, substrate can carry out an optional surface cleaning process, with the residue in clean copper electroplating technology above.Board cleaning technique can be a brush cleaning technique, and its reactor 1163 can be integrated with laboratory environment delivery module 1360.
The wet processing system be coupled with controllable environment delivery module 1380 as described in fig. 13b, all the dry requirement of entering/doing of demand fulfillment is to carry out the system integration.
Said apparatus and method are used for anticipating carry out follow-up metal deposition thus promote adherence and electric migration performance between metal-metal to metal surface.This invention thought is also applicable to anticipate to carry out follow-up optionally metal level deposition to silicon face.
3. silicon face carries out anticipating to carry out follow-up selectivity electroless metal deposition to form metal silicide
Above-described technical process is used for improving the electric migration performance of the thin copper film such as contact, through hole and metal line, metallic resistance rate, even productive rate.In the past in integrated circuit production process, another kind of metal is deposited on silicon or polysilicon surface with the source/drain/gate at device, resistance, the ground area (such as grounding through resistance region) of structure, door region, capacitor regions or induction areas form silicon metal level, to reduce contact impedance and to provide good ohmic contact.Figure 14 A shows the cross section of the grid structure 127 on silicon substrate 110, and this grid structure 127 comprises gate thin oxide 121, polysilicon layer 105 and nitride spacer 107.Active device is separated by shallow trench isolation layer (STI) 65.Source region 61 and drain region 63 on the two sides of grid structure.In source region 61, there is the silicon face 62 of exposure.In drain region 63, there is the silicon face 64 of exposure.On polysilicon layer 105, there is the polysilicon 109 of exposure.Form metal silicide to reduce sheet resistance.
In order to form metal silicide, metal 111, such as nickel (Ni), titanium (Ti) or cobalt (Co), be first deposited to silicon face, as shown in Figure 14B.Now, metal 111 is by PVD process deposits on substrate surface, instead of optionally deposits to silicon or dielectric area.Then metal is allowed to anneal to form metal silicon alloy (silicide) at the substrate regions of metal and silicon or polysilicon contact.Dielectric layer does not form silicide.Responseless Metal Phase is optionally removed for silicide, comprises unreacted metal residual in metal in dielectric area and silicide regions.Or also can replace present Co or Ni depositing operation by non-conductive metal deposition.Advantage is that metal silicide layer can be thicker and provide better etch stopper characteristic and can allow the formation of contact between metal-metal.In order to non-conductive metal deposition can be carried out, need clean silicon surface, remove the oxide of primary silicon.After metal 111 optionally being deposited to silicon face 62,64, high-temperature heat treatment is carried out to substrate, such as about 800 DEG C to about 900 DEG C, to form metal silicide 113, as shown in Figure 14 C.Formed metal silicide 113 make contact 125 can with drain region 61 electrical communication, as shown in fig. 14d.
As mentioned above, before carrying out the non-electrical deposition of metal, effects on surface carries out preliminary treatment needs the surface carrying out ensureing to be formed non-electrical deposition under controllable environment not contact with oxygen.Figure 15 A shows a specific embodiment of the technological process 1500 generating metal silicide.In step 1501, remove metal pollutant from all dielectric surfaces; This can be completed by known method and chemicals.Step 1501 is optional steps, only needs when needing to pay close attention to surperficial metal pollutant.Then, in step 1502, the organic pollution of substrate surface is removed.As mentioned above, organic pollution can be removed by several wet method or dry process.Then, in step 1503, reduction silicon face with by the Reduction of Oxide of primary silicon for silicon.The oxidation of primary silicon is the process of a self limit; Therefore, oxide layer is very thin, does not need the step removing oxide before reducing process.As mentioned above, this reducing process can be hydrogen plasma process.
After surface reduction, the surface of silicon just can be carried out the non-of metal and electrically be deposited.In step 1505, by the metal selectives such as Ni, Ti or Co deposit to exposure silicon (comprising polysilicon) surface.This optionally metal deposition can be completed by non-electrical technique.After the non-electrical deposition completing metal, substrate carries out a board cleaning step 1507 optionally completed by known method and chemicals.Then, in step 1509, substrate carries out high-temperature process (or annealing) to form metal silicide.
Figure 15 B shows the specific embodiment of integrated system 1550.This integrated system 1550 comprises laboratory environment delivery module 1560, vacuum transfer module 1950 and controllable environment delivery module 1580.Laboratory environment delivery module 1560 is coupled with the substrate box 1561 that substrate 1555 is housed.In one embodiment, metal pollutant wet clean process is removed, the such as one of the wet clean process of removal metal pollutant described above.Wet clean process can carry out in room 1565, and room 1565 is coupled with laboratory environment delivery module 1560.Because this step is optional, so the room 1565 in Figure 15 B represents with dotted line.After removing metal pollutant, remove organic pollution.In one embodiment, organic pollution uses oxygen containing plasma process to remove, such as oxygen, water or ozone-plasma; This technique is carried out in reactor 1571, and reactor 1571 is coupled with this vacuum transfer module 1570, because oxygen plasma technique is a kind of low pressure dry process, so work in lower than under 1 holder air pressure.
Then, the reduction of the silicon face of the step 1503 of technological process 1500 can be carried out in reactor 1573.Then substrate is sent to next system and carries out metal deposition to form metal silicide (or metal silicide) in non-electrical reactor 1581.Substrate is through vacuum transfer module 1570 from reactor 1573, and load lock chamber 1575, and controllable environment delivery module 1580, finally enter reactor 1581 and process.This non-electrical metal deposition reaction device 1581 is equipped with rinsing/drying system.After metal has deposited, substrate can carry out an optional board cleaning step, as described in the processing step 1507 in Figure 15 A in wet cleaning indoor.After completing non-electrical deposition, substrate is sent into thermal reactor 1576, such as rapid thermal treatment (RTP) reactor, to form metal silicide.
Said system makes the substrate processing process needing low pressure dry process, high-pressure process, wet processing to mix can be integrated together to limit the contact with oxygen at the processing step of key.Figure 16 shows the how integrated schematic diagram of system.Laboratory environment delivery module can with substrate box, wet processing and not need to limit the dry process (or non-controllable environment) contacted with oxygen integrated.Vacuum transfer module can be integrated with low pressure dry process.Under vacuum transfer module works in vacuum environment, such as, lower than 1 holder air pressure; Therefore, be limited and controlled with the contact of oxygen.Load lock chamber I makes it possible to transmit substrate between laboratory environment delivery module and vacuum transfer module.Controllable environment delivery module can with wet processing, nearly atmospheric pressure technique and high-pressure process integrated." high pressure " this word is used for distinguishing with low pressure.High-pressure process refers to the technique higher than atmospheric pressure, such as aforesaid supercritical carbon dioxide process.In one embodiment, between high-pressure process room and controllable environment delivery module, load lock chamber (in figure not display) is had with transmission substrate efficient between delivery module and process chamber.Load lock chamber II is responsible for transmitting substrate between vacuum transfer module and controllable environment delivery module.The delivery module of controllable environment is full of inert gas, to limit the contact with oxygen with the inside reactor be attached thereto.Load lock chamber II inside also can be full of inert gas to exchange substrate with controllable environment delivery module.Although describe the present invention by several embodiment above, obviously for a person skilled in the art, by reading explanation above and research accompanying drawing, can various distortion, expansion, displacement be carried out to the present invention and equivalently replace.Therefore, the present invention includes all fall into real thought of the present invention and scope various distortion, expansion, displacement and equivalently to replace.In the claims, unless expressly stated, each element and/or step do not represent its specific operating sequence.

Claims (25)

1. one kind in an integrated system, substrate surface is anticipated, utilizes non-electrical depositing operation optionally deposition of cobalt-alloys material thin-layer with the copper surface of the thin copper film at substrate, thus promote the method for the electron mobility of this thin copper film, it is characterized in that, the method comprises:
In this integrated system, remove pollutant and the metal oxide of substrate surface;
In this integrated system, after removing pollutant and metal oxide, reducing environment is used to repair this substrate surface; And
In this integrated system, after repairing this substrate surface, substrate is transmitted and processes to utilize non-electrical depositing operation optionally deposition of cobalt-alloys material thin-layer on the copper surface of this thin copper film in controllable environment,
Be full of in wherein said controllable environment inert gas to be exposed in oxygen with restricting substrate thus the formation as far as possible reducing the oxide of the copper on copper surface to promote the non-electrical deposition of this cobalt-alloy material thin layer.
2. method according to claim 1, is characterized in that, wherein this substrate surface is repaired with containing hydrogen plasma, should be by hydrogen (H containing hydrogen plasma 2), ammonia (NH 3) or its combination producing.
3. method according to claim 1, it is characterized in that, wherein the oxygenate conversion of the copper on surface is the copper of substantially pure by this repairing substrate surface, and after having repaired substrate surface, substrate is transmitted and processes the generation of the oxide of the copper to reduce copper surface as far as possible in controllable environment.
4. method according to claim 3, is characterized in that, wherein having reduced after copper surface, restricting substrate transmit and in processing procedure with oxygen contact that to make this cobalt-alloy material thin layer optionally be deposited on this copper surperficial.
5. method according to claim 1, is characterized in that, wherein utilizes non-electrical depositing operation optionally to deposit this cobalt-alloy material thin layer on copper surface, with the adherence between the dielectric capping layers on the copper surface and thin copper film that promote thin copper film.
6. method according to claim 1, is characterized in that, this cobalt-alloy material is selected from CoWP, CoWB and CoWBP.
7. one kind is transmitted and treatment substrate under controllable environment, to make to utilize non-electrical depositing operation optionally deposition of cobalt-alloys material thin-layer on the copper surface of the thin copper film of substrate, thus promote the integrated system of the electron mobility of this thin copper film, it is characterized in that, this integrated system comprises:
Lab-ambient transfer chamber, can be conveyed into this integrated system by substrate in the substrate box be coupled with this lab-ambient transfer chamber;
The board cleaning reactor be coupled with this lab-ambient transfer chamber, wherein this board cleaning reactor clean substrate surface is to remove the metal-organic composite pollutant of substrate surface;
Vacuum transfer, under working in the vacuum lower than 1 holder air pressure, wherein has at least one vacuum process module to be coupled with this vacuum transfer;
Vacuum process module, in order to the organic pollution on clean substrate surface; Wherein this vacuum process module is in this at least one vacuum process module be coupled with this vacuum transfer, and under working in the vacuum lower than 1 holder air pressure;
Controllable environment transfer chamber, this controllable environment transfer chamber inside is full of the inert gas selected from one group of inert gas and is exposed in oxygen with restricting substrate thus reduces the formation of the oxide of the copper on copper surface to promote the non-electrical deposition of this cobalt-alloy material thin layer as far as possible, and at least one controllable environment processing module is coupled in this controllable environment transfer chamber;
The non-electrical depositing operation module of cobalt-alloy material, in order to remove metal pollutant and organic pollution at substrate surface, and after the oxide of copper surface removal copper, at this cobalt-alloy material thin layer of copper surface deposition of thin copper film, the non-electrical depositing operation module of this cobalt-alloy material is one in this at least one controllable environment processing module be coupled with this controllable environment transfer chamber, and be full of the inert gas selected from one group of inert gas, and there is fluid delivery system, process fluid in this fluid delivery system is through degassed process.
8. integrated system according to claim 7, it is characterized in that, also comprise: containing Process of Hydrogen Reduction module, Reduction of Oxide in order to the copper by copper remained on surface is copper, wherein should be coupled with this vacuum transfer containing Process of Hydrogen Reduction module, should containing Process of Hydrogen Reduction module work under the vacuum being less than 1 holder air pressure.
9. integrated system according to claim 7, it is characterized in that, also comprise the board cleaning reactor be coupled with this lab-ambient transfer chamber, wherein this board cleaning reactor cleans this substrate surface to remove the metal oxide of substrate surface, and wherein wet clean solution comprises one of citric acid, sulfuric acid or the sulfuric acid containing hydrogen peroxide.
10. integrated system according to claim 7, is characterized in that, also comprises:
First load lock chamber, be coupled with this vacuum transfer and this controllable environment transfer chamber, wherein this first load lock chamber assists substrate to transmit between this vacuum transfer and this controllable environment transfer chamber, under this first load lock chamber is configured to work in the vacuum lower than 1 holder air pressure, or be full of the inert gas selected from one group of inert gas with under working in the air pressure identical with this controllable environment transfer chamber; And
Second load lock chamber, be coupled with this vacuum transfer and this lab-ambient transfer chamber, wherein this second load lock chamber assists the transmission of substrate between this vacuum transfer and this lab-ambient transfer chamber, under this second load lock chamber is configured to work in the vacuum lower than 1 holder air pressure, or under working in laboratory environment.
11. integrated systems according to claim 7, is characterized in that, wherein at least one vacuum process module be coupled with this vacuum transfer of this vacuum transfer and this all works in and is less than contacting with restricting substrate and oxygen under 1 holder air pressure.
12. integrated systems according to claim 7, it is characterized in that, wherein this controllable environment transfer chamber controllable environment processing module that at least one is coupled with this controllable environment transfer chamber with this is all full of one or more inert gases selected from one group of inert gas contacting with restricting substrate and oxygen.
13. integrated systems according to claim 7, is characterized in that, wherein this substrate is transmitted and processes in this integrated system, the time contacted with oxygen with restricting substrate.
14. integrated systems according to claim 13, is characterized in that, the contact wherein limiting this substrate and oxygen decreases the sensitive time of deposition reaction and enhances the selectivity of cobalt-alloy material thin layer on copper surface and deposit.
15. integrated systems according to claim 7, is characterized in that, wherein this at least one processing module be coupled with this controllable environment transfer chamber makes this substrate can carry out dryly entering/do process, and wherein this substrate is sent into dry state and sent.
In an integrated system, anticipate substrate surface for 16. 1 kinds, to utilize non-electrical depositing operation optionally depositing metal layers on the silicon or polysilicon surface of substrate, thus form the method for metal silicide, it is characterized in that, the method comprises:
In this integrated system, remove the organic pollution of substrate surface;
In this integrated system, after removing organic pollution, reduce this silicon or polysilicon surface, with the Reduction of Oxide of the silicon by this silicon or polysilicon surface for silicon, wherein reduce after silicon or polysilicon surface, in the environment being full of inert gas, carry out the transmission of substrate and process to prevent the formation of the oxide of silicon thus to promote the non-electrical deposition of this metal level, reduction silicon or polysilicon surface are to strengthen the metal selective of silicon face; And in this integrated system, after reduction silicon or polysilicon surface, utilize non-electrical depositing operation selectivity to deposit this metal level at the silicon of substrate or polysilicon surface.
17. methods according to claim 16, is characterized in that, also comprise:
In this integrated system, after silicon face selectivity deposits this metal level, form metal silicide.
18. methods according to claim 16, is characterized in that, also comprise:
In this integrated system, before reduction silicon face, remove the metal pollutant of substrate surface.
19. methods according to claim 16, is characterized in that, wherein the hydrogeneous plasma deoxidization of this silicon or polysilicon surface, should be by hydrogen (H containing hydrogen plasma 2), ammonia (NH 3) or two kinds of gases mixture generate.
20. methods according to claim 16, is characterized in that, wherein this metal is selected from Ni or Co.
21. methods according to claim 16, it is characterized in that, in this integrated system, by vacuum environment or be full of inert gas environment in transmit and to reduce to control substrate and contacting of oxygen with process after silicon face, this substrate carries out transmitting and processing under controllable environment.
22. methods according to claim 17, is characterized in that, wherein this metal silicide reacts in (RTP) system a Rapid Thermal and generates.
23. 1 kinds for the treatment of substrates under controllable environment, thus make it possible to utilize non-electrical depositing operation optionally depositing metal layers at the silicon face of substrate, to form the integrated system of metal silicide, it is characterized in that, this integrated system comprises:
Lab-ambient transfer chamber, can be conveyed into this integrated system by substrate in the substrate box be coupled with this lab-ambient transfer chamber;
Vacuum transfer, under working in the vacuum lower than 1 holder air pressure, wherein has at least one vacuum process module to be coupled with this vacuum transfer;
Vacuum process module, in order to remove the organic pollution of substrate surface, wherein this is in this at least one vacuum process module be coupled with this vacuum transfer one by this vacuum process module removing organic pollution, and under working in the vacuum lower than 1 holder air pressure;
Vacuum processing chamber, in order to reduce silicon face, wherein this this vacuum process module being used for reduction silicon face is in this at least one vacuum process module be coupled with this vacuum transfer, and under working in the vacuum lower than 1 holder air pressure;
Controllable environment transfer chamber, inside is full of the inert gas selected from one group of inert gas and is exposed to from preventing the formation of the oxide of silicon to promote the non-electrical deposition of this metal level in oxygen with restricting substrate, and has at least one controllable environment processing module to be coupled with this controllable environment transfer chamber; And
The non-electrical depositing operation module of metal, in order to having reduced after silicon face, at silicon face optionally plated metal thin layer, the non-electrical depositing operation module of this metal is one in this at least one controllable environment processing module be coupled with this controllable environment transfer chamber.
24. integrated systems according to claim 23, is characterized in that, wherein this vacuum processing chamber being used for being formed metal silicide is RTP room.
25. integrated systems according to claim 23, it is characterized in that, wherein this controllable environment transfer chamber controllable environment processing module that at least one is coupled with this controllable environment transfer chamber with this is all full of one or more inert gases selected from one group of inert gas to control contacting of substrate and oxygen.
CN200780032409.XA 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition Active CN101558186B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/514,038 2006-08-30
US11/514,038 US8241701B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a barrier surface for copper deposition
US11/513,446 US8747960B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US11/513,634 2006-08-30
US11/513,446 2006-08-30
PCT/US2007/018270 WO2008027216A2 (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310011701.0A Division CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit

Publications (2)

Publication Number Publication Date
CN101558186A CN101558186A (en) 2009-10-14
CN101558186B true CN101558186B (en) 2015-01-14

Family

ID=41202298

Family Applications (2)

Application Number Title Priority Date Filing Date
CN200780032409.XA Active CN101558186B (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition
CN201310011701.0A Active CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310011701.0A Active CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit

Country Status (5)

Country Link
JP (2) JP5489717B2 (en)
CN (2) CN101558186B (en)
MY (2) MY171542A (en)
SG (1) SG174752A1 (en)
TW (1) TWI393186B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP2012054306A (en) * 2010-08-31 2012-03-15 Tokyo Electron Ltd Manufacturing method of semiconductor device
JP5560144B2 (en) * 2010-08-31 2014-07-23 東京エレクトロン株式会社 Manufacturing method of semiconductor device
KR20130092570A (en) * 2010-08-31 2013-08-20 도쿄엘렉트론가부시키가이샤 Method for manufacturing semiconductor device
CN102468265A (en) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Connection plug and manufacturing method thereof
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US9040385B2 (en) * 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
JP2017500212A (en) * 2013-10-22 2017-01-05 トーソー エスエムディー,インク. Optimized textured surface and optimization method
US20170148739A1 (en) * 2014-06-16 2017-05-25 Jeanette M. Roberts Selective diffusion barrier between metals of an integrated circuit device
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
JP6842159B2 (en) * 2016-12-13 2021-03-17 サムコ株式会社 Plasma processing method
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
KR102301933B1 (en) * 2018-12-26 2021-09-15 한양대학교 에리카산학협력단 Fabricating method of Semiconductor device
JP7203995B2 (en) * 2019-09-25 2023-01-13 東京エレクトロン株式会社 SUBSTRATE LIQUID PROCESSING METHOD AND SUBSTRATE LIQUID PROCESSING APPARATUS

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
JP2001326192A (en) * 2000-05-16 2001-11-22 Applied Materials Inc Film-forming method and film-forming device
US6475893B2 (en) * 2001-03-30 2002-11-05 International Business Machines Corporation Method for improved fabrication of salicide structures
JP2003034876A (en) * 2001-05-11 2003-02-07 Ebara Corp Catalytic treatment liquid and method for electroless plating
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
JP2004363155A (en) * 2003-06-02 2004-12-24 Ebara Corp Method and device for manufacturing semiconductor device
JP2005116630A (en) * 2003-10-03 2005-04-28 Ebara Corp Wiring forming method and apparatus thereof
JP2007042662A (en) * 2003-10-20 2007-02-15 Renesas Technology Corp Semiconductor device
US20050095855A1 (en) * 2003-11-05 2005-05-05 D'urso John J. Compositions and methods for the electroless deposition of NiFe on a work piece
JP4503356B2 (en) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 Substrate processing method and semiconductor device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module

Also Published As

Publication number Publication date
TWI393186B (en) 2013-04-11
CN103107120B (en) 2016-06-08
SG174752A1 (en) 2011-10-28
MY148605A (en) 2013-05-15
JP5489717B2 (en) 2014-05-14
MY171542A (en) 2019-10-17
JP2010503205A (en) 2010-01-28
JP5820870B2 (en) 2015-11-24
CN103107120A (en) 2013-05-15
TW200832556A (en) 2008-08-01
JP2014099627A (en) 2014-05-29
CN101558186A (en) 2009-10-14

Similar Documents

Publication Publication Date Title
CN101558186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
TWI591761B (en) Selective capping of metal interconnect lines during air gap formation
US7205228B2 (en) Selective metal encapsulation schemes
CN101511494B (en) Preparation method and device of copper interconnection resistance surface
US20030034251A1 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US7592259B2 (en) Methods and systems for barrier layer surface passivation
KR101506352B1 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
KR20020000237A (en) Method of forming a metal wiring in a semiconductor device
JP2007180496A (en) Manufacturing method of metallic seed layer
JP2002270609A (en) Method and apparatus for manufacturing semiconductor device
CN1149654C (en) Method and structure for contact to copper metallization in insulating via on semiconductor
JP2005536628A (en) Electroless deposition method
KR100219061B1 (en) Method for forming metal interconnection layer of semiconductor device
CN1917169A (en) Method for forming barrier layer on copper metal without use of electrodeposition
KR20000038130A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant