CN104513973A - 通过脉冲低频射频功率获得高选择性和低应力碳硬膜 - Google Patents

通过脉冲低频射频功率获得高选择性和低应力碳硬膜 Download PDF

Info

Publication number
CN104513973A
CN104513973A CN201410513782.9A CN201410513782A CN104513973A CN 104513973 A CN104513973 A CN 104513973A CN 201410513782 A CN201410513782 A CN 201410513782A CN 104513973 A CN104513973 A CN 104513973A
Authority
CN
China
Prior art keywords
low frequency
frequency power
ahm
pulsed
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410513782.9A
Other languages
English (en)
Other versions
CN104513973B (zh
Inventor
斯利士·K·雷迪
季春海
陈欣怡
普拉莫德·苏布拉莫尼姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201810585572.9A priority Critical patent/CN109023311B/zh
Publication of CN104513973A publication Critical patent/CN104513973A/zh
Application granted granted Critical
Publication of CN104513973B publication Critical patent/CN104513973B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

提供了使用等离子体增强化学气相沉积形成高蚀刻选择性、低应力的可灰化硬膜的方法。在某些实施方式中,所述方法涉及在使用双射频等离子体源沉积可灰化硬膜期间在保持高频射频功率恒定的同时使低频射频功率脉动。根据各种实施方式,低频射频功率可以在非零水平之间脉动或者通过开启和关闭低频射频功率而脉动。所得的沉积的高选择性可灰化硬膜由于一个或多个因素可以具有减小的应力,这些因素包括在可灰化硬膜上减少的离子和原子轰击以及陷入可灰化硬膜中更低水平的氢。

Description

通过脉冲低频射频功率获得高选择性和低应力碳硬膜
技术领域
本发明涉及半导体加工制造领域,尤其涉及形成可灰化硬膜的方法。
背景技术
在包括存储器和逻辑器件制造的半导体加工中,非晶碳薄膜可以用作硬膜和蚀刻终止层。这些薄膜因为可以通过灰化技术去除,所以也称为可灰化硬膜(AHM)。随着平版印刷的纵横比增加,AHM要求更高的蚀刻选择性。通过使用等离子体增强化学气相沉积(PECVD)方法形成高选择性AHM的现有方法得到具有高应力的AHM,从而限制了AHM作为硬膜的可用性。因此,希望生产具有高蚀刻选择性和低应力的AHM。
发明内容
提供了通过减小应力水平且增加蚀刻选择性的等离子体增强化学气相沉积(PECVD)沉积可灰化硬膜(AHM)的新方法,这种可灰化硬膜用于包括存储器和逻辑器件制造的半导体加工中。在各种实施方式中,所述方法可以涉及:使半导体衬底上的层暴露于包括碳氢化合物前体的工艺气体;并且在通过PECVD沉积期间通过在维持恒定高频(HF)射频功率的同时使低频(LF)射频功率脉动而使用双射频(RF)源来产生等离子体。可以沉积在AHM上的层的实例包括介电层,例如,氧化物和氮化物以及多晶硅层。根据各种实施方式,使射频功率脉动可以涉及在非零水平之间调制或者开启和关闭低频功率。在一些实施方式中,低频功率在约2Hz与约200Hz之间的频率脉动。沉积可以在高或低工艺温度下进行。
在一个方面,提供了沉积高选择性、低应力AHM的方法。根据各种实施方式,在通过引入前体气体进行沉积期间,低频射频功率在高频射频功率恒定时脉动。在一些实施方式中,沉积的AHM的氢含量在约10%与约25%之间,例如,约18%。在某些实施方式中,沉积的AHM的蚀刻选择性在约3.6与约4.4之间。
另一方面涉及在半导体衬底上形成非晶碳层的方法。在各种实施方式中,在低频射频功率脉动且高频功率恒定时,使用双射频等离子体源沉积非晶碳层。在一些实施方式中,低频功率在非零水平之间脉动。在一些实施方式中,通过开启和关闭低频功率使低频脉动。在某些实施方式中,低频功率在约2Hz与约10Hz之间的频率脉动。沉积可以在高或低工艺温度下进行。
另一方面涉及一种被配置成加工半导体基板的设备。根据各种实施方式,所述设备包括:沉积室,包括喷头、衬底支架和一个或多个气体入口;双射频等离子体发生器,具有高频成分和低频成分,被配置成供应射频功率到沉积室;以及控制器。所述控制器被配置成控制所述设备中的操作,并且包括计算机可读指令,所述计算机可读指令用于:使包括碳氢化合物前体气体的工艺气体流到沉积室;供应双射频射频功率到沉积室以点燃等离子体;并且在保持高频功率恒定时使低频功率脉动。
以下参照附图进一步描述这些和其他方面。
附图说明
图1是工艺流程图,示出了根据各种实施方式的在蚀刻操作中使用可灰化硬膜的方法的相关操作。
图2是工艺流程图,示出了根据各种实施方式的通过调制双射频等离子体发生器形成可灰化硬膜的方法的相关操作。
图3示出了根据各种实施方式的时序图。
图4示出了适用于进行各种实施方式的等离子体增强化学气相沉积(PECVD)室的示意性图示。
图5是示出了用于在低温沉积可灰化硬膜的应力和弹性模量结果的曲线图。
图6是示出了用于在高温沉积可灰化硬膜的应力和弹性模量结果的曲线图。
图7是示出了通过热脱附谱测量氢气(H2)的逸气的曲线图。
具体实施方式
在以下描述中,阐述了许多具体细节以便提供对提出的实施方式的透彻理解。公开的实施方式可以在没有一些或所有的这些具体细节的情况下实施。在其他实例中,未详细描述公知的方法操作以便不会不必要地模糊公开的实施方式。尽管将会结合具体实施方式描述公开的实施方式,但是应当理解,这些实施方式并不旨在限制公开的实施方式。
在半导体加工中,掩模方法用于图案化和蚀刻衬底。随着衬底的纵横比增加,对高选择性硬膜的要求越来越高。具有高蚀刻选择性又容易去除而不会损坏衬底的掩模对于加工衬底很重要。在蚀刻终止层或在选择性蚀刻期间,或者光致抗蚀剂可能不够厚而不足以成为下层的掩模的情况下,可灰化硬膜(AHM)可用作掩模。AHM也可以用在用于显示器和其他技术的玻璃衬底上。
AHM具有一种化学组成,这种化学组成允许一旦AHM完成了它们的目的就可以通过被称为“灰化”、“等离子体灰化”或“干剥离”的技术来去除。AHM的一个实例是非晶碳层或膜。AHM一般由碳和氢构成,任选地,具有微量的一种或多种掺杂元素(例如,氮、氟、硼和硅)。AHM的键合结构取决于沉积条件可以在sp2(石墨形态)或sp3(金刚石形态)或两者的组合之间变化。
图1是工艺流程图,示出了在蚀刻操作中使用AHM作为硬膜的方法的相关操作。在AHM沉积之前,具有有待蚀刻的层的衬底可以设置在沉积室中。尽管以下描述主要涉及半导体衬底,但是该方法也可以应用于在包括玻璃衬底的其他类型的衬底上的层。可以使用AHM掩盖的材料的实例包括氧化物(例如,SiO2)和氮化物(SiN和TiN)、多晶硅(多晶Si)和例如铝(Al)、铜(Cu)和钨(W)的金属。在某些实施方式中,本文所述的AHM用于图案化氧化物、氮化物或多晶硅层。
在操作102中,可灰化硬膜沉积在将使用等离子体增强化学气相沉积(PECVD)蚀刻的层上。PECVD工艺涉及在沉积室中产生等离子体。按照以下参照图2的进一步描述,可以使用包括高频(HF)功率和低频(LF)功率的双射频(RF)等离子体源。在一些工艺中,沉积一个或多个AHM层。
在操作104中,根据所需的蚀刻图案沉积、曝光并显影光致抗蚀剂层。在一些实施方式中,在光致抗蚀剂沉积之前,可以在AHM上沉积抗反射层(ARL)。
在操作106中,通过蚀刻AHM的暴露部分来打开AHM。打开AHM可以通过富氟干蚀刻来实现。
接着,在操作108中,选择性地蚀刻衬底层,从而将图案转移到衬底层上。可以执行选择性蚀刻使得在基本上不减小AHM壁的情况下蚀刻衬底层。蚀刻的实例可以包括自由基蚀刻和/或基于离子蚀刻。蚀刻化学物的实例可以包括基于卤素的蚀刻化学物,例如,含氟和含氯蚀刻化学物。例如,从含氟碳化合物的工艺气体产生的电容耦合等离子体可以用于选择性蚀刻氧化层。工艺气体的具体实例包括含CxFy的工艺气体,任选地具有氧气(O2)和惰性气体,例如,C4H8/CH2F2/O2/Ar。
最后,在操作110中,被称为灰化、等离子体灰化或干法剥离的技术用于去除AHM。通过富氧干法蚀刻可以执行灰化。通常,氧气被引入处于真空条件下的室中,并且射频功率在等离子体中形成氧离子,从而与AHM发生反应并将其氧化以形成水(H2O)、一氧化碳(CO)和二氧化碳(CO2)。任选地,任何剩余的AHM残余物在灰化之后也可以通过湿法或干法蚀刻工艺去除。结果是所需的图案化衬底层。
高纵横比图案化使用具有高蚀刻选择性的AHM。蚀刻选择性可以通过AHM层的蚀刻速率/下层的蚀刻速率来确定。通过确定AHM层的弹性模量或刚度也可以估计蚀刻选择性。刚度更高或弹性模量更高的AHM能在涉及更多离子轰击的蚀刻过程中承受更高的蚀刻速率。因此,具有更高弹性模量的AHM具有更高的选择性和更低的蚀刻速率,并且可以更高效且更有效地用于加工高纵横比的半导体工艺。所需的AHM的蚀刻选择性和弹性模量可以取决于蚀刻工艺和下层的组成,但是不论蚀刻工艺和下层的组成,蚀刻选择性与弹性模量(例如,更高的弹性模量用于更高的蚀刻选择性)之间的相关性保持不变。本文所述的弹性模量-选择性相关性适用于所有类型的下层,包括多晶硅层、氧化物层和氮化物层。
目前已知高选择性AHM具有非常高的应力水平。用于形成AHM的当前方法在PECVD工艺中使用连续波射频功率等离子体。使用连续波射频功率导致连续离子轰击,这增加了薄膜密度,从而通过在原子之间形成更多的sp3键来增加蚀刻选择性。然而,连续的离子轰击也可以将过多的未束缚的氢离子并入薄膜中并且引起高原子量离子的高离子轰击。这会增大沉积的AHM的应力,从而限制了AHM应用,因为高应力AHM更有可能塌陷或压缩。增大的应力水平也使激光准直更困难。
根据各种实施方式,本文提供了用于形成具有高选择性和低应力的AHM的方法。这些方法得到在给定应力水平具有提高的选择性或者在给定选择性具有减小的应力水平的AHM,因此提高在半导体加工中的AHM的性能。
图2示出了工艺流程图,示出了根据各种实施方式的通过调节双射频等离子体功率形成AHM的方法的相关操作。在操作202,通过在室中提供衬底而开始方法200。以上参照图1描述了衬底和衬底层的实例。在图1中,描述了使用AHM作为硬膜的整合方法。在一些其他实施方式中,本文所述的AHM也用作除硬膜之外或代替硬膜的蚀刻终止层。然后在操作204中,衬底暴露于包含碳氢化合物前体的工艺气体。在一些实施方式中,碳氢化合物前体可以是由CxHy限定的碳氢化合物前体,其中X是2至10之间的整数,Y是2至24之间的整数。实例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。
在一些实施方式中,可以引入两种或更多种碳氢化合物前体。除碳氢化合物前体之外,载气可用于稀释前体气流。载气可以是任何合适的载气,包括氦气(He)、氩气(Ar)、氮气(N2)、氢气(H2)或它们的任意组合。
沉积室中的总压强可以在约1托与约20托之间的范围内。在一些实施方式中,压强在约5托与约10托之间。在一些实施方式中,碳氢化合物前体在低压条件下引入,例如,在约0.01托与约4托之间,详见于美国专利第7,981,777号和第7,981,810号,这两份专利通过引用的方式并入本文中。在某些实施方式中,分压不高于约0.2托。
前体气流速率取决于特定的沉积室和衬底。用于4个300mm衬底的流速的实例为在约200sccm与4000sccm之间的乙炔,在约1000sccm与约20000sccm之间的氢气,以及在约1000sccm与约20000sccm之间的氦气。
本文所述的流速和射频功率适用于用于300mm晶圆的四工位设备。功率水平和流速一般与工位数量和衬底面积成线性关系。流速和功率可以以单位面积来表示,例如,2500W也可以表示为0.884W/cm2
本文所述的方法可以使用任何合适的工艺温度以获得所需的AHM特征,实例为从约50℃至约550℃的范围。由于sp2键与sp3键生成,工艺温度至少可以部分地影响应力、选择性和透明度。更高的温度有利于形成富sp2的非晶碳网络,因为高温允许C-H键容易断裂以及后续的氢扩散。例如,在高于约500℃的温度沉积的薄膜可以具有比sp3键显著更多的sp2CH键和CH2键,具有更高的碳含量和更高的密度,这与增加的蚀刻选择性有关。然而,这些薄膜由于更低的光学带隙和sp2碳可能不适用于厚硬膜应用。例如,在及以上,薄膜可能不够透明而足以用于掩模校准。例如,633nm激光通常可用于透明薄膜和不太透明的薄膜。以上引用的美国专利第7,981,810号提供了用于在低温和/或稀释的碳氢化合物前体流量沉积选择性且透明的AHM的工艺条件。在例如低于约400℃的低温下沉积的AHM可以具有比在更高的温度沉积的薄膜具有更少的sp2键。
接着,在操作206中,可灰化硬膜通过PECVD工艺沉积在衬底上,PECVD工艺是通过使用包括低频(LF)成分和高频(HF)成分的双射频等离子体源来点燃等离子体。在一些实施方式中,实施方式的方法使用LFRF功率来产生高能离子轰击。低频射频功率指的是频率在约100kHz与约2MHz之间的低频功率。在一些实施方式中,脉冲频率会受到低频发生器的工作性能的限制。在一些实施方式中,低频射频功率具有频率约为400kHz,例如430kHz的射频功率。在沉积期间,在一些实施方式中,低频功率范围在约0.001W/cm2与约0.05W/cm2之间,表示成每平方厘米衬底表面积的功率W。在一些实施方式中,射频功率范围在约0与约1.25W/cm2之间。高频射频功率指的是频率在约2MHz与约60MHz之间的低频功率。在一些实施方式中,高频射频功率具有约13.56MHz的频率的射频功率。在沉积期间,在一些实施方式中,单位衬底面积的高频功率在约0.001W/cm2与约0.05W/cm2之间的范围内。在一些实施方式中,单位衬底面积的射频功率在约0.05W/cm2至1.25W/cm2之间的范围内。
接着,在操作208中,低频功率在高频功率恒定时脉动。在各种实施方式中,通过开启和关闭低频功率使低频功率脉动。在某些实施方式中,低频功率在约0W/cm2与约0.05W/cm2之间脉动。在各种实施方式中,通过在非零功率水平之间的低频切换使低频功率脉动。在一些实施方式中,低频功率在约0W/cm2与约0.02W/cm2之间脉动。在许多实施方式中,高频射频和低频射频的功率足以维持等离子体。
用于低频脉动的占空比(在低频开启或处于高功率期间的时间分数)在约10%至约70%的范围内。在各种实施方式中,低频功率在约2Hz与约10Hz之间的频率脉动。在一些实施方式中,低频功率在至少约10Hz,或至少约20Hz,或至少约100Hz,或至少约200Hz的频率脉动。
前体气流也可以相对于低频功率脉动以同步或非同步方式脉动。气体脉动可以与射频脉动结合,这可以进一步改变薄膜性能以提高蚀刻选择性。例如,工艺气体可以以50%的占空比或0.5s的脉冲宽度脉冲。根据各种实施方式,工艺气体的脉冲频率在约0.05Hz与约1Hz之间。
在一些实施方式中,高频和低频成分可以以同步方式脉动。如果高频成分脉动,那么高频成分从高功率脉动到低功率且不会关闭以避免等离子体壳层塌陷。可替代地,仅低频射频功率脉动可有利于形成更稳定的等离子体。
图3示出了通过PECVD沉积AHM的一些实施方式的时序图,PECVD使用低频功率在2Hz脉动、脉动宽度约0.5s且低频功率开启约0.2s关闭约0.3s的双射频等离子体源。图3示出了三个脉冲。在其他实施方式中,脉冲频率可以在约2Hz与约200Hz之间。前体气流、压力、载气流和射频功率的工艺参数是恒定的。
脉动的低频功率使在层上轰击的离子和原子松弛,从而导致层上的应力更低。这种使在层上轰击的离子和原子松弛优化了薄膜的致密化,并且减少了层中的氢含量。因此,减少了层上的应力。
根据各种实施方式,沉积的AHM具有相对于下层在约3.6:1与约4.4:1之间的蚀刻选择性。在一些实施方式中,沉积的AHM具有约18%的氢含量。在一些实施方式中,沉积的AHM具有小于约15%,小于约10%,或小于约5%的氢含量。
在一些实施方式中,沉积的AHM具有1:1的弹性模量应力比。在一些实施方式中,沉积的AHM的弹性模量约为70GPa,并且应力约为-80MPa。
参见图2,继续操作206和208,直到沉积所需厚度的薄膜。根据各种实施方式,沉积厚度在约与约之间的薄膜。在一些实施方式中,薄AHM层可以沉积约与约之间的厚度。
设备
实施方式可以在等离子体增强化学气相沉积(PECVD)反应器中实施。这种反应器可以采用多种不同形式。各种实施方式与现有的半导体加工设备兼容,特别是,PECVD反应器,例如购自Lam Research Corporation的SeuelTM或VectorTM反应室。各种实施方式可以在多工位或单工位设备上实施。在具体实施方式中,使用具有4工位沉积方案的300mm的LamVectorTM设备或者具有6工位沉积方案的200mm的SequelTM设备。
一般来讲,设备会包括一个或多个室或反应器,每个室或反应器包括一个或多个工位。室会容纳一个或多个晶圆并且适用于晶圆加工。一个或多个室通过防止旋转、振动或其他搅动而将晶圆维持在限定的一个或多个位置。在一些实施方式中,正在进行AHM沉积的晶圆在工艺期间在室内从一个位置转移到另一个位置。例如,根据各种实施方式,AHM沉积可以完全在一个工位进行,或者薄膜可以在四个工位的每个工位沉积。可替代地,总薄膜厚度的任何其他部分可以在任意数量的工位沉积。在沉积超过一个AHM的各种实施方式中,可以使用超过一个工位来沉积每个AHM层。在加工期间,每个晶圆通过底座、晶圆卡盘和/或其他晶圆固定设备保持在位。对于将要加热晶圆的某些操作,设备可以包括例如加热板的加热器。
图4示出了适用于进行各种实施方式的PECVD的示意性图示。如图所示,反应器400包括加工室424,该加工室封闭其他反应器组件并且容纳由电容器型系统产生的等离子体,该系统包括与接地的加热部件420结合工作的喷头414。高频射频发生器404和低频射频发生器402连接至匹配网络406,该匹配网络进一步连接至喷头414。匹配网络406供应的功率和频率足以从工艺气体产生等离子体。控制器提供用于使前体气体流入、在高频射频发生器404切换高频功率以及在低频射频发生器402开启和关闭低频功率或在高、低之间切换的机器可读指令。控制器428执行存储在大容量存储设备、加载到存储设备中并且在处理器上运行的机器可读系统控制软件,使得设备会执行根据本实施方式的方法。可替代地,控制逻辑可以硬编码在控制器428中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列或FPGA)等可以用于这些目的。无论何处使用“软件”或“代码”,都可以使用功能上相当的硬编码逻辑来代替。
在反应器内,晶圆支架418固定衬底416。基座通常包括卡盘、拨块或升降销以在沉积和/或等离子体处理反应期间或间隔夹持并转移衬底。卡盘可以是静电卡盘、机械卡盘或各种其他类型的卡盘,只要在工业和/或研究中可用。
工艺气体经由入口412引入。多源气线410连接至歧管408。气体可以任选地经过预混合。采用合适的阀门和质量流控制机构来确保在工艺期间输送正确的气体。在化学前体以液体形式输送的情况中,采用液体流控制机构。然后液体在达到沉积室之前在歧管中输送期间被加热到其蒸发点以上而蒸发并与其他工艺气体混合。
工艺气体经由出口422流出室400。真空泵426(例如,一级或两级机械式干泵和/或涡轮分子泵)通过闭环控制的流量限制装置(例如,节流阀或摆阀)通常抽出工艺气体并且在反应器内维持稳定的低压。
实验
提供以下实施例用于进一步说明各种实施方式的多个方面。这些实例用于例示且更清楚地说明多个方面,但并非旨在限制。以下描述的射频(RF)功率水平用于300mm晶圆用的四工位设备。
收集图2中的工艺的实施方式的实验数据。图5示出了对于低温AHM沉积,AHM的弹性模量GPa是应力MPa的函数。
AHM沉积在衬底的介电膜上,使用C2H2作为前体,以及N2和He作为载气。在不使用低频调制的情况下,对AHM弹性模量和沉积形成的应力进行测量。这些数据在图5中由菱形点和实线表示。载气流、室压、工艺温度和前体工艺气流保持恒定。使用表1的参数。
表1.低温非调制AHM沉积
使用PECVD方法,采用连续波等离子体生成来沉积AHM。使用13.56MHz频率的高频功率和430kHz频率的低频功率产生等离子体源。高频功率为0.35W/cm2,并且低频功率为0.42W/cm2。低频功率无脉动,在AHM沉积期间高频功率和低频功率两者开启且恒定。
在使用低频调制的情况下,对AHM弹性模量和沉积形成的应力进行测量。数据在图5中由圆形点和虚线表示。载气流、室压力、工艺温度和前体工艺气流保持恒定。使用表2的参数。
表2.低温低频调制AHM沉积
使用13.56MHz频率的高频功率和430kHz频率的低频功率产生双射频等离子体源。高频功率为0.35W/cm2,并且低频功率在0W/cm2与0.42W/cm2之间脉动。低频功率在2Hz的频率脉动。
对非脉动AHM和脉动AHM两者测量应力和弹性模量,并且结果如图5所示。总而言之,对于给定应力,例如-450MPa,低频脉动AHM的弹性模量更高。这意味着薄膜的刚度增加,并且因此蚀刻选择性增加。对于任何给定弹性模量,总应力减小。例如,对于60GPa的AHM弹性模量,总应力从-450MPa减小到-150MPa,意味着,随着应力接近0MPa,整个AHM的压缩程度更小。
收集图6中的工艺的另一个实施方式的实验数据。图6示出了在高温下AHM相对于在OPOP(氧化物/多晶硅堆)蚀刻中沉积的多晶硅的蚀刻选择性是应力MPa的函数。
收集用于使用连续波等离子体生成沉积的AHM的应力和选择性的数据。这些数据在图6中由菱形点和实线表示。多晶硅衬底层在550℃暴露于具有载气He和N2的前体工艺气体C2H2。载气流、室压、工艺温度和前体工艺气流保持恒定。低频脉动使AHM蚀刻选择性增大200%。使用以下参数:
表3.高温非调制AHM沉积
使用13.56MHz频率的高频功率和430kHz频率的低频功率产生双射频等离子体源。高频功率为0.22W/cm2,低频功率为0.22W/cm2。低频功率无脉动,在AHM沉积期间高频功率和低频功率两者开启且恒定。
接着,收集用于使用脉动低频PECVD沉积的应力和AHM蚀刻选择性的数据。这些数据在图6中由圆形点表示。多晶硅衬底层在550℃暴露于前体工艺气体C2H2。载气流、室压、工艺温度和前体工艺气流恒定。使用以下参数:
表4.高温低频调制AHM沉积
使用13.56MHz频率的高频功率和430kHz频率的低频功率产生双射频等离子体源。高频功率为0.22W/cm2,并且低频功率在0W/cm2与0.22W/cm2之间脉动。低频功率在2Hz的频率脉动。
测量连续波AHM和低频脉动AHM的蚀刻选择性。结果如图6所示。总而言之,在指定的应力水平下,低频脉动AHM薄膜的总体选择性比连续波等离子体AHM薄膜具有更高的相对于多晶硅的选择性。这意味着沉积工艺中的低频脉动增加了蚀刻选择性,从而显著提高了AHM技术。
为了确定常规连续波等离子体AHM和低频脉动AHM的AHM分子组成,使用热脱附谱(TDS)方法。图7示出了使用连续波等离子体和低频脉动等离子体沉积的AHM两者的TDS结果。在更高的温度,沉积的AHM薄膜比使用连续波等离子体沉积的AHM具有更少的H2逸气,从而意味着更少的氢含量留在AHM上。由于AHM中的氢含量更少,AHM具有更稳定的分子结构,并且因此具有更高的选择性和提高的AHM性能。
总结
尽管为了理解清楚的目的描述了上述实施方式的一些细节,但是应当认识到,在所附权利要求书的范围内可以进行某些变化和修改。应该指出的是,实施本实施方式的过程、系统和设备的替代方式有很多。因此,本发明应当看成是说明性的而不是限制性的,并且实施方式不限于本文给出的细节。

Claims (15)

1.一种形成可灰化硬膜的方法,包括:
使半导体衬底暴露于包括碳氢化合物前体气体的工艺气体;并且
通过使用等离子体的等离子体增强化学气相沉积(PECVD)在所述衬底上沉积可灰化硬膜,所述等离子体是由包括高频(HF)成分和低频(LF)成分的双射频(RF)等离子体源产生的,
其中在沉积期间,高频功率在低频功率脉动时恒定。
2.根据权利要求1所述的方法,其中所述低频功率在非零功率水平之间脉动。
3.根据权利要求1所述的方法,其中通过开启和关闭所述低频功率使所述低频功率脉动。
4.根据权利要求1所述的方法,其中低频射频功率的频率约为400kHz。
5.根据权利要求1至4的任一项所述的方法,其中所述低频功率在约2Hz与约200Hz之间的频率脉动。
6.根据权利要求1至4的任一项所述的方法,其中所述低频功率在约2Hz与约10Hz之间的频率脉动。
7.根据权利要求1至4的任一项所述的方法,其中所述沉积的硬膜的弹性模量比应力为1。
8.根据权利要求1至4的任一项所述的方法,其中所述沉积的硬膜对氧化物、氮化物或多晶硅层具有在约3.6与4.4之间的蚀刻选择性。
9.根据权利要求1至4的任一项所述的方法,其中所述沉积的硬膜具有小于约15%的氢含量。
10.根据权利要求1至4的任一项所述的方法,其中所述可灰化硬膜在约275℃与550℃之间的工艺温度沉积。
11.一种形成非晶碳层的方法,包括:
使半导体衬底暴露于包括碳氢化合物前体气体的工艺气体;
通过使用等离子体的等离子体增强化学气相沉积(PECVD)工艺在所述衬底上沉积非晶碳层,所述等离子体是由包括高频(HF)成分和低频(LF)成分的双射频(RF)等离子体源产生的,
其中在沉积期间,高频功率在低频功率脉动时恒定。
12.根据权利要求11所述的方法,其中所述低频功率在非零功率水平之间脉动。
13.根据权利要求11所述的方法,其中通过开启和关闭所述低频功率使所述低频功率脉动。
14.根据权利要求11至13的任一项所述的方法,其中所述低频功率在约2Hz与约10Hz之间的频率脉动。
15.一种被配置成加工半导体基板的设备,所述设备包括:
(a)沉积室,包括:
喷头,
包括高频(HF)成分和低频(LF)成分的双射频(RF)等离子体发生器,
匹配网络,
衬底支架,以及
一个或多个气体入口;以及
(b)用于控制所述设备中的操作的控制器,包括计算机可读指令,所述计算机可读指令用于:
使半导体衬底暴露于包括碳氢化合物前体气体的工艺气体,
开启高频功率,
使低频功率脉动,并且
通过等离子体增强化学气相沉积(PECVD)工艺在所述衬底上沉积可灰化硬膜;
其中所述高频功率在低频功率脉动时恒定。
CN201410513782.9A 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜 Active CN104513973B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810585572.9A CN109023311B (zh) 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361884832P 2013-09-30 2013-09-30
US61/884,832 2013-09-30
US14/248,046 2014-04-08
US14/248,046 US9589799B2 (en) 2013-09-30 2014-04-08 High selectivity and low stress carbon hardmask by pulsed low frequency RF power

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810585572.9A Division CN109023311B (zh) 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Publications (2)

Publication Number Publication Date
CN104513973A true CN104513973A (zh) 2015-04-15
CN104513973B CN104513973B (zh) 2018-07-06

Family

ID=52740580

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810585572.9A Active CN109023311B (zh) 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
CN201410513782.9A Active CN104513973B (zh) 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810585572.9A Active CN109023311B (zh) 2013-09-30 2014-09-29 通过脉冲低频射频功率获得高选择性和低应力碳硬膜

Country Status (4)

Country Link
US (1) US9589799B2 (zh)
JP (2) JP6527677B2 (zh)
KR (2) KR102447424B1 (zh)
CN (2) CN109023311B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106148917A (zh) * 2015-04-03 2016-11-23 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
CN110835748A (zh) * 2018-08-17 2020-02-25 Spts科技有限公司 沉积氮化硅的方法和设备
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113891954A (zh) * 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US20200098562A1 (en) * 2018-09-26 2020-03-26 Lam Research Corporation Dual frequency silane-based silicon dioxide deposition to minimize film instability
TW202043522A (zh) * 2019-02-11 2020-12-01 美商應用材料股份有限公司 透過脈衝式rf電漿之膜形成
US20220181147A1 (en) * 2019-03-25 2022-06-09 Lam Research Corporation High etch selectivity, low stress ashable carbon hard mask
TW202126840A (zh) * 2019-08-30 2021-07-16 美商蘭姆研究公司 低壓下的高密度、模數、及硬度之非晶碳膜
JP2021174905A (ja) 2020-04-27 2021-11-01 キオクシア株式会社 半導体装置の製造方法
WO2022072288A1 (en) * 2020-09-29 2022-04-07 Lam Research Corporation Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
US11694902B2 (en) 2021-02-18 2023-07-04 Applied Materials, Inc. Methods, systems, and apparatus for processing substrates using one or more amorphous carbon hardmask layers
US20230112644A1 (en) * 2021-09-30 2023-04-13 Texas Instruments Incorporated Carbon and/or Oxygen Doped Polysilicon Resistor
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030124859A1 (en) * 1998-09-29 2003-07-03 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU382671A1 (ru) 1971-03-25 1973-05-25 Ю. В. Далаго, В. П. Степанюк , В. А. Черненко Московский машиностроительный завод Знам труда Способ наполнения газообразным ацетиленом емкостей с растворителями
US3816976A (en) 1971-07-15 1974-06-18 Lummus Co Process for the purification of acetylene and ethylene
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4274841A (en) 1980-03-28 1981-06-23 Union Carbide Corporation Acetylene recovery process and apparatus
JPS6018914U (ja) 1983-07-15 1985-02-08 近畿印刷株式会社 折り込み紙箱
DE3422417A1 (de) 1984-06-16 1985-12-19 Kernforschungsanlage Jülich GmbH, 5170 Jülich Verfahren und vorrichtung zur abtrennung einer gaskomponente aus einem gasgemisch durch ausfrieren
US4673589A (en) 1986-02-18 1987-06-16 Amoco Corporation Photoconducting amorphous carbon
JPS6446098A (en) 1987-08-07 1989-02-20 Nichigo Acetylen Method for cleaning inside of container of dissolved acetylene
US4863760A (en) 1987-12-04 1989-09-05 Hewlett-Packard Company High speed chemical vapor deposition process utilizing a reactor having a fiber coating liquid seal and a gas sea;
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US5222549A (en) 1988-07-04 1993-06-29 Japan Oxygen Co., Ltd. Condenser/evaporator
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
JP2687966B2 (ja) 1990-08-20 1997-12-08 富士通株式会社 半導体装置の製造方法
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
JP2771347B2 (ja) * 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2275364B (en) 1993-02-18 1996-10-16 Northern Telecom Ltd Semiconductor etching process
US5261250A (en) 1993-03-09 1993-11-16 Polycold Systems International Method and apparatus for recovering multicomponent vapor mixtures
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3147695B2 (ja) * 1994-02-21 2001-03-19 日新電機株式会社 ダイアモンド状炭素膜形成のためのプラズマcvd法及び装置
US6030591A (en) 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
ATE251798T1 (de) 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
JP3126594B2 (ja) * 1994-07-07 2001-01-22 株式会社半導体エネルギー研究所 プラズマcvd法を用いる成膜方法
JPH08152262A (ja) 1994-11-29 1996-06-11 Kawasaki Steel Corp 希ガス分離プロセス用の循環吸着装置
US5670066A (en) 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
GB9522476D0 (en) 1995-11-02 1996-01-03 Boc Group Plc Method and vessel for the storage of gas
US5985103A (en) 1995-12-19 1999-11-16 Micron Technology, Inc. Method for improved bottom and side wall coverage of high aspect ratio features
DE59813331D1 (de) 1997-06-16 2006-03-30 Bosch Gmbh Robert Verfahren und einrichtung zum vakuumbeschichten eines substrates
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6035803A (en) 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6331480B1 (en) 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
FR2790762B1 (fr) 1999-03-09 2001-06-01 Centre Nat Rech Scient Procede de traitement de surface pour protection et fonctionnalisation des polymeres et produit obtenu selon ce procede
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6310366B1 (en) 1999-06-16 2001-10-30 Micron Technology, Inc. Retrograde well structure for a CMOS imager
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
US6241793B1 (en) 1999-08-02 2001-06-05 Taiwan Semiconductor Manufacturing Company, Ltd Cold trap equipped with curvilinear cooling plate
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
US6286321B1 (en) 2000-01-03 2001-09-11 Thermo Savant, Inc. Condenser cold trap unit with separate fraction collection feature
US6422918B1 (en) 2000-01-04 2002-07-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of photoresist layer
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2001234340A (ja) * 2000-02-28 2001-08-31 Bosch Automotive Systems Corp 非晶質硬質炭素膜及びその成膜方法
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6319299B1 (en) 2000-03-30 2001-11-20 Vanguard International Semiconductor Corporation Adjustable cold trap with different stages
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
JP4791636B2 (ja) * 2001-01-15 2011-10-12 日華化学株式会社 ハイブリッドパルスプラズマ蒸着装置
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6645848B2 (en) 2001-06-01 2003-11-11 Emcore Corporation Method of improving the fabrication of etched semiconductor devices
US20030044532A1 (en) 2001-08-29 2003-03-06 Shyh-Dar Lee Process for preparing porous low dielectric constant material
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6777349B2 (en) 2002-03-13 2004-08-17 Novellus Systems, Inc. Hermetic silicon carbide
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
EP1514679A4 (en) 2002-05-09 2008-02-20 Riken MATTE THIN FILM RIAU AND ASSOCIATE PR PARATION PROC D
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6740535B2 (en) 2002-07-29 2004-05-25 International Business Machines Corporation Enhanced T-gate structure for modulation doped field effect transistors
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
JP2004238649A (ja) * 2003-02-04 2004-08-26 National Institute Of Advanced Industrial & Technology 炭素系膜被覆部材の製造方法及び装置
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
FR2853313B1 (fr) 2003-04-04 2005-05-06 Air Liquide Procede d'elimination d'un solvant contenu dans l'acetylene, installation pour la mise en oeuvre du procede
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7041600B2 (en) 2003-06-30 2006-05-09 International Business Machines Corporation Methods of planarization
US7030023B2 (en) 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
EP1685606A1 (en) 2003-11-13 2006-08-02 Philips Intellectual Property & Standards GmbH Electronic device comprising a protective barrier layer stack
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
WO2005069367A1 (ja) 2004-01-13 2005-07-28 Tokyo Electron Limited 半導体装置の製造方法および成膜システム
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4494824B2 (ja) * 2004-02-24 2010-06-30 株式会社クラレ 表示装置用フィルムの製造方法
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7384693B2 (en) 2004-04-28 2008-06-10 Intel Corporation Diamond-like carbon films with low dielectric constant and high mechanical strength
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7220982B2 (en) 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US7381291B2 (en) * 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7271106B2 (en) 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7314506B2 (en) 2004-10-25 2008-01-01 Matheson Tri-Gas, Inc. Fluid purification system with low temperature purifier
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20060205223A1 (en) 2004-12-30 2006-09-14 Smayling Michael C Line edge roughness reduction compatible with trimming
US7235478B2 (en) 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7371461B2 (en) 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
KR20060098522A (ko) 2005-03-03 2006-09-19 삼성전자주식회사 유기 박막 트랜지스터 표시판 및 그 제조 방법
EP1720072B1 (en) 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP5203575B2 (ja) 2005-05-04 2013-06-05 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. コーティング組成物
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR100622268B1 (ko) 2005-07-04 2006-09-11 한양대학교 산학협력단 ReRAM 소자용 다층 이원산화박막의 형성방법
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US20070059913A1 (en) 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
KR100735750B1 (ko) 2005-12-15 2007-07-06 삼성전자주식회사 복수개의 균일한 기준 데이터들을 생성하는 기준 셀 블록및 감지증폭 유니트들을 구비하는 반도체 소자들 및 이를채택하는 시스템들
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
TWI302349B (en) 2006-01-04 2008-10-21 Promos Technologies Inc Metal etching process and rework method thereof
US20070202640A1 (en) 2006-02-28 2007-08-30 Applied Materials, Inc. Low-k spacer integration into CMOS transistors
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
KR100764343B1 (ko) 2006-09-22 2007-10-08 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
KR100855855B1 (ko) 2006-10-04 2008-09-01 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조방법
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20080242912A1 (en) 2007-03-29 2008-10-02 Olivier Letessier Methods and Apparatus for Providing a High Purity Acetylene Product
US20080264803A1 (en) 2007-04-20 2008-10-30 Rajat Agrawal Methods and Apparatus for the Storage of Acetylene in the Absence of Acetone or Dimethylformamide
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100871967B1 (ko) 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US8119853B2 (en) 2008-01-10 2012-02-21 L'Air Liquide SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Low pressure acetylene storage
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US7803715B1 (en) 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
JP2011021256A (ja) * 2009-07-16 2011-02-03 Kochi Univ Of Technology ナノ結晶シリコン薄膜の成膜方法及びナノ結晶シリコン薄膜、並びに該薄膜を成膜する成膜装置
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
KR20130115085A (ko) * 2010-04-30 2013-10-21 어플라이드 머티어리얼스, 인코포레이티드 개선된 스택 결함을 위한 비결정질 탄소 증착 방법
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
JP5835587B2 (ja) 2010-10-14 2015-12-24 日産化学工業株式会社 単分子層又は多分子層形成用組成物
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8986921B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8969207B2 (en) 2013-03-13 2015-03-03 Globalfoundries Inc. Methods of forming a masking layer for patterning underlying structures
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030124859A1 (en) * 1998-09-29 2003-07-03 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7981810B1 (en) * 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
CN106148917A (zh) * 2015-04-03 2016-11-23 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
CN106148917B (zh) * 2015-04-03 2019-01-22 中芯国际集成电路制造(上海)有限公司 利用pecvd工艺淀积薄膜的方法和pecvd装置
CN110835748A (zh) * 2018-08-17 2020-02-25 Spts科技有限公司 沉积氮化硅的方法和设备
TWI780350B (zh) * 2018-08-17 2022-10-11 英商Spts科技公司 沈積氮化矽之方法
CN110835748B (zh) * 2018-08-17 2023-11-07 Spts科技有限公司 沉积氮化硅的方法和设备
CN113166929A (zh) * 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN113891954A (zh) * 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模

Also Published As

Publication number Publication date
KR102564160B1 (ko) 2023-08-04
JP2019096888A (ja) 2019-06-20
KR102447424B1 (ko) 2022-09-23
KR20150037638A (ko) 2015-04-08
US20150093908A1 (en) 2015-04-02
CN104513973B (zh) 2018-07-06
CN109023311A (zh) 2018-12-18
US9589799B2 (en) 2017-03-07
JP6527677B2 (ja) 2019-06-05
CN109023311B (zh) 2021-09-10
JP2015073096A (ja) 2015-04-16
KR20220133835A (ko) 2022-10-05

Similar Documents

Publication Publication Date Title
CN104513973A (zh) 通过脉冲低频射频功率获得高选择性和低应力碳硬膜
KR102525779B1 (ko) 황 도핑된 탄소 하드마스크들
US9607856B2 (en) Selective titanium nitride removal
KR100978704B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
TWI520212B (zh) 選擇性氮化鈦蝕刻
KR102333160B1 (ko) 플라즈마 cvd 막들에서의 오버레이의 가스 유동 프로파일 조절식 제어
JP2015070270A5 (zh)
CN108140545A (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
TW200937517A (en) Plasma etching carbonaceous layers with sulfur-based etchants
CN101981659A (zh) 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法
WO2008108850A1 (en) Plasma reaction apparatus having pre-seasoned showerheads and methods for manufacturing the same
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
WO2014141664A1 (ja) エッチング方法およびエッチング装置
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant