CN1187799C - 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅 - Google Patents

用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅 Download PDF

Info

Publication number
CN1187799C
CN1187799C CNB008146837A CN00814683A CN1187799C CN 1187799 C CN1187799 C CN 1187799C CN B008146837 A CNB008146837 A CN B008146837A CN 00814683 A CN00814683 A CN 00814683A CN 1187799 C CN1187799 C CN 1187799C
Authority
CN
China
Prior art keywords
nanometer porous
film
dioxide dielectric
coated
porous dioxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB008146837A
Other languages
English (en)
Other versions
CN1382304A (zh
Inventor
N·鲁特福德
T·拉莫斯
H·-J·吴
R·卡特萨尼斯
J·德拉格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
AlliedSignal Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AlliedSignal Inc filed Critical AlliedSignal Inc
Publication of CN1382304A publication Critical patent/CN1382304A/zh
Application granted granted Critical
Publication of CN1187799C publication Critical patent/CN1187799C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S65/00Glass manufacturing
    • Y10S65/11Encapsulating

Abstract

一种表面涂覆的微孔二氧化硅介电薄膜,其制备方法包括下列步骤:在基体上形成微孔二氧化硅介电涂层,和在处理的微孔二氧化硅介电薄膜上有效地形成强度增强和/或疏水性增强层的条件下,用含有聚合物前体的涂层组合物涂覆形成的微孔二氧化硅介电薄膜。

Description

用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅
                         发明领域
本发明涉及低介电常数的纳米级多孔(nanoporous)二氧化硅薄膜,和在适用于制造集成电路的基体上制造此薄膜的改进方法。
                         发明背景
随着集成电路中特征尺寸接近0.25μm并且更低,有关互连RC延迟、功率消耗和信号串音的问题已变得愈加难以解决。据信,用于层间电介质(ILD)和金属间电介质(IMD)应用的低介电常数材料的集成将有助于解决这些问题。
具有低介电常数的一种材料是纳米级多孔二氧化硅,由于通过其纳米尺度的孔隙结构将介电常数为1的空气引入到材料中,所以可以制备成具有相对低的介电常数(“k”)的纳米级多孔二氧化硅。纳米级多孔二氧化硅之所以有吸引力,是因为它使用的前体与目前用于旋装玻璃(spin-on-glasses)(“SOG”)和化学气相沉积(“CVD”)二氧化硅SiO2的前体相似,这些前体包括有机取代硅烷,例如,四甲氧基甲硅烷(“TMOS”)和/或四乙氧基甲硅烷(“TEOS”)。纳米级多孔二氧化硅具有吸引力还因为它有可能控制孔径,并由此控制所得到的薄膜材料的密度、材料强度和介电常数。除低k之外,纳米级多孔二氧化硅还有其它的优点,包括:1)达900℃的热稳定性,2)充分小的孔径,即在数值上比集成电路的微电子特征至少小一个数量级,3)如上所述,可以用诸如广泛用于半导体中的二氧化硅和TEOS等材料来制备,4)能够在大的范围内“调整”纳米级多孔二氧化硅的介电常数,和5)可以用与传统的SOG法所使用的相似的工具实现纳米级多孔薄膜沉积。
以前,已通过许多方法制备了纳米级多孔二氧化硅薄膜。例如,用溶剂和二氧化硅前体的混合物制备纳米级多孔二氧化硅薄膜,其中通过常规的方法,例如,包括旋转沉积、浸涂、溅射沉积和/或它们的组合将所述混合物沉积在适于制造集成电路的基体例如硅片上。所述基体在其表面上任选地具有凸起线路,优选的是在其表面上或表面内具有插入的电子元件和/或导电通路。通常,旋转状态的(as-spun)薄膜用酸或碱催化剂和附加的水催化,以引起聚合/胶凝作用(“老化”)并产生足够的强度,以便薄膜在干燥期间不会明显地收缩。
以前制备的纳米级多孔薄膜的内孔表面是由硅原子形成的,硅原子的末端是下列种类中的任意或所有的组合:硅烷醇(SiOH)、硅氧烷(SiOSi)、烷氧基(SiOR),其中R是有机类,例如但不限于甲基、乙基、异丙基或苯基或烷基硅烷(SiR),其中R定义如前。当纳米级多孔二氧化硅的内表面被大比率的硅烷醇所覆盖时,该内表面是亲水的并可以吸附大量大气中的水。即使在后续加工以前通过加热使薄膜脱气,极性硅烷醇的存在会对介电常数和电介质损耗有负面影响。以前,克服此局限性并使纳米级多孔二氧化硅的内孔表面减小亲水性所使用的方法包括使内表面硅烷醇与表面改性剂反应,表面改性剂包括例如氧硅烷或二硅氮烷。这些可以在液相或者气相中进行的反应会产生(SiO)4-xSiRx[其中x是从1到3的整数]表面,通常,该表面是疏水的并且比它所替换的硅烷醇基团有更小的极性。EP-A-0 881 678公开了一种填缝层,其既充满所述孔隙,而且仅位于整个结构的上面。US>5,804,508公开了一种覆盖多孔介电层的非孔介电层。这层仅位于整个结构的上面,并且下层中的孔隙没有经过处理。EP-A 0 822 586涉及一种被SiO2层覆盖的旋装玻璃。
令人遗憾的是,虽然上述的表面改性方法用如上所述的三甲基甲硅烷基团和/或其它有机并且疏水的部分覆盖可极化的且亲水的硅烷醇基团,但是它们太容易被后续加工步骤和试剂氧化,而在孔隙表面上留下硅烷醇。例如,制造IC设备通常需要氧化与具有上述负面结果的绝缘膜相接触的等离子体。另一个这样的氧化制造法是将二氧化硅硬罩膜化学气相沉积(“CVD”)沉积到工件上。在硬罩沉积过程中,纳米级多孔二氧化硅膜可被氧化,从而丧失所需的介电常数及其它电性能。
与纳米级多孔二氧化硅有关的另一个问题是其机械强度低。相对于非泡沫二氧化硅薄膜而言,这些材料的低质量密度直接导致弱的机械性能,例如模量和硬度。各种IC制造步骤,例如化学机械平面化和导电金属膜的沉积,都在纳米级多孔二氧化硅层上施加显著的应力,这可能会造成在纳米级多孔二氧化硅薄膜内出现机械损坏,例如裂缝。
考虑到对于给定的介电常数(折射率或密度),至少对于特定的化学组成而言,密度是固定的,由此可以知道在提供更坚固的纳米级多孔二氧化硅介电薄膜时所固有的困难。对任一固定的密度,通过使薄膜的骨架内固体部分最多而不是附加表面基团来使纳米级多孔二氧化硅的强度最大化。因此对于纳米级多孔二氧化硅,一方面通过使结构成分中保持尽可能多的薄膜物质,并使例如纳米量级气孔表面上的非结构物质最少,可以平衡强度和介电常数(与材料密度成正比)性能。
因此,鉴于所有这些原因,在本技术领域仍旧需要用于生产适于制造集成电路的纳米级多孔薄膜的方法和组合物,所述集成电路将具有上述所需的性能,同时使上述本领域现有技术的缺点最小化。
                         发明概述
为了解决上述问题并提供其它的改进,本发明提供了新的方法,用于有效地制造低介电常数的纳米级多孔二氧化硅薄膜,该薄膜所需的介电常数范围比以前所得到的明显更低,或在介电常数相同时,其具有比以前所得到的更高的强度,所述新方法同时避免已有方法的缺点,同时也任选地提供增强的疏水薄膜表面。
令人意外的是,通过在形成的纳米级多孔二氧化硅介电薄膜上涂覆另一附加层的聚合物前体例如硅基聚合物前体,本发明的方法能够实现此目标。广义而言,将硅氧烷型旋装玻璃前体或其它合适的硅基聚合物前体涂覆到纳米级多孔二氧化硅薄膜上,以便其涂覆孔隙表面和/或部分填充孔隙。例如,当使用硅氧烷型前体时,其化学式将包括Si-R基团,其中,R是H或有机基团,例如,C1-C4烷基,或任选地是芳基。广义上讲,少至1%或多至100%的硅原子可以带有R基团。任选的是,旋装玻璃前体或其它合适的硅基聚合物前体是经选择的,以带有可与孔隙表面上的硅烷醇基团进行反应的活性基团(例如Si-OH或Si-OEt)。此反应的结果会形成新的Si-O-Si键。由于R基团是疏水的,因此孔隙也将变为疏水。
聚合物薄膜的涂覆层将保护纳米级多孔介电薄膜,使其免于被上述的制造工艺例如CVD SiO2薄膜的沉积所氧化。在甲硅烷基化的薄膜表面上的有机部分的比例越低,与孔隙表面有关的质量就越低,因此而获得相应减小的薄膜密度。这导致有利地减小了的介电常数,同时保持了薄膜的机械强度。
因此,本发明提供了在所需的基体上形成纳米级多孔介电薄膜或涂层的新方法。因此,本发明提供一种表面涂覆的纳米级多孔二氧化硅介电薄膜,它是这样制备的:在基体上形成纳米级多孔二氧化硅介电涂层,然后在所说的纳米级多孔二氧化硅介电涂层上有效成层的条件下,用含有聚合物前体的涂层组合物涂覆形成的纳米级多孔二氧化硅介电薄膜。
可以通过任何合适的方法,包括任何已有技术中的方法来制备所述纳米级多孔二氧化硅介电薄膜。简单地举例来说,可以由含有至少一种化合物的旋装玻璃材料来制备底层纳米级多孔介电薄膜,该化合物包括,例如,烷氧基硅烷、烷基烷氧基硅烷、倍半硅氧烷、氢化硅氧烷、氢化有机硅氧烷、氢化倍半硅氧烷、乙酰氧基硅烷、卤化硅烷及其组合。
所述涂层组合物可以是任何合适的聚合物前体,例如硅基聚合物前体,诸如低有机硅氧烷、高有机硅氧烷、氢化有机硅氧烷、聚(亚芳基醚)、氟化(聚亚芳基)醚、聚酰亚胺、聚碳硅烷之类及其组合。
进而,本发明还提供集成电路、集成电路设备等,所述集成电路和集成电路设备等包括至少一种用本发明方法处理的表面涂覆的纳米级多孔二氧化硅电介质,处理方法即在有大气/环境水份存在下,通过用聚合物前体涂覆表面来提供增强的强度和改善的疏水性以及稳定的介电常数。
此外,本发明还提供制备这些改善的纳米级多孔二氧化硅介电薄膜的方法,即通过用任何合适的已有技术方法,在基体上形成纳米级多孔二氧化硅介电薄膜,然后用含有聚合物前体的涂层组合物,在所说的纳米级多孔二氧化硅介电涂层上有效形成聚合物层的条件下,涂覆形成的纳米级多孔二氧化硅介电薄膜。还提供包括硅片载体在内的载体,在其表面上至少有一层用本发明的方法制备的纳米级多孔薄膜。
                 优选实施方案的详细说明
正如上面“先有技术描述”中所概括的,在基体上制备纳米级多孔二氧化硅薄膜的许多方法对于本领域技术人员都是已知的。这样的基体任选地在表面上具有承接纳米级多孔薄膜的凸起线路,其优选地是适于制造集成电路,例如,在纳米级多孔薄膜之下、之上和/或附近任选地安插电子元件和导电通路。另外,均在1998年3月25日申请的共有美国专利申请号09/046,475和09/046,473;在1998年4月3日申请的美国专利申请号09/054,262;和均在1998年4月6日申请的美国专利申请号09/055,244和09/055,516已经公开了对制备纳米级多孔薄膜的这些常规已知方法进行的许多变化和改进,在此引用其全文作为参考。此外,1999年4月14日申请的共有美国专利申请号09/291,510描述了另外的形成纳米级多孔介电薄膜的改进的方法,例如通过在加热已形成的薄膜期间热降解薄膜组分。
为了更好地理解本发明的范图,应该理解的是,当使用术语“二氧化硅”时,除非明确地提到″SiO2″官能团,否则,例如在此使用的与介电薄膜相关的术语“二氧化硅”是指用本发明的方法、由有机或无机的玻璃基体材料、例如任何合适的硅基材料制备的介电薄膜。此外,还应该理解的是,此处使用的单数术语并不是意在作如此限制,而是在适用的情况下,也包括复数的情况,例如,本发明例示的方法可以描述为适用于并产生一层“薄膜”,但是根据需要,其意指通过例示的和权利要求书的方法可以产生多层薄膜。此外,除非另作说明,否则在此使用的术语“试剂(agent)”或“多种试剂(agents)”应被认为与术语“试剂(reagent)”或“多种试剂(reagents)”同义。
根据本发明,在供使用的基体上形成的纳米级多孔二氧化硅薄膜通常形成有约50%或更大的孔隙率,例如,具有约1纳米到约100纳米范围的孔径,更优选的是从约2纳米到约30纳米,而最优选的是从约3纳米到约20纳米。包括气孔在内的含硅组合物的密度范围从约0.1到约1.9g/cm3,更优选的是从约0.25到约1.6g/cm3,而最优选的是从约0.4到约1.2g/cm3。本发明的保护性硅基聚合物涂层提供增强的疏水性和机械强度。这样,经表面保护的本发明的纳米级多孔介电薄膜的特征还可以在于具有下列附加测量性能:用傅里叶变换红外光谱测量的Si-H键在2150cm-1处的吸光度,从约1.5kpsi(105.46kg/cm2)到约6kpsi(421.86kg/cm2)的螺栓拉伸强度(stud pull strength),并经例如电子显微镜证实,孔隙结构仍然是畅通的。
优选的是,用本发明的方法制造的纳米级多孔二氧化硅薄膜优选具有小于约3的潮湿稳定介电常数。更优选的是,本发明的纳米级多孔二氧化硅薄膜的介电常数范围从约1.1到约3.0,还更优选地是从约1.3到约3.0,而最优选为从约1.5到约2.5。此外在室温下,根据本发明制备的纳米级多孔二氧化硅薄膜优选具有疏水性;其优选的厚度范围是从约0.1到约3微米,并且其优选沉积在适于制造集成电路的光面(空白)基体上或者有图案的基体上。
在基体上形成纳米级多孔介电薄膜
从广义上讲,本发明方法的第一步是形成至少一种烷氧基硅烷、溶剂组合物、任选的水和任选的催化量的酸或碱的反应产物,例如,如1998年4月3日和1998年7月7日申请的共有美国专利申请号09/054,262和09/111,083所描述的,这里引用其全文作为参考。
包括水是为水解所述烷氧基硅烷提供介质。优选地,溶剂组合物包括至少一种相对高挥发性的溶剂和至少一种相对低挥发性的溶剂。将此反应产物涂覆到基体上,所述高挥发性溶剂在反应产物沉积的过程中蒸发及在反应产物沉积后立即蒸发。所述反应产物水解并浓缩直至其形成凝胶层,然后一般通过用热对其进行固化。
前体
适于用作旋装玻璃(SOG)的任何材料都可通过本领域的已知方法而用于制备纳米级多孔二氧化硅介电薄膜。简单举例来说,本领域已知种类的SOG化合物略举数例包括烷氧基硅烷、烷基烷氧基硅烷、倍半硅氧烷烷、氢化硅氧烷、氢化有机硅氧烷、氢化倍半硅氧烷、乙酰氧基硅烷、卤化硅烷及其衍生物和其组合。
在优选的实施方案中,有用的烷氧基硅烷不仅仅包括式I所描述的那些:
式I.
式I中,至少2个R基团独立地是C1-C4烷氧基,而如果还有其余的R基,则独立地选自氢、烷基、苯基、卤素、取代的苯基。烷氧基包括在接近室温的温度下通过水解可以容易地从硅原子处裂开的任何其它有机基团。R基团可以是羟基乙氧基(ethylene glycoxy)或羟基丙氧基(propylene glycoxy)等,但是全部四个R基团优选是甲氧基、乙氧基、丙氧基或丁氧基。最优选的烷氧基硅烷不仅仅包括四乙氧基硅烷(TEOS)和四甲氧基硅烷。
优选地,涂覆到基体上的液体前体溶液中的烷氧基硅烷组合物的量占总混合物重量的约3%到约50%。更优选的范围是从约5%到约45%,而最优选的是从约10%到约40%。水与硅烷的摩尔比优选为从约0到约50。更优选的范围为从约0.1到约10,而最优选为从约0.5到约1.5。
所述二氧化硅前体可以是任何一种烷氧基硅烷或它们的组合,例如四乙氧基甲硅烷、四丙氧基甲硅烷、四异丙氧基甲硅烷、四(甲氧基乙氧基)甲硅烷、四(甲氧基乙氧基乙氧基)甲硅烷,它们都具有四个基团,这四个基团可以水解并随后浓缩生成二氧化硅、烷基烷氧基硅烷例如甲基三乙氧甲硅烷硅烷、芳基烷氧基硅烷例如苯基三乙氧基甲硅烷和能在薄膜上产生SiH官能团的前体,如三乙氧基甲硅烷。也可以任选地使用四(甲氧基乙氧基乙氧基)甲硅烷、四(乙氧基乙氧基)甲硅烷、四(丁氧基乙氧基乙氧基)甲硅烷、四(2-乙氧基乙氧基)甲硅烷、四(甲氧基乙氧基)甲硅烷和四(甲氧基丙氧基)甲硅烷。
另外,可以任选地使用部分水解、浓缩或聚合的这些种类的衍生物来产生纳米级多孔介电薄膜。用于本发明的其它前体可以包括可以热交联或先解交联的前体。总之,在室温下,所述前体可以是气体、液体或固体。
溶剂
二氧化硅前体组合物可以任选地含有溶剂组合物、水和/或催化量的酸。水为水解烷氧基硅烷提供介质。优选的是,所述溶剂组合物可以包含相对高挥发性的溶剂或相对低挥发性的溶剂。相对高挥发性溶剂是优选沸点为约120℃或更低、更优选为约100℃或更低的溶剂。
相对高挥发性溶剂的沸点优选为约120℃或更低,更优选为约100℃或更低,包括例如甲醇、乙醇、正丙醇、异丙醇、正丁醇及其混合物,以及本领域技术人员容易确定的其它溶剂。
相对低挥发性溶剂组合物是在高于、优选地是在大大高于上述相对高挥发性溶剂的温度下进行蒸发的溶剂组合物,例如,沸点为约175℃或更高、更优选的是约200℃或更高的溶剂组合物,包括例如醇和多元醇,其包括二醇,例如乙二醇、1,4-丁二醇、1,5-戊二醇、1,2,4-丁三醇、1,2,3-丁三醇、2-甲基丙三醇、2-(羟甲基)-1,3-丙二醇、1,4,1,4-丁二醇、2-甲基-1,3-丙二醇、四甘醇、三甘醇一甲基醚、甘油及其混合物,以及本领域技术人员可以容易地确定的其它的溶剂组合物。
优选地,溶剂组分的含量占总混合物重量的约20%到约90%。更优选的范围是从约30%到约70%,而最优选地是从约40%到约60%。当高挥发性和低挥发性溶剂都存在时,高挥发性溶剂组分的量优选地占总混合物重量的约20%到约90%。更优选的范围是从约30%到约70%,而最优选地是从约40%到约60%。当高挥发性和低挥发性溶剂都存在时,低挥发性溶剂组分的含量优选地占总混合物重量的约1%到约40%。更优选的范围是从约3%到约30%,而最优选地是从约5%到约20%。
催化剂
任选的酸催化剂驱动烷氧基硅烷与相对高挥发性的溶剂、相对低挥发性的溶剂和水进行反应。合适的酸是硝酸和适合的挥发性有机酸,即在加工操作条件下,它从所得到的反应产物中蒸发掉,并且不向反应产物中引入杂质。
酸的用量是本领域技术人员容易确定的催化用量。优选地,酸与硅烷的摩尔比是从约0到约0.2,更优选是从约0.001到约0.05,而最优选是从约0.005到约0.02。
基体
随后,通过现有技术的任何一种合适方法将含有烷氧基硅烷的组合物涂覆到基体上,包括用旋涂、浸涂或刷涂的方法,以在基体表面上形成介电薄膜。通常的基体是适合于加工成集成电路或其它微电子设备的基体,并且其为薄片的形式。对于本发明,合适的基体不仅仅包括半导体材料,例如砷化镓(GaAs)、硅和含硅组合物,例如晶体硅、多晶硅、无定形硅、外延硅和二氧化硅(SiO2)其及混合物。基体在其表面上任选地具有线路图案。当线路存在时,其通常是由众所周知的平板印刷技术形成的,并可以由金属、氧化物、氮化物和氮氧化物组成。用于线路的合适材料包括二氧化硅、氮化硅、氮化钛、氮化钽、铝、铝合金、铜、铜合金、钽、钨以及氮氧化硅。这些线路形成集成电路的导体或绝缘体。通常,它们彼此很近地分开的距离约为20微米或更小,优选为1微米或更小,而更优选为从约0.05到约1微米。
薄膜的老化或胶凝
使涂覆的薄膜开始凝结的一个方法是将涂覆的薄基体置于迅速抽成真空的密封室中。优选地,真空室的压力范围是从约0.001托(0℃时的毫米汞柱)到约0.1托(0℃时的毫米汞柱)。接着,使涂层继续暴露于水蒸汽和碱蒸气中。为了本发明的目的,碱蒸气包括气体碱。优选的是,首先使涂层暴露于水蒸汽中,然后再暴露于碱蒸气中,然而,在另一个实施方案中,可以首先使涂层暴露于碱蒸气中再暴露于水蒸汽中。实施两种暴露中的第一种是为了使其后的室压保持在亚大气压。第二种暴露可以在大气压、亚大气压或超大气压下进行。技术人员也可以容易地对此方案进行已知技术上的变化。
适用于碱蒸气中的碱不仅仅包括氨和胺,例如伯、仲和叔烷基胺、芳基胺、醇胺及其混合物,它们的沸点约为200℃或更低,优选为100℃或更低,而更优选为25℃或更低,使用时,水蒸汽与碱蒸气的摩尔比范围从约1∶3到约1∶100。优选的胺是甲胺、二甲胺、三甲胺、正丁胺、正丙胺、氢氧化四甲铵、哌啶和2-甲氧基乙胺。胺接受水质子的能力依据碱度常数Kb来度量,且pKb=-logKb。在优选实施方案中,碱的pKb的范围可以由约小于0到约9。更优选的范围为大约2到大约6,而最优选的范围为大约4到大约5。
所述水蒸汽使烷氧基硅烷的烷氧基连续水解,而所述碱催化水解的烷氧基硅烷浓缩并使分子量增加,直至涂层凝胶并最终增加凝胶强度。接着,通过较低挥发性溶剂的溶剂蒸发,以常规的方法使薄膜干燥。在这一步骤中,可以用高温干燥该涂层。这种温度的范围可以从约20℃到约450℃,优选为约50℃到约350℃,而更优选为约175℃到约320℃。
在加入碱之后的所需反应时间之后,经大约几秒到几分钟,使室压上升到大气压。这可以通过加入惰性气体如氮气和打开反应室,或者通过真空抽空碱/水混合物并用惰性气体回填而实现。从而,在基体上形成了相对高孔率、低介电常数、含硅聚合物的组合物。
表面处理/溶剂交换
任选地,用所选的一种或多种表面改性剂处理凝胶化的纳米级多孔二氧化硅介电薄膜,以覆盖或硅烷基化孔隙表面上不需要的硅烷醇基因。现有技术中有许多这样表面改性处理的已知方法,包括在1999年1月22日申请的共有美国申请号09/235,186中所描述的,此处引用其全文作为参考。通常,在使表面改性剂有效地渗入多孔薄膜表面,并使纳米尺度的微孔表面上的大部分任意自由硅烷醇基团被有效地硅烷基化的条件和时间下,使所述薄膜与一个或多个单功能和/或多功能的表面改性剂进行反应。在使所述试剂硅烷基化或覆盖孔隙表面上的硅烷醇基团的条件下,合适的硅烷化剂以液体/溶液和/或蒸气的形式渗透进入微孔表面,随后通过加热将其蒸发或去除。略举数例,合适的单功能试剂包括溶于适合的溶剂或共溶剂例如酮共溶剂如3-戊酮、或合适的非酮溶剂或共溶剂中的六甲基二硅氮烷(HMDZ)、methyltracetoxy(MTAS,甲基三乙酰氧基甲硅烷),或者甚至在有或没有合适的共溶剂的条件下以蒸气形式存在的六甲基二硅氮烷(HMDZ)、methyltracetoxy(MTAS,甲基三乙酰氧基甲硅烷)。
合适的多功能表面改性剂可以选自具有包括例如如下各式的化合物:R1Si(OR2)3;R1Si(NR2R3)3;R1Si(ON=CR2R3)3;R1SiCl3;和(R1)xSi(OCOR2)y及其组合。R1、R2和R3独立地是H、烷基、芳基,x是从1到2的整数,而y是从2到大约3的整数,且x和y可以相同也可以不同。优选地,烷基部分是被取代或未被取代的,且选自包括直链烷基、支链烷基、环烷基及其组合,其中,烷基部分的规模范围是从C1到大约C18。在另一个优选实施方案中,芳基部分是被取代或未被取代的,其规模范围为从C5到大约C18。优选的多功能表面改性剂包括例如烷基硅烷、芳基硅烷、烷基乙酰氧基硅烷和芳基乙酰氧基硅烷及其组合。具体的多功能试剂包括例如甲基三甲氧基甲硅烷、三(二甲基氨基)甲基甲硅烷、三(二甲基氨基)苯基甲硅烷和/或三(二甲基氨基)甲硅烷、甲基三(甲基乙基keoxime)甲硅烷、甲基三氯甲硅烷、甲基三乙酰氧基甲硅烷、二甲基二乙酰氧基甲硅烷、苯基三乙酰氧基甲硅烷、甲基三甲氧基甲硅烷,二苯基二乙酰氧基甲硅烷及其组合。
简言之,在本发明基于气相和/或溶液的方法中,可以使用的其它表面改性剂包括但不局限于:三甲基乙氧基甲硅烷、三甲基甲氧基甲硅烷、2-三甲基甲硅烷氧基戊-2-烯-4-酮、N-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、N-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)乙酸酯、九甲基三硅氮烷、六甲基二硅氮烷、六甲基二硅氧烷、三甲基甲硅烷醇、三乙基甲硅烷醇、三苯基甲硅烷醇、叔丁基二甲基甲硅烷醇、二苯基硅烷二醇及其组合。
其它有用的表面改性剂包括但是不局限于烷基乙酰氧基硅烷或芳基乙酰氧基硅烷化合物,例如,乙酰氧基硅烷、乙酰氧基三甲基甲硅烷、甲基三乙酰氧基甲硅烷、苯基三乙酰氧基甲硅烷、二乙酰氧基二甲基甲硅烷、二乙酰氧基二苯基甲硅烷、六甲基二硅氮烷以及这些的组合和/或与任意上述表面改性剂的组合。
表面改性剂可以任选地与一种或多种共溶剂和/或共反应剂,例如包括但不限于酮共溶剂或共反应剂结合,以液相或气相的状态使用。简单举例来说,所述共反应剂和/或共溶剂选自下列化合物当中:丙酮、2-丁酮、2-戊酮、3-戊酮、2,4-二甲基-3-戊酮、环戊酮、环已酮、环戊酮、二异丙基酮、2,4-戊二酮、二噁烷、正丁醇、2-戊醇、1,2-二氨基丙烷、1-二甲氨基-2-丙酮、水及其组合。也可以使用合适的非酮溶剂或共溶剂,其包括但不限于醚、酯、酮、乙二醇醚、氯化溶剂、低粘度硅氧烷以及它们的合适组合。
在1999年1月26日申请的共有美国临时专利申请号60/117,248(在此引用其全文作为参考)中公开了通过向纳米级多孔介电薄膜上涂覆特定的水聚合SOG型材料而向这种薄膜提供所需的表面改性和提高的机械性能的方法。聚合物前体即在此聚合物涂层处理中有用的单体仅举例来说包括硅氧烷、硅氮烷、硅烷及其组合。
固化
干燥之后,使所述薄膜固化。一般用本领域已知的任何一种方法都可进行固化。通常通过加热使电介质固化以增加其分子量。可以通过常规方法进行加热,例如在空气或惰性气氛中在加热板上加热,或者可以在空气或惰性气氛中在炉子或烘箱中进行,或者也可以在真空炉或真空烘箱中,在足够高的温度下,加热足够长的时间,从而固化所述介电薄膜。优选在约200℃到约600℃的温度下,更优选在约350℃到约450℃的温度下进行加热。优选地,此加热进行约1分钟到约360分钟,更优选为约2到约60分钟。
优选地,首先干燥即烘干已处理的薄膜,然后固化。通过在空气中加热一个或多个时间周期来完成烘干步骤,每个周期为大约5秒到大约10分钟,但对于每一热处理,优选为大约1分钟。加热步骤用于从纳米尺度的孔隙中赶除反应步骤中留下的残留反应剂或溶剂,例如表面改性剂和/或溶剂和/或共溶剂。通常,热处理的次数从大约1次到大约5次,但优选进行两次热处理,第二次热处理在比第一次高的温度下进行,以确保去除上述试剂,同时使薄膜上的热应力减至最小。通常是在约100到约400℃或更高的温度下,而优选在约150到约350℃的温度下进行所述热处理。尤其是,优选在约150到200℃的温度下进行第一次热处理,优选在约250到约350℃的温度下进行第二次热处理,从而完成固化过程。
任选地,可以通过电子束曝光或暴露于光化光例如紫外光下固化电介质,以增加其分子量。合适的电子活性束室由AlliedSignal Inc.的一个机构Electron Vision市售,商标是“ElectronCureTM”。在共有美国专利号5,003,178中描述了这种设备的操作原理和性能特征,在此引用其全文作为参考。
以上所述不仅仅描述了在基体上,例如在适于制备IC设备的基体上制备纳米级多孔二氧化硅介电薄膜。本领域技术人员知道,可以容易地替代在基体上形成纳米级多孔二氧化硅介电薄膜的现有技术中的其它方法,而使用本发明的方法。
聚合物外涂层
令人吃惊的是,本发明提供大范围的合适的聚合物或硅基SOG基体,以及将它们应用到纳米级多孔二氧化硅介电薄膜上的方法,以便提高机械强度,和/或任选地改进薄膜表面疏水性。因此,根据本发明,将合适的聚合物或硅基SOG基体或聚合物前体,简单举例而言将低有机硅氧烷、高有机硅氧烷、氢化有机硅烷、聚(亚芳基醚)、氟化(聚亚芳基)醚、聚酰亚胺、聚碳硅烷及其组合涂覆在纳米级多孔介电薄膜涂层上。覆盖的区域可以是整个基体表面,或所选择的区域,这取决于制造要求。
因此,将如上所述的电介质纳米级多孔二氧化硅薄膜涂覆到所述基体上并固化。在本发明的另一个实施方案中,将纳米级多孔电介质二氧化硅薄膜涂覆到基体上,随后将硅基聚合物前体涂覆到第一层电介质组合物薄膜上,然后将两层薄膜一起固化。
可以通过如上所述固化纳米级多孔介电薄膜的任何方法进行固化,但优选在足以赶除任何残留溶剂的温度下进行,在此温度下足以使所选择的硅基涂层材料(一种或多种)聚合,并产生所需的表面化学性能,例如交联,以增加强度,并且任选地在经处理的纳米级多孔介电薄膜的纳米尺度孔隙表面上也形成增加的Si-H和/或Si-C基团。更优选地,在空气中,在一系列渐变步骤中的空气下加热涂覆的硅基涂层,以赶除残留溶剂,温度范围为从约70到约250℃或更高,时间增加为从约30秒到约5分钟或更长。优选地,然后在惰性气氛例如氮气下,在约350到约450℃的温度下,在烘箱中将承载干燥硅基涂层的基体烘烤一个时间周期直至固化,一个周期约15到约45分钟或更长。
可以通过任何方法将用作外涂层或防护涂层的硅基聚合物前体涂覆到纳米级多孔电介质二氧化硅薄膜上,所述任何方法包括例如常规的涂覆法,例如,上述的旋转涂层法。涂覆的二氧化硅基聚合物厚度将依涂覆到基体上的液体聚合物前体的量而变化。
仅举例来说,将适于形成外涂层的硅基聚合物层的聚合物前体分散在适合的溶剂中,并涂覆到纳米级多孔二氧化硅介电薄膜上。合适的溶剂组合物包括那些沸点约为120℃或更低、优选约为100℃或更低的组合物。合适的高挥发性溶剂组合物不仅仅包括甲醇、乙醇、正丙醇、异丙醇、正丁醇、乙酸丙酯及其混合物。与其它成分相容的其它的相对高挥发性的溶剂组合物可以由本领域普通技术人员容易地确定。优选地,溶剂的量占总混合物重量的约10%到约90%,更优选地为总混合物(含聚合物)重量的约15%到约80%,最优选为约40%到约60%。
高或低有机硅氧烷
优选地,附加的硅基聚合物单层或多层包含具有I或II结构的聚合物。具有结构I的聚合物为低有机物含量,例如,其中含碳取代基的量小于约40摩尔%。这些聚合物在1998年3月20日申请的美国专利申请号09/044,831中描述得更充分,在此引用它作为参考。
式2-5如下,并包括具有下面结构的硅氧烷聚合物:[H-SiO1.5]n[R-SiO1.5]m,                            式2[H4.4-1.0SiO1.5-1.2]n[R0.4-1.0-SiO1.5-1.8]m,          式3[H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m,                    式4[H-SiO1.5]x[R-SiO1.5]y[SiO2]z,                  式5其中,n和m的总和,或x、y和z的总和为从大约8到大约5000,并选择m和y以使含碳取代基的量小于约40摩尔%。R选自取代和未被取代的直链和支链烷基、环烷基、取代和未被取代的芳基及其混合物。含碳取代基的具体摩尔百分数是起始材料用量比率的函数。在优选实施方案中,含碳取代基的摩尔百分数在约15摩尔%到约25摩尔%的范围内。合适的低有机含量聚合物前体以LOSPTM(AlliedSignal at SantaClara,California)市售。
在本发明另一个实施方案中,附加的硅基聚合物单层或多层可含有高有机含量的聚合物,例如,其中含碳取代基的量约为40摩尔%或更高。这些聚合物在1998年3月20日申请的共有美国专利申请号09/044,798中描述得更充分,在此引用它作为参考。它们为式6-9:[HSiO1.5]n[RSiO1.5]m,                             (式6)[H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m,        (式7)[H0-1.0SiO1.5-2.0]n[RSiO1.5]m,                  (式8)其中,n和m的总和为从大约8到大约5000,且选择m以使含碳取代基的量为约40摩尔%或更高;和[HSiO1.5]x[RSiO1.5]y[SiO2]z;                (式9)其中,x、y和z的总和为从大约8到大约5000,且选择y以使含碳取代基的量为约40摩尔%或更高;并且其中R选自取代和未被取代的直链和支链烷基、环烷基、取代和未被取代的芳基及其混合物。含碳取代基的具体摩尔百分数是起始材料用量比率的函数。合适的高有机含量聚合物前体以HOSPTM(AlliedSignal at Santa Clara,CaliforniaTM)市售。
可以这样制备结构2-9的聚合物,即混合至少一种有机三卤硅烷和氢化三卤硅烷的溶液形成混合物;将此混合物与包括非极性溶剂和极性溶剂的两相溶剂相混合;向所述两相溶剂和三卤硅烷混合物中加入催化剂,从而提供两相反应混合物;使所述两相反应混合物进行反应以产生有机氢化硅氧烷;并从所述两相溶剂体系中的非极性的部分回收有机氢化硅氧烷。1999年6月9日申请的共有美国专利申请号09/328,648提供了在制备这些聚合物方面的附加信息,在此引用其全文作为参考。
氢化有机硅氧烷
优选氢化有机硅氧烷及其混合物。适用于本发明的优选硅氧烷材料由AlliedSignal Inc.市售,商标为Purespin。有用的甲基化硅氧烷聚合物也可以从AlliedSignal Inc.买到,商标为Acuglass T11、T12和T14TM和AccuspinT18、T23和T24。下面将特别举例说明Accuglass T11系列中的111和311。
聚(亚芳基醚)或氟化聚(亚芳基醚)
在另一个优选实施方案中,聚合物是预先形成的聚(亚芳基醚)或氟化聚(亚芳基醚)。随着下面的聚合反应进行,可以在基体上形成单体状态或低聚状态的聚合物或预聚合物。合适的聚(亚芳基醚)或氟化聚(亚芳基醚)已在美国专利号5,155,175;5,114,780和5,115,082中公开,在此引用其作为参考。在1997年12月12日申请的美国专利申请号08/990,157中公开了优选的聚(亚芳基醚)和氟化聚(亚芳基醚),在此引用其作为参考。这类聚合物前体的优选例以FlareTM(AlliedSignal,Santa Clara,California)市售。
聚碳硅烷
在另一任选的实施方案中,用一层或多层由具有一个或多个以下结构式的聚碳硅烷形成的附加层涂覆本发明的介电薄膜:
-[Si(R1)(R2)(H)]x-、-[Si(R3)(R4)]y-、-[Si(R5)(R7)(O-R6)]z-、和/或-[Si(R8)(R9)(R10)]w-;
其中,R1、R7和R10每个独立地是取代或未被取代的亚烷基、环亚烷基和亚芳基,
R2、R3、R4、R5、R8和R9每个独立地是H或有机基团,
R6可以是有机硅、硅烷基、甲硅烷氧基和有机基团;并且
x、y、z和w相加时大于10且小于100,000,这可以表示为:10<x+y+z+w<100,000,并且y和z和w可以共同是零或独立地是零。
抗氧等离子体的氢化硅氧烷
在又一个任选的实施方案中,用一层或多层由式10的聚合物形成的附加层涂覆本发明的介电薄膜,其有益于形成抗氧等离子体的介电薄膜和涂层,从而便于所需集成电路设备的进一步加工。应该强调的是,抗氧等离子体的性能不是使用这类聚合物前体所提供的主要性能。仅举例来说,在本发明中使用这类聚合物是为了提高纳米级多孔电介质型薄膜的机械强度。
(HSiO1.5)a(HSiO(OR))b(SiO2)c,                 (式10)其中,R是H和具有1至4个碳原子的烷基的混合物;a+b+c=1;0.5<a<0.99;0.01<b<0.5;且0<c<0.5。优选地,a和b是零,且式11为抗等氧离子体的氢化倍半硅氧烷作了准备。
美国专利号5,153,295描述了制备这些化合物的方法,在此引用其全文作为参考。简言而之,可以由例如三烷氧基硅烷或四烷氧基硅烷或其混合物为起始材料、用塑料反应容器或用经过预处理的玻璃容器(减少痕量金属污染)来制备此聚合物。这种预处理是现有技术中已知的。在一些实施方案中,三乙氧基甲硅烷(HTEOS)是起始材料。反应容器装有HTEOS和非质子溶剂,例如丙酮,以形成反应混合物。另外,可以使用其它的非质子溶剂,例如乙酸乙酯、乙酸正丙酯或四氢呋喃。在搅拌的同时,逐滴向反应混合物中加入酸/水混合物,例如硝酸和水。另外可以使用其它的酸,例如醋酸、蚁酸或磷酸。在加入酸/水混合物期间,反应混合物任选地保持在低于20℃的温度下。酸/水混合物一加完,即加热所得到的溶液,使回流大约6到9小时,以产生与式11一致的聚(氢化硅氧烷)共聚物的溶液。
另外,合成在室温下进行。塑料容器装有HTEOS、水、酸和非质子溶剂,且在室温(21℃)下搅拌反应混合物大约3天到14天,以形成与式11一致的聚(氢化硅氧烷)共聚物的溶液。式11的聚合物制备和特征的进一步细节见于1998年3月12日申请的共有美国专利申请号09/039,289中,在此引用其全文作为参考。
下列非限制性的实施例用来进一步解释和说明本发明。
                        实施例1
此实施例说明纳米级多孔薄膜的制备方法,该纳米级多孔薄膜是用氢化聚碳硅烷(“HPCS”,一种硅基聚合物)涂覆的,以提供包括机械强度提高在内的改进。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TriEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约2毫升所述纳米级多孔二氧化硅前体沉积到4″(10.1cm)硅片上,随后使其以2500转/分的速度旋转30秒。然后在下列条件下,在真空室中凝胶化/老化所述薄膜:
1.将真空室抽真空至250托(0℃时的毫米汞柱)。
2.加热15M氢氧化铵,并使之在45℃保持平衡,然后将其引入到所述真空室中,增压至660托(0℃时的毫米汞柱),维持10分钟。
3.用空气再次充满所述真空室,并从所述真空室中取出该薄膜,以进行下一步的表面处理/溶剂交换。
纳米级多孔薄膜的表面处理/溶剂变换
接下来,使老化的薄膜进行表面处理/溶剂交换。通过将甲基三乙酰氧基甲硅烷(MTAS)溶解在3-戊酮(Pacific Pac InternationalInc.,Hollister,CA)中而在3-戊酮中形成5重量%的MTAS浓度来制备用于表面处理/溶剂交换的溶液。MTAS购自United ChemicalTechnologies,Inc(Bristol,PA),并在使用之前进行过蒸馏。使用下列条件进行所述薄膜的表面处理/溶剂交换:
1.按如上所述的方法制备用于表面处理的试剂。
2.将老化的薄膜放在旋压模上,并以250转/分的速度旋转。
3.将约30毫升上述MTAS溶液在薄膜上旋转20秒,而不使此薄膜干燥。
4.接着,将薄膜以2500转/分的速度旋转干燥10秒,然后从旋压模上取下薄膜并进行热处理。然后在空气中,分别在175和320℃下将经上述处理所得到的薄膜加热(固化)60秒。
聚合物处理
使上面制备的烘干的薄膜经历硅基聚合物溶液处理。将5g氢化聚碳硅烷(Starfire Inc,Watervilet,NY)溶解在95克3-戊酮中来制备所述硅基聚合物溶液。
1.将烘干的薄膜放在旋压模上,并以2500转/分的速度旋转30秒。
2.将约2毫升上述硅基聚合物溶液分配在薄膜上。
3.接着将薄膜以250转/分的速度旋转2秒,然后将旋转速度提高至3000转/分,并旋转20秒。然后在空气中,分别在80、150和250℃下将经上述处理的所得到的薄膜加热60秒。接着在氮气中400℃时,在炉子中使其固化30分钟。
介电常数的测定
通过标准CV曲线技术,用如下的MOS电容器(“MOSCAP”)结构测定产生的薄膜的介电常数。使铝通过圆点掩膜(circular dot mask)喷镀到所述薄膜上形成MOSCAP结构,然后将铝层薄膜喷镀到所述薄片的背面上。向MOSCAP施加适当偏电压,然后在1兆赫下测量电容。在后面所有的实施例中都用此方法测定介电常数。
薄膜机械强度的测定
通过螺栓拉伸试验测量所述薄膜的粘接强度。将待测薄膜放置在基体薄片上,并且将铝层加在薄膜上面,以防止在随后涂覆环氧树脂时,环氧树脂渗透进入孔隙结构中。然后用环氧树脂将环氧树脂测试螺栓粘结到涂铝薄膜的上面。环氧树脂一固化,就从所述薄膜上拉开螺栓,直至一些部分断裂。刚好断裂之前那一刻测到的拉力记为螺栓拉伸强度,以千磅每平方英寸(KPSI)计。
                表1
  介电常数  螺栓拉伸强度(KPSI)
     2.0  2.18(153.3kg/cm2)
用傅里叶变换红外(“FT-IR”)光谱仪(未示出)进行的测试证实,用HPCS处理纳米级多孔二氧化硅介电薄膜导致将HPCS引入到纳米级多孔二氧化硅中,如在2150cm-1处存在的Si-H键吸光度和较低的硅烷醇含量(与未经此处理的薄膜相比)所表明的。用扫描电子显微镜(SEM)观察所述薄膜的横截面。SEM表明,在纳米级多孔二氧化硅薄膜上形成了一薄层,而纳米级多孔二氧化硅仍然留有孔隙。测定的此薄膜的介电常数表明,在获得改进的机械性能的同时,形成的薄膜仍然保持低介电常数。与下面螺栓拉伸强度经测定为0.31kpsi(21.8kg/cm2)的实施例2相比,说明了螺栓拉伸强度(2.18kpsi)(153.3kg/cm2)的提高。
                         实施例2
对比例。此例表明,相对于涂覆聚合物的纳米级多孔介电薄膜,只用MTAS处理的纳米级多孔二氧化硅薄膜具有较低的机械强度。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TIEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约2毫升所述纳米级多孔二氧化硅前体沉积到4″硅片上,随后使其以2500转/分的速度旋转30秒。然后在下列条件下,在真空室中凝胶化/老化薄膜:
1.将所述真空室抽真空至250托(0℃时的毫米汞柱)。
2.加热15M氢氧化铵,并使之在45℃保持平衡,然后将其引入到所述真空室中,增压至660托(0℃时的毫米汞柱),维持4分钟。
3.用空气再次充满真空室,并从真空室中取出薄膜,以进行下一步的表面处理/溶剂交换。
应用下列条件进行所述薄膜的表面处理/溶剂交换:
1.通过将5克甲基三乙酰氧基甲硅烷(MTAS)(Gelest,Tullytown,PA 19007)与95克3-戊酮混合而形成透明无色的溶液来制备用于表面改性的试剂。
2.将老化的薄膜放在旋压模上,并以250转/分的速度旋转。
3.将约30毫升上述MTAS溶液在薄膜上旋转20秒,而不使此薄膜干燥。
4.接着,以2500转/分的速度将此薄膜旋转干燥10秒,然后从旋转模上取下该薄膜并使其经历热处理。
然后在空气中,分别在175和320℃下将由上述处理所得到的薄膜加热60秒。然后在氮气中、400℃时,在炉子中将其固化30分钟。用Woollam椭圆计测量所得到的薄膜的折射率。通过标准CV曲线技术,使用MOS电容器结构,在1兆赫下,测量介电常数。
                                表2
     折射率   薄膜厚度(埃)  介电常数  螺栓拉伸强度(KPSI)
    1.1665  7518(×10-4微米)    1.98  0.31(21.8kg/cm2)
                           实施例3
此实施例说明制备用AcuglassTM311涂覆的纳米级多孔二氧化硅介电薄膜聚合物。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TIEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约2毫升纳米级多孔所述二氧化硅前体沉积到4″(10.1cm)硅片上,随后以2500转/分的速度旋转30秒。然后在上面实施例1中所示的相同的条件下,在真空室中凝胶化/老化所述薄膜。
纳米级多孔薄膜的表面处理/溶剂交换
接下来,使所述老化的薄膜经历表面处理/溶剂交换。将甲基三乙酰氧基甲硅烷(MTAS)溶解在3-戊酮(Pacific Pac InternationalInc.,Hollister,CA)中而在3-戊酮中形成5重量%的MTAS浓度来制备用于表面处理/溶剂交换的溶液。MTAS购自United ChemicalTechnologies,Inc(Bristol,PA),并在使用之前进行过蒸馏。用上面的试剂,在如上所述的实施例1的处理步骤和条件下,进行所述薄膜的表面处理/溶剂交换。
然后在空气中,分别在175和320℃将经上述处理所得到的薄膜加热60秒。
聚合物处理
使所述烘干的薄膜经历聚合物溶液处理。将商品旋装玻璃311(AlliedSignal at Santa Clara,California)用于表面处理。
1.将烘干的薄膜放在旋压模上,并以0转/分的速度旋转[此为静态操作]。
2.将约2毫升上述硅基聚合物溶液分配在所述薄膜上。
3.接着将薄膜以250转/分的速度旋转2秒,然后将旋转速度提高至3000转/分,并旋转20秒。
然后在空气中,分别在80、150和250℃下将经上述所处理得到的薄膜加热60秒。接着在氮气中、400℃时,在炉子中使其固化30分钟。
薄膜机械强度的测定
通过螺栓拉伸试验测量所述薄膜的粘接强度。将待测薄膜放置在基体薄片上,并且将铝层加在薄膜上面,以防止在随后涂覆环氧树脂时,环氧树脂渗透进入孔隙结构中。然后用环氧树脂将环氧树脂测试螺栓粘结到涂铝薄膜的上面。环氧树脂一固化,就从所述薄膜上拉开螺栓,直至一些部分断裂。刚好断裂之前那一刻测到的拉力记为螺栓拉伸强度,以千磅每平方英寸(KPSI)计。测量到的此薄膜的螺栓拉伸强度是5.8KPSI(407.8kg/cm2)。
                             实施例4
制备用AccuglassTM111涂覆的纳米级多孔二氧化硅介电薄膜聚合物。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TIEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约2毫升所述纳米级多孔二氧化硅前体沉积到4″(10.1cm)硅片上,随后以2500转/分的速度将其旋转30秒。然后在与上面实施例1所示相同的条件下,在真空室中凝胶化/老化所述薄膜。
纳米级多孔薄膜的表面处理/溶剂变换
使所述老化的薄膜经历表面处理/溶剂交换。将甲基三乙酰氧基甲硅烷(MTAS)溶解在3-戊酮(Pacific Pac International Inc.,Hollister,CA)中,在3-戊酮中形成5重量%的MTAS浓度来制备用于表面处理/溶剂交换的溶液。MTAS购自United Chemical Technologies,Inc(Bristol,PA),并在使用之前先进行过蒸馏。用上面的试剂,在如上述实施例1的处理步骤和条件下,进行所述薄膜的表面处理/溶剂交换。
然后在空气中,分别在175和320℃下将经上述处理所得到的薄膜加热60秒。
聚合物处理
使上面制备的烘干的薄膜经历所述的硅基聚合物溶液处理。商品旋装玻璃111(AlliedSignal at Santa Clara,California)用于经处理的表面。
1.将烘干的薄膜放在旋压模上,并以0转/分的速度旋转[这是静态操作]。
2.将约2毫升上述硅基聚合物溶液分配在所述薄膜上。
3.接着将薄膜以250转/分的速度旋转2秒,然后将旋转速度提高至3000转/分,并旋转20秒。
然后在空气中,分别在80、150和250℃下将经上述处理所得到的薄膜加热60秒。接着在氮气中、400℃时,在炉子中使其固化30分钟。
薄膜机械强度的测定
通过螺栓拉伸试验测量所述薄膜的粘接强度。将待测薄膜放在基体薄片上,并且将铝层加在薄膜上面,以防止在随后涂覆环氧树脂时,环氧树脂渗透进入孔隙结构中。然后用环氧树脂将环氧树脂测试螺栓粘结到涂铝薄膜的上面。环氧树脂一固化,就从薄膜上拉开螺栓,直至一些部分断裂。刚好断裂之前那一刻测到的拉力记为螺栓拉伸强度,以千磅每平方英寸(KPSI)计。
用Woollam椭圆计测量所得薄膜的折射率。通过标准CV曲线技术,使用MOS电容器结构,在1兆赫下,测量介电常数。
表3
     折射率   薄膜厚度(埃)   介电常数 螺栓拉伸强度(KPSI)
     1.237  8221(×10-4微米)     3.06 3.2(225kg/cm2)
                         实施例5
此实施例说明用LOSPTM旋装玻璃涂覆的纳米级多孔介电二氧化硅薄膜的制备。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TIEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约2毫升所述纳米级多孔二氧化硅前体沉积到4″(10.1cm)硅片上,随后以2500转/分的速度旋转30秒。然后在与上面实施例1所示相同的条件下,在真空室中凝胶化/老化所述薄膜。
接下来,使所述老化的薄膜经历表面处理/溶剂交换。将甲基三乙酰氧基甲硅烷(MTAS)溶解在3-戊酮(Pacific Pac InternationalInc.,Hollister,CA)中,在3-戊酮中形成5重量%的MTAS浓度来制备用于表面处理/溶剂交换的溶液。MTAS购自United ChemicalTechnologies,Inc(Bristol,PA),将其在使用之前先进行过蒸馏。用上面的试剂,在如上述实施例1中的处理步骤和条件下,进行所述薄膜的表面处理/溶剂交换。
然后在空气中,分别在175和320℃下将经上述处理所得到的薄膜加热60秒。
聚合物处理
使这一烘干的薄膜经历所述的硅基聚合物溶液处理。将实验性的旋装玻璃LOSPTM(溶于甲基异丁酮,浓度5%,产自Alliedsignal atSanta Clara,California)用于所述经处理的表面。
1.将所述烘干的薄膜放在旋压模上,并以0转/分的速度旋转[这是静态操作]。
2.将约2毫升上述硅基聚合物溶液分配在所述薄膜上。
3.接着将薄膜以250转/分的速度旋转2秒,然后将旋转速度提高至3000转/分,并旋转20秒。
然后在空气中,分别在80、150和250℃下将经上述处理所得到的薄膜加热60秒。接着在氮气中、400℃时,在炉子中使其固化30分钟。
薄膜机械强度的测定
通过螺栓拉伸试验测量所述薄膜的粘接强度。将待测薄膜放在基体薄片上,并且将铝层加在薄膜上面,以防止在随后涂覆环氧树脂时,环氧树脂渗透进入孔隙结构中。然后用环氧树脂将环氧树脂测试螺栓粘结到所述涂铝薄膜的上面。环氧树脂一固化,就从薄膜上拉开螺栓,直至一些部分断裂。刚好断裂之前那一刻测到的拉力记为螺栓拉伸强度,以千磅每平方英寸(KPSI)计。测量到的此薄膜的螺检拉伸强度是1.6KPSI(112.5kg/cm2)。
                         实施例6
此实施例说明用FlareTM旋装玻璃涂覆的纳米级多孔介电二氧化硅薄膜的制备。
将208毫升四乙氧基甲硅烷、94毫升三甘醇一甲基醚(TIEGMME)、16.8毫升去离子水和0.68毫升1N硝酸一起加入到圆底烧瓶中,以合成纳米级多孔二氧化硅前体。在强烈搅拌下,将此溶液加热到约80℃。在此溶液回流1.5小时形成透明溶液之后,使其冷却至室温。然后用乙醇将其稀释25重量%,并用0.1微米TeflonTM过滤器过滤。
将约5毫升所述纳米级多孔二氧化硅前体沉积到8″(20.2cm)硅片上,随后以2500转/分的速度旋转60秒。然后在与上面实施例1所示相同的条件下,在真空室中凝胶化/老化所述薄膜。
纳米级多孔薄膜的表面处理/溶剂交换
接下来,使所述老化的薄膜经历表面处理/溶剂交换。将甲基三乙酰氧基甲硅烷(MTAS)溶解在3-戊酮(Pacific Pac InternationalInc.,Hollister,CA)中,在3-戊酮中形成5重量%的MTAS浓度来制备用于表面处理/溶剂交换的溶液。MTAS购自United ChemicalTechnologies,Inc(Bristol,PA),将其在使用之前先进行过蒸馏。用上面的试剂,在如上述实施例1中的处理步骤和条件下,进行所述薄膜的表面处理/溶剂交换。
接着,将薄膜以2500转/分的速度旋转干燥10秒,然后从旋压模上取下所述薄膜以进行热处理。
然后在空气中,分别在175和320℃下将经上述处理所得到的薄膜加热60秒。
聚合物处理
使所述烘干的薄膜经历硅基聚合物溶液处理。使用实验性旋压电介质(spin-on dielectric)FlareTM(溶于环己酮中,浓度为4%,产自AlliedSignal at Santa Clara,California)。
1.将所述烘干的薄膜放在旋压模上,并以0转/分的速度旋转[这是静态操作]。
2.将约5毫升上述Flare聚合物溶液分配在薄膜上。
3.接着将薄膜以500转/分的速度旋转5秒,然后停止45秒,然后将薄膜以2000转/分的速度旋转60秒。
然后在氮气中,分别在150、200和250℃下将经上述处理所得到的薄膜加热60秒。接着在氮气中、400℃时,在炉子中使其固化60分钟。
薄膜机械强度的测定
通过螺栓拉伸试验测量所述薄膜的粘接强度。将待测薄膜放在基体薄片上,并且将铝层加在薄膜上面,以防止在随后涂覆环氧树脂时,环氧树脂渗透进入孔隙结构中。然后用环氧树脂将环氧树脂测试螺栓粘结到所述涂铝薄膜的上面。环氧树脂一固化,就从薄膜上拉开螺栓,直至一些部分断裂。刚好断裂之前那一刻测到的拉力记为螺栓拉伸强度,以千磅每平方英寸(KPSI)计。通过这一技术测量到的此薄膜的螺栓拉力是5KPSI(351.55kg/cm2)。
                         实施例7
此实施例说明:可以制备纳米级多孔二氧化硅薄膜,然后进行溶剂交换,再用LOSPTM硅基聚合物处理,以提供兼有SiC和SiH键的孔隙表面。
方法
1.将104.0毫升四乙氧基甲硅烷(Pacific Pac,Hollister,CA95023)、51.0毫升三甘醇一甲醚(TriEGMME)(Pacific Pac,Hollister,CA 95023)、8.4毫升去离子水和0.34毫升1N硝酸(J.T.Baker,Phillipsburg,NJ 06885)一起加入到圆底烧瓶中,以合成前体。充分混合所述溶液,然后将其加热至~80℃,并回流1.5小时形成溶液。在使该溶液冷却至室温后,将其在4℃下冷藏贮存。然后,用乙醇(Ricca Chemical Company,Arlington,TX 76012)将该溶液稀释25重量%以减小粘度。用TeflonTM过滤器过滤所述稀释的前体至0.1μm。
2.将大约8.0-10.0毫升的所述前体沉积到8英寸(20.2cm)硅片上,然后以2500转/分的速度旋转30秒。
3.在下列条件下,在真空室中凝胶化并老化所述薄膜:
1)将真空室抽真空至-20″汞。2)接下来,加热15M氢氧化铵(Aldrich Chemical Company,Milwaukee,WI 53201),并使之在45℃下保持平衡,并将其加入真空室中,以增压至-4.0″汞(-10.1cm),维持3分钟。3)最终,将真空室抽空至-20.0″汞(-50cm),并用空气回充。
4.然后用5重量%的甲基三乙酰氧基甲硅烷(MTAS)(GelestInc.,Tullytown,PA19007)在3-戊酮(Aldrich Chemical Company,Milwaukee,WI 53201)中的混合物使该薄膜进行溶剂交换。将大约20-30毫升的此混合物沉积在薄膜上,同时以250转/分的速度旋转20秒,而不使薄膜干燥。然后将该薄膜以1000转/分的速度旋转干燥5秒。
5.在空气中,分别在175℃和320℃的高温下将薄膜加热1分钟,使薄膜冷却至环境温度,装入石英薄片固定器中,然后插入立式管式炉中。将所述炉子中的样品保存在流速为67升/分钟的N2气中。炉子中的初始温度大约为150℃,随后以每分钟20℃的速度升至400℃。温度一达到400℃,薄膜就在此温度下保温0.5小时。这就产生了5,000-6,000埃(×10-4微米)的薄膜。
6.将由AlliedSignal Advanced Microelectronic Materials’(Sunnyvale California)生产的名为LOSPTM的低有机硅氧烷聚合物(其含有硅-氧主链)以3000转/分的速度、用20秒钟沉积在所述薄膜上。此聚合物在每个硅原子上带有3个氧原子,而其余的价态大约有40%的烷基和60%的氢。
7.分别在150℃,200℃和350℃下将该新薄膜加热1分钟,将其冷却至环境温度,然后装进石英薄片固定器并插入立式管式炉中,在炉中使它们保存在流速为67升/分钟的N2气中。如上所述,炉子中的初始温度大约为150℃,随后以每分钟20℃的速度升至400℃。然后,将薄膜在400℃时保温0.5小时。
结果
用傅里叶变换红外(“FTIR”)光谱、扫描电子显微镜(″SEM″)横截面、光学显微镜(“LM”)的裂缝/粘附力检查所制备的薄膜。
FTIR光谱(未示出)证明,在溶剂交换之后,在制备的薄膜中于C-H(2970cm-1)、Si-H(2250cm-1)和Si-C(1270cm-1)处存在吸收峰,从而证实所述薄膜含有所需比例的C-H、Si-H和Si-C键。此外,LM观察证实,当在较低放大倍数下现察时,所测试的薄膜好象没有裂缝。SEM横截面显示没有层间裂缝,且薄膜与基体有良好的粘附力。
                         实施例8
此实施例说明,可以制备纳米级多孔二氧化硅薄膜,然后将其进行溶剂交换,再用OPXTM硅基聚合物进行涂覆,这样,孔隙表面将主要由SiH键组成。
1.将104.0毫升四乙氧基甲硅烷(Pacific Pac,Hollister,CA95023)、51.0毫升三甘醇一甲醚(TriEGMME)(Pacific Pac,Hollister,CA 95023)、8.4毫升去离子水和0.34毫升1N硝酸(J.T.Baker,Phillipsburg,NJ 06885)一起加入到圆底烧瓶中,以合成前体。充分混合该溶液,然后将其加热至~80℃,并回流1.5小时形成溶液。在使该溶液冷却至室温后,将其在4℃下冷藏贮存。然后,用乙醇(Ricca Chemical Company,Arlington,TX 76012)将该溶液稀释25重量%以减小粘度。用TeflonTM过滤器过滤所述稀释的前体至0.1μm。
2.将大约8.0-10.0毫升的所述前体沉积到8英寸(20.2cm)硅片上,然后以2500转/分的速度旋转30秒。
3.在下列条件下,在真空室中凝胶化并老化薄膜:
1)将真空室抽真空至-20″汞(-51cm)。2)接下来,加热15M氢氧化铵(Aldrich Chemical Company,Milwaukee,WI 53201),并使之在45℃下保持平衡,然后将其加入所述真空室中,以将压力增至-4.0″汞(-10.1cm),并保持3分钟。3)最终,将真空室抽空至-20.0″汞(-51cm),并用空气回充。
4.然后用5重量%的甲基三乙酰氧基甲硅烷(MTAS)(GelestInc.,Tullytown,PA 19007)在3-戊酮(Aldrich Chemical Company,Milwaukee,WI 53201)中的混合物使所述薄膜进行溶剂交换。将大约20-30毫升的此混合物沉积在所述薄膜上,同时以250转/分的速度旋转20秒,而不使薄膜干燥。然后将该薄膜以1000转/分的速度旋转干燥5秒。
5.在空气中,分别在175℃和320℃的高温下将该薄膜加热1分钟,使其冷却至环境温度,然后装入石英薄片固定器并插入立式管式炉中,在炉子中,使它们保存在流速为67升/分钟的N2气中。如上所述,炉子中的初始温度大约为150℃,随后以每分钟20℃的速度升至400℃。然后将薄膜在400℃下保持0.5小时。这就产生了5,000-6,000埃(×10-4微米)厚度的薄膜。
将由AlliedSignal生产的名为OPXTM的聚合物(抗氧等离子体的氢化倍半硅氧烷)以3000转/分的速度、用20秒钟沉积在所述薄膜上。此聚合物在每个硅原子上主要带有1个氢,而其余的价态为氧原子。
6.分别在80℃、150℃和200℃下将该新薄膜加热1分钟,将其冷却至环境温度,然后装进石英薄片固定器并插入立式管式炉中,在炉中使它们保存在流速为67升/分钟的N2气中。如上所述,炉子中的初始温度大约为150℃,随后以每分钟20℃的速度升至400℃。然后,将该薄膜在400℃时保温0.5小时。
结果
与上面的实施例7一样,用FTIR、LM和SEM测试处理过的薄膜。
与实施例7的产品一样,FTIR光谱在以下区域显示吸收峰:C-H(2970cm-1)、S-H(2250cm-1)和Si-C(1270cm-1)。在LM较低的放大倍数下,所述薄膜好象是没有裂缝。SEM横截面显示薄膜没有层间裂缝,且薄膜与基体有良好的粘附力。
                        实施例9
此实施例说明,可以制备纳米级多孔二氧化硅薄膜,将其进行溶剂交换,并用HOSPTM硅基聚合物进行涂覆,这样,孔隙表面将主要包含SiC和SiH键。
1.将104.0毫升四乙氧基甲硅烷(Pacific Pac,Hollister,CA95023)、51.0毫升三甘醇一甲醚(TriEGMME)(Pacific Pac,Hollister,CA 95023)、8.4毫升去离子水和0.34毫升1N硝酸(J.T.Baker,Phillipsburg,NJ 06885)一起加入到圆底烧瓶中,以合成前体。充分混合该溶液,然后将其加热至~80℃,并回流1.5小时形成溶液。在使溶液冷却至室温后,将其在4℃下冷藏贮存。然后,用乙醇(Ricca Chemical Company,Arlington,TX 76012)将该溶液稀释25重量%以减小粘度。用TeflonTM过滤器过滤稀释的前体至0.1μm。
2.将大约8.0-10.0毫升所述前体沉积到8英寸(20.1cm)硅片上,然后以2500转/分的速度旋转30秒。
3.在下列条件下,在真空室中凝胶化并老化所述薄膜:
1)将真空室抽真空至-20″汞(-51cm)。2)接下来,加热15M氢氧化铵(Aldrich Chemical Company,Milwaukee,WI 53201),并使之在45℃下保持平衡,并将其加入真空室中,以增压至-4.0″汞(-10.1cm),维持3分钟。3)最终,将真空室抽空至-20.0″汞(-20.2cm),并用空气回充。
4.然后用5重量%的甲基三乙酰氧基甲硅烷(MTAS)(GelestInc.,Tullytown,PA 19007)在3-戊酮(Aldrich Chemical Company,Milwaukee,WI 53201)中的混合物使所述薄膜进行溶剂交换。将大约20-30毫升的此混合物沉积在所述薄膜上,同时以250转/分的速度旋转20秒,而不使薄膜干燥。然后将该薄膜以1000转/分的速度旋转干燥5秒。
5.在空气中,分别在175℃和320℃的高温下将该薄膜加热1分钟,使其冷却至环境温度,然后装入石英薄片固定器并插入立式管式炉中,在炉子中,使它们保存在流速为67升/分钟的N2气中。如上所述,炉子中的初始温度大约为150℃,随后以每分钟20℃的速度升至400℃。然后将薄膜在400℃下保持0.5小时。这就产生了5,000-6,000埃(×10-4微米)厚度的薄膜。
6.将由AlliedSignal Advanced Microelectronic Materials’(Sunnyvale Californi)生产的名为HOSPTM的高有机硅氧烷聚合物(其含有硅氧主链)以3000转/分的速度、用20秒钟沉积在所述薄膜上。此聚合物在每个硅原子上带有3个氧原子,而其余的价态大约有60%的烷基和40%的氢。
7.分别在150℃、200℃和350℃下将该新薄膜加热1分钟。然后,将该薄膜用氮、在400℃升温程序下固化0.5小时。
8.用FTI、SEM横截面、通过LM的裂缝/粘附力检查和水滴渗透检定所述薄膜。

Claims (19)

1.一种表面涂覆的纳米级多孔二氧化硅介电薄膜,其制备方法包括下列步骤:在基体上形成纳米级多孔二氧化硅介电涂层,和在所说的纳米级多孔二氧化硅介电涂层上有效成层的条件下,用含有聚合物的涂层组合物涂覆所形成的纳米级多孔二氧化硅介电涂层。
2.权利要求1的表面涂覆的纳米级多孔二氧化硅介电薄膜,其中,所述纳米级多孔二氧化硅介电涂层是由含有至少一种化合物的旋装玻璃材料制备的,该化合物选自烷氧基硅烷、烷基烷氧基硅烷、倍半硅氧烷、氢化硅氧烷、氢化有机硅氧烷、氢化倍半硅氧烷、乙酰氧基硅烷、卤化硅烷及其组合。
3.权利要求1的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中,所述的涂层组合物含有一种化合物,该化合物选自低有机硅氧烷、高有机硅氧烷、氢化有机硅氧烷、聚(亚芳基醚)、氟化聚(亚芳基)醚、聚酰亚胺、聚碳硅烷及其组合。
4.权利要求1的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中,在所述纳米级多孔二氧化硅介电涂层固化之前,将涂层组合物涂覆到形成的纳米级多孔二氧化硅介电涂层上,然后同时固化所述的纳米孔介电涂层和涂层组合物。
5.权利要求2的表面涂覆的纳米级多孔二氧化硅介电薄膜,其中,所述纳米级多孔二氧化硅介电涂层是从含有烷氧基硅烷的旋装玻璃材料制备的。
6.权利要求5的表面涂覆的纳米级多孔二氧化硅介电薄膜,其中,所述纳米级多孔二氧化硅介电涂层是从含有四乙氧基甲硅烷的旋装玻璃材料制备的。
7.权利要求3的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中所述的涂层组合物含有至少一种低有机化合物,该低有机化合物选自
[H-SiO1.5]n[R-SiO1.5]m,                            式2
[H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m,           式3
[H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m,                    式4
[H-SiO1.5]x[R-SiO1.5]y[SiO2]z,                  式5
及其组合;
其中,R为有机基团;
其中,n和m的总和为8到5000;
x、y和z的总和为8到5000;和
选择m和y以使含碳取代基的含量小于40摩尔%。
8.权利要求7的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中R是有机基团并独立地选自直链烷基、支链烷基、环烷基、芳基及其混合物。
9.权利要求3的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中所述的涂层组合物含有至少一种化合物,该化合物选自
[HSiO1.5]n[RSiO1.5]m,                         式6
[H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]w,       式7
[H0-1.0SiO1.5-2.0]n[RSiO1.5]m,                 式8
[HSiO1.5]x[RSiO1.5]y[SiO2]z,               式9
及其组合;
其中,R为有机基团;
其中,n和m的总和为8到5000;
选择m以使含碳取代基的含量为40摩尔%或更高;
其中,x、y和z的总和为8到5000;和
选择y以使含碳取代基的含量为40摩尔%或更高。
10.权利要求9的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中,R是有机基团并独立地选自直链烷基、支链烷基、环烷基、芳基及其混合物。
11.权利要求3的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中所述涂层组合物含有至少一种具有下式的化合物:
(HSiO1.5)a(HSiO(OR))b(SiO2)c,           (式10)
其中,R是H与具有1到4个碳原子的烷基的混合物;
且a+b+c=1;0.5<a<0.99;0.01<b<0.5;和0<c<0.5。
12.权利要求3的表面涂覆的纳米级多孔二氧化硅介电薄膜,其是用下列方法制备的,其中,所述涂层组合物含有至少一种聚碳硅烷,该聚碳硅烷选自-[Si(R1)(R2)(H)]x-、-[Si(R3)(R4)]y-、
-[Si(R5)(R7)(O-R6)]z-、-[Si(R8)(R9)(R10)]w-;
其中,R1、R7和R10每个独立地选自亚烷基、亚环烷基和亚芳基,并且是取代或未被取代的,
R2、R3、R4、R5、R6和R9每个独立地选自H和有机基团,
R6选自有机硅、硅烷基、甲硅烷氧基和有机基团;且
10<x+y+z+w<100,000,且y和z和w可以共同是零或独立地是零。
13.权利要求1的表面涂覆的纳米级多孔二氧化硅介电薄膜,其具有下列测量性能:用傅里叶变换红外光谱测量的在2150cm-1处有Si-H键吸光度,螺栓拉伸强度由1.5kpsi即105.46kg/cm2到6kpsi即421.86kg/cm2,并且其中孔隙结构仍然是畅通的。
14.一种集成电路设备,其包括按照权利要求1制备的表面涂覆的纳米级多孔二氧化硅介电薄膜。
15.一种制备表面涂覆的纳米级多孔二氧化硅介电薄膜的方法,包括下列步骤:在基体上形成纳米级多孔二氧化硅介电涂层,和在所说的纳米级多孔二氧化硅介电涂层上有效地形成聚合物层的条件下,用含有聚合物的涂层组合物涂覆形成的纳米级多孔二氧化硅介电涂层。
16.权利要求15的方法,其中,通过在基体上涂覆旋装玻璃薄膜,然后使该薄膜凝胶化形成纳米级多孔二氧化硅介电涂层来制备纳米级多孔二氧化硅介电薄膜。
17.权利要求15的方法,其中,所述涂层组合物含有一种聚合物,该聚合物选自低有机硅氧烷、高有机硅氧烷、氢化有机硅氧烷、聚(亚芳基醚)、氟化聚(亚芳基)醚、聚酰亚胺、聚碳硅烷及其组合。
18.权利要求15的方法,包括将所述涂层组合物涂覆到形成的纳米级多孔二氧化硅介电涂层上,然后同时固化所述纳米孔介电涂层和涂层组合物。
19.用权利要求15的方法制备的表面涂覆的纳米级多孔二氧化硅介电薄膜。
CNB008146837A 1999-08-23 2000-08-23 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅 Expired - Fee Related CN1187799C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/379,484 US6318124B1 (en) 1999-08-23 1999-08-23 Nanoporous silica treated with siloxane polymers for ULSI applications
US09/379484 1999-08-23

Publications (2)

Publication Number Publication Date
CN1382304A CN1382304A (zh) 2002-11-27
CN1187799C true CN1187799C (zh) 2005-02-02

Family

ID=23497456

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008146837A Expired - Fee Related CN1187799C (zh) 1999-08-23 2000-08-23 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅

Country Status (8)

Country Link
US (1) US6318124B1 (zh)
EP (1) EP1206797A1 (zh)
JP (1) JP2003508895A (zh)
KR (1) KR20020025992A (zh)
CN (1) CN1187799C (zh)
AU (1) AU6931300A (zh)
TW (1) TW568958B (zh)
WO (1) WO2001015214A1 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6528153B1 (en) * 1999-09-30 2003-03-04 Novellus Systems, Inc. Low dielectric constant porous materials having improved mechanical strength
KR100683428B1 (ko) * 1999-10-25 2007-02-20 다우 코닝 코포레이션 용액 용해도와 안정성이 우수한 실리콘 수지 조성물
EP1110909A1 (en) * 1999-12-24 2001-06-27 Bio Minerals N.V. Method for preparing ortho silicic acid, ortho silicic acid as obtained, and its use
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6548892B1 (en) * 2000-08-31 2003-04-15 Agere Systems Inc. Low k dielectric insulator and method of forming semiconductor circuit structures
JP4545973B2 (ja) * 2001-03-23 2010-09-15 富士通株式会社 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
US8042771B2 (en) 2007-05-25 2011-10-25 Karl F. Milde, Jr. Method and apparatus for treating fuel to temporarily reduce its combustibility
US7222821B2 (en) * 2001-11-21 2007-05-29 Matos Jeffrey A Method and apparatus for treating fuel to temporarily reduce its combustibility
US7029723B2 (en) * 2003-01-07 2006-04-18 Intel Corporation Forming chemical vapor depositable low dielectric constant layers
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
WO2004068555A2 (en) * 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
US7359926B1 (en) 2003-02-13 2008-04-15 Stampede, Technologies, Inc. System for optimization of database replication/synchronization
JP2006525483A (ja) * 2003-05-06 2006-11-09 アスペン・エアロジエルズ・インコーポレーテツド 荷重負担性の軽量コンパクトな断熱システム
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
JP4737361B2 (ja) * 2003-12-19 2011-07-27 Jsr株式会社 絶縁膜およびその形成方法
US7347970B2 (en) * 2004-02-13 2008-03-25 University Of Florida Research Foundation, Inc. Biocides based on silanol terminated silanes and siloxanes
US8097269B2 (en) * 2004-02-18 2012-01-17 Celonova Biosciences, Inc. Bioactive material delivery systems comprising sol-gel compositions
US7981441B2 (en) * 2004-02-18 2011-07-19 The Board Of Trustees Of The Leland Stanford Junior University Drug delivery systems using mesoporous oxide films
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
JP4591032B2 (ja) * 2004-10-15 2010-12-01 Jsr株式会社 表面疎水化用組成物、表面疎水化方法および半導体装置の製造方法
EP1812961A1 (en) * 2004-10-27 2007-08-01 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20060263587A1 (en) * 2004-11-24 2006-11-23 Ou Duan L High strength aerogel panels
JP2006152063A (ja) * 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
WO2006127182A2 (en) * 2005-04-15 2006-11-30 Aspen Aerogels Inc. Coated insulation articles and their manufacture
US20060264133A1 (en) * 2005-04-15 2006-11-23 Aspen Aerogels,Inc. Coated Aerogel Composites
US7521355B2 (en) 2005-12-08 2009-04-21 Micron Technology, Inc. Integrated circuit insulators and related methods
WO2007140293A2 (en) 2006-05-25 2007-12-06 Aspen Aerogels, Inc. Aerogel compositions with enhanced performance
US8435474B2 (en) 2006-09-15 2013-05-07 Cabot Corporation Surface-treated metal oxide particles
US8202502B2 (en) * 2006-09-15 2012-06-19 Cabot Corporation Method of preparing hydrophobic silica
US20080070146A1 (en) * 2006-09-15 2008-03-20 Cabot Corporation Hydrophobic-treated metal oxide
US8455165B2 (en) 2006-09-15 2013-06-04 Cabot Corporation Cyclic-treated metal oxide
US20080173541A1 (en) * 2007-01-22 2008-07-24 Eal Lee Target designs and related methods for reduced eddy currents, increased resistance and resistivity, and enhanced cooling
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US8702919B2 (en) 2007-08-13 2014-04-22 Honeywell International Inc. Target designs and related methods for coupled target assemblies, methods of production and uses thereof
US20090111925A1 (en) * 2007-10-31 2009-04-30 Burnham Kikue S Thermal interface materials, methods of production and uses thereof
US9114125B2 (en) 2008-04-11 2015-08-25 Celonova Biosciences, Inc. Drug eluting expandable devices
CN102046699B (zh) * 2008-05-26 2012-09-05 巴斯夫欧洲公司 制备多孔材料的方法和通过该方法制备的多孔材料
WO2010009234A1 (en) * 2008-07-16 2010-01-21 Wisconsin Alumni Research Foundation Metal substrates including metal oxide nanoporous thin films and methods of making the same
KR20100082170A (ko) * 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5410207B2 (ja) * 2009-09-04 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜製造方法およびそれに用いるポリシラザン塗膜処理液
JP5610521B2 (ja) * 2010-08-06 2014-10-22 AzエレクトロニックマテリアルズIp株式会社 シロキサン樹脂組成物の硬化被膜形成方法
US8741432B1 (en) 2010-08-16 2014-06-03 The United States Of America As Represented By The Secretary Of The Air Force Fluoroalkylsilanated mesoporous metal oxide particles and methods of preparation thereof
US8580027B1 (en) 2010-08-16 2013-11-12 The United States Of America As Represented By The Secretary Of The Air Force Sprayed on superoleophobic surface formulations
JP5405437B2 (ja) * 2010-11-05 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 アイソレーション構造の形成方法
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US8927430B2 (en) * 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8828489B2 (en) * 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US8968864B2 (en) * 2011-09-23 2015-03-03 Imec Sealed porous materials, methods for making them, and semiconductor devices comprising them
US10185057B2 (en) * 2011-11-11 2019-01-22 Ppg Industries Ohio, Inc. Coated articles having abrasion resistant, glass-like coatings
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
WO2019039909A1 (ko) * 2017-08-24 2019-02-28 주식회사 엘지화학 실리카막의 제조 방법
SG11202005938SA (en) 2018-01-05 2020-07-29 Fujifilm Electronic Materials Usa Inc Surface treatment compositions and methods
US20200035494A1 (en) * 2018-07-30 2020-01-30 Fujifilm Electronic Materials U.S.A., Inc. Surface Treatment Compositions and Methods

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3445267A (en) * 1966-01-12 1969-05-20 Dow Corning Treatment of glass with silsesquioxanes to improve durability of subsequent silicone treatments to washing
JPS63269554A (ja) * 1987-04-27 1988-11-07 Mitsubishi Electric Corp 半導体装置
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
US6114186A (en) * 1996-07-30 2000-09-05 Texas Instruments Incorporated Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US6043330A (en) * 1997-04-21 2000-03-28 Alliedsignal Inc. Synthesis of siloxane resins
US6143855A (en) * 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
US6218497B1 (en) * 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6048804A (en) * 1997-04-29 2000-04-11 Alliedsignal Inc. Process for producing nanoporous silica thin films
JPH10324585A (ja) * 1997-05-22 1998-12-08 Kobe Steel Ltd 断熱用透明多孔体とその製造方法
KR19980087552A (ko) * 1997-05-28 1998-12-05 윌리엄 버. 켐플러 집적 회로 유전체 및 그 방법
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6097095A (en) * 1999-06-09 2000-08-01 Alliedsignal Inc. Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics

Also Published As

Publication number Publication date
AU6931300A (en) 2001-03-19
TW568958B (en) 2004-01-01
KR20020025992A (ko) 2002-04-04
JP2003508895A (ja) 2003-03-04
US6318124B1 (en) 2001-11-20
CN1382304A (zh) 2002-11-27
WO2001015214A1 (en) 2001-03-01
EP1206797A1 (en) 2002-05-22

Similar Documents

Publication Publication Date Title
CN1187799C (zh) 用于超大规模集成电路的经硅氧烷聚合物处理的纳米级多孔二氧化硅
CN1146965C (zh) 硅烷基多纳米孔隙二氧化硅薄膜
CN1236480C (zh) 多官能硅基低聚物/聚合物纳米孔二氧化硅薄膜的表面改性中的应用
CN1303619C (zh) 形成具有低介电常数的薄膜的方法
CN1125138C (zh) 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途
US8686101B2 (en) Coating liquid for forming low dielectric constant amorphous silica-based coating film and the coating film obtained from the same
CN1255573C (zh) 低介电常数材料以及通过cvd的加工方法
CN1616468A (zh) 多官能环状硅氧烷化合物和由该化合物制备的硅氧烷基聚合物和用该聚合物制备介电薄膜的方法
CN1502122A (zh) 恢复电介质膜及电介质材料中疏水性的方法
CN1277952C (zh) 制备基体上的低介电纳米多孔薄膜的方法、一种制品和一种集成电路
CN1487567A (zh) 制备低介材料的组合物
CN1681737A (zh) 多孔质薄膜的改质方法及被改质的多孔质薄膜及其用途
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
EP1790703A2 (en) Coating liquid for forming silica-based film having low dielectric constant and substrate having film of low dielectric constant coated thereon
CN1347939A (zh) 环烯烃加成共聚物组合物和交联材料
CN1957020A (zh) 有机二氧化硅系膜及形成法、布线结构体、半导体装置及膜形成用组合物
CN1697865A (zh) 生产绝缘膜的涂料组合物、使用该涂料组合物制备绝缘膜的方法、由其得到的用于半导体器件的绝缘膜及含有该绝缘膜的半导体器件
CN1831070A (zh) 一种耐蚀性能优异的有机-无机杂化涂层材料及其制备方法
CN1387676A (zh) 用于集成电路平面化的改进装置和方法
US6930393B2 (en) Composition for forming porous film, porous film and method for forming the same, interlayer insulator film, and semiconductor device
CN1626537A (zh) 多官能环状硅酸盐(或酯)化合物,由该化合物制得的基于硅氧烷的聚合物和使用该聚合物制备绝缘膜的方法
EP3755658A1 (en) Perhydropolysilazane compositions and methods for forming oxide films using same
EP3755738A1 (en) Perhydropolysilazane compositions and methods for forming nitride films using same
CN1757445A (zh) 用于制备含溶剂的低介电材料的组合物
CN1782124A (zh) 多孔低介电常数组合物、其制备方法及其使用方法

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: HONEYWELL INTERNATIONAL CORP.

Free format text: FORMER NAME: ALLIED-SIGNAL LTD.

CP01 Change in the name or title of a patent holder

Address after: new jersey

Patentee after: Honeywell International Corp.

Address before: new jersey

Patentee before: Allied-Signal Ltd.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050202

Termination date: 20120823