CN1192430C - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN1192430C
CN1192430C CNB001049275A CN00104927A CN1192430C CN 1192430 C CN1192430 C CN 1192430C CN B001049275 A CNB001049275 A CN B001049275A CN 00104927 A CN00104927 A CN 00104927A CN 1192430 C CN1192430 C CN 1192430C
Authority
CN
China
Prior art keywords
layer
barrier layer
conductive barrier
interconnection
window
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB001049275A
Other languages
English (en)
Other versions
CN1269607A (zh
Inventor
亚力山大·L·巴尔
苏勒士·梵卡特散
戴维·B·克勒格
勒波卡·G·考尔
奥鲁布恩米·阿德突突
斯杜尔特·E·格力尔
布瑞安·G·安舍尼
拉姆那施·凡卡特拉曼
格勒帼·布雷科尔曼
道格拉斯·M·勒博
斯蒂芬·R·克朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of CN1269607A publication Critical patent/CN1269607A/zh
Application granted granted Critical
Publication of CN1192430C publication Critical patent/CN1192430C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05172Vanadium [V] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/0518Molybdenum [Mo] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01016Sulfur [S]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01076Osmium [Os]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Abstract

一种覆盖半导体器件衬底(10)的互连。在一个实施例中,导电势垒层覆盖部分互连,钝化层(92)覆盖导电势垒层,且钝化层(92)具有暴露部分导电势垒层(82)的窗口。在一个变通实施例中,钝化层(22)覆盖互连,钝化层(22)具有暴露互连的窗口(24),且导电势垒层(32)覆盖窗口(24)中的互连。

Description

半导体器件及其制造方法
技术领域
本发明一般涉及到半导体器件的制作工艺,更确切地说是涉及到包括互连势垒层的半导体器件的制作工艺。
背景技术
随着半导体器件的尺寸和封装件越来越小,在半导体器件键合焊点上制作导电凸块变得越来越普遍。此凸块被用来代替金属丝,以便将键合焊点电连接到其相应的封装引线。一种特定类型的凸块包括受控熔塌芯片连接(C4)凸块。这些凸块通常需要在键合焊点与凸块之间制作焊点限制金属层。焊点限制金属层通常包括铬和铬的合金。但这些含铬的薄膜可以具有诸如裂纹和不规则晶粒边界之类的缺陷,这就限制了铬层恰当地将键合焊点和凸块材料分隔开来的能力。
凸块通常包括锡(Sn)和铅(Pb)之类的元素。当势垒无法保持键合焊点与凸块分隔时,键合焊点材料能够与凸块中的铅或锡发生反应,并能够形成这些材料的金属间合金。若键合焊点包括含铜的材料,则能够导致易碎的金属间合金。易碎的金属间合金随后可能破裂,从而导致凸块失效。此外,由于键合焊点与凸块之间的键合过程和粘合性变坏而可能形成空洞。在极端的情况下,这可以产生高阻,能够对半导体器件的性能造成负面影响,甚至导致半导体器件失效。
发明内容
根据本发明的一方面,提供一种半导体器件,其特征是:在半导体器件衬底上形成的第一互连;在部分第一互连上形成的绝缘势垒层;在另一部分第一互连和部分绝缘势垒层上形成的键合焊点互连;覆盖部分键合焊点互连的导电势垒层,此导电势垒层延伸到该部分键合焊点互连的边沿区以外;导电势垒层上形成的抗氧化层;以及覆盖抗氧化层的钝化层,此钝化层和抗氧化层具有暴露部分导电势垒层的窗口。
根据本发明的另一方面,提供一种制作半导体器件的方法,其特征是:在半导体器件衬底上制作第一互连;在部分第一互连上制作绝缘势垒层;在另一部分第一互连和部分绝缘势垒层上制作键合焊点互连;制作覆盖部分键合焊点互连的导电势垒层,此导电势垒层延伸到该部分键合焊点互连的边沿区以外;制作覆盖导电势垒层的抗氧化层;制作覆盖抗氧化层的钝化层,以及在钝化层和抗氧化层中制作窗口,其中窗口暴露部分导电势垒层。
附图说明
在附图中,用举例而不是限制的方法,描述了本发明,其中相同的参考号表示相同的元件,其中:
图1-7示出了根据第一组实施例制作具有铜互连和凸块的半导体器件的剖面图。
图8-12示出了根据第二组实施例制作具有铜互连和凸块的半导体器件的剖面图。
熟练的技术人员能够理解,各个图中的各个元件是为了简明而示出的,没有必要按比例绘出。例如,为了有助于增进对本发明实施例的了解,图中的某些元件的尺寸可能相对于其它的元件被夸大了。
具体实施方式
根据本发明的实施例,公开了一种半导体器件及其制作方法。在一个实施例中,导电势垒层覆盖互连,钝化层覆盖导电势垒层,且钝化层具有暴露部分导电势垒层的窗口。在一个变通实施例中,钝化层覆盖互连,钝化层具有暴露互连的窗口,且导电势垒层覆盖窗口中的互连。
图1示出了部分半导体器件的剖面图。此半导体器件包括半导体器件衬底100、场隔离区102、以及制作在半导体器件衬底100中的掺杂区104。栅介质层106覆盖部分半导体器件衬底100,而栅电极108覆盖栅介质层106。
在栅电极108和半导体器件衬底100上,制作第一层间介质层(ILD)110。对此第一层间介质层110进行图形化,以形成被粘合/势垒层112和铜填充材料114填充的双重镶嵌窗口。此粘合/势垒层112通常是难熔金属、难熔金属氮化物、或难熔金属或它们的氮化物的组合。铜填充材料114通常是铜或铜的合金,其中铜的含量至少为90%原子比。为了改善互连的粘合性、电迁移或其它性质,铜可以与镁、硫、碳之类形成合金。在淀积粘合/势垒层112和铜填充材料114之后,对衬底进行抛光以清除窗口外面的粘合/势垒层112和铜填充材料114部分。
在制作第一互连层之后,在铜填充的互连和第一ILD层110上制作绝缘势垒层122。此绝缘势垒层122包括氮化硅、氮氧化硅之类。用绝缘材料制作绝缘势垒层122,免除了采用导电势垒层时为了使各个互连彼此电隔离而需要的额外的图形化和腐蚀工序。在绝缘势垒层122上制作第二ILD层124。在第二ILD124中制作包含导电粘合/势垒层126和铜填充材料128的双重镶嵌互连。此双重镶嵌互连用相似于制作第一ILD层110中的双重镶嵌互连结构所用的工艺和材料来制作。
然后,如图2所示,在第二ILD层124和双重镶嵌互连上制作钝化层22。此钝化层可以包括一个或多个由氮化硅、氮氧化硅、二氧化硅之类组成的膜。最靠近铜填充材料128的钝化层22部分,通常包括氮化硅或氮原子相对于氧原子浓度较高的氮氧化硅膜。对钝化层22进行图形化,以便形成穿过钝化层延伸到铜填充材料128的键合焊点窗口24。
如图3所示,在钝化层22和铜填充材料128上淀积导电势垒层32。可以用包括化学汽相淀积、物理汽相淀积、蒸发淀积、电镀、无电镀之类的工艺来制作导电势垒层32。此层的厚度一般在大约50-300nm的范围内。此导电势垒层32通常包括难熔金属、难熔金属的氮化物、或它们的组合。在一个实施例中,此导电势垒层32包括钛(Ti)和氮化钛(TiN)的组合。钛/氮化钛叠层改善了对下方铜填充材料128和钝化层22的粘合性。此外,氮化钛形成势垒,防止了铜填充材料与随后淀积的导电凸块发生反应。作为变通,此导电势垒层32可以包括诸如钽(Ta)、氮化钽(TaN)、钨(W)、氮钨化钛(TiWN)、钨化钛(TiW)、氮化钨(WN)、氮化钼(MoN)、氮化锢(CoN)、或它们的组合之类的其它材料。在其它实施例中,可以使用抗氧的材料。这些材料可以包括铂(Pt)、钯(Pd)、镍(Ni)、导电金属氧化物或它们的相应金属等等。此导电金属氧化物或它们的相应金属还可以包括铱(Ir)和氧化铱(IrO2);钌(Ru)和氧化钌(RuO2);铼(Re)和氧化铼(ReO2和ReO3);或锇(Os)和氧化锇(OsO2)。
然后在导电势垒层32上制作光刻胶层34。此光刻胶层34暴露部分覆盖钝化层22的导电势垒层32。此光刻胶层制作成覆盖键合焊点窗口34。此外,如图3所示,也可以对其进行图形化,以便稍许延伸于位于钝化层22上的导电势垒层32的表面部分。
然后用常规腐蚀工艺对此导电势垒层32进行腐蚀,以便清除覆盖钝化层22的导电势垒层32的暴露部分。腐蚀之后,用等离子体灰化工艺或用使用诸如N-甲基-2-吡咯烷酮、丙酮、甲基异丁基酮(MIBK)之类的有机化学品的湿法化学工艺,来清除图形化的光刻胶层34。
作为变通,若电镀或无电镀之类的镀敷工艺被用来制作导电势垒层,则可以不需要上述的图形化工序。而是可以在制作窗口24之后,直接在铜填充材料128的暴露部分上镀敷导电势垒层。如图4所示,若有需要,镀敷可以进行到镀敷的材料覆盖部分钝化层以形成导电势垒层32的程度。
然后,如图5所示,在半导体器件上制作管芯涂敷层52,并对其进行图形化以形成覆盖键合焊点窗口24的管芯涂敷窗口54。在此特定实施例中,导电势垒层32的外围部分具有暴露在管芯涂敷窗口54中的边沿。此管芯涂敷层52可以制作成可光成象的聚酰亚胺膜或被常规光刻胶和腐蚀工艺图形化的聚酰亚胺膜。
如图6所示,在制作焊点限制金属层62之前,通过射频(RF)溅射清洗对导电势垒层32的暴露部分进行加工。借助于清除可能包含诸如氧、碳、氟和氯之类的杂质的导电势垒层32的最上部分,RF溅射清洗改善了势垒层与焊点限制金属层62之间的接触电阻。在一个实施例中,RF溅射清洗工艺作为在淀积焊点限制金属层(凸块下方)之前的原位加工的一部分被执行。在一个实施例中,执行RF溅射清洗的工艺参数如下:RF功率在大约1200-1500W范围内,直流(DC)偏置电压在大约-300到-600V范围内,压力在大约0.1-0.5帕范围内,而时间在大约150-250秒范围内。此RF溅射清洗工艺从势垒层32的表面清除大约20-40nm的势垒材料。
然后,如图6所示,在管芯涂敷窗口54内制作焊点限制金属层62。焊点限制金属层通常包括能够包括粘合膜、中间耦合/焊接膜和抗氧化势垒膜的各个膜的功能组合。在一个实施例中,焊点限制金属层62包括下列4个不同的膜组成的复合物:铬膜622、铬铜合金膜624、铜膜626和金膜628。铬膜622和铬铜合金膜624的厚度都在大约50-500nm范围内,铜膜626的厚度在大约700-1300nm范围内,而金膜628的厚度在80-140nm范围内。作为变通,焊点限制金属可以包括诸如由钛、铜和金组成的复合物或由钛、镍、铜和金组成的复合物这里的各个膜的组合。通常用使用屏蔽板的蒸发方法来制作焊点限制金属层62。但也可以采用溅射之类的其它方法来制作焊点限制金属。
根据一个实施例,如图7所示,在制作焊点限制金属层之后,在焊点限制金属层62上淀积诸如铅锡焊料材料72之类的凸块材料。可以用屏蔽板方法来蒸发铅锡焊料材料72,或者用诸如镀敷或焊料喷射之类的其它常规方法来制作。然后,如图7所示,执行回流工艺步骤,使铅锡焊料材料72的各个角圆滑,从而形成凸块。
在工艺的这一时刻,已经制造了基本上完成的半导体器件。随后可以将此器件固定到倒装片或网格焊球阵列封装件之类的封装结构。虽然未示出,也可以按需要制作其它的互连层。同样,对栅电极108和掺杂区104也可以制作其它互连。若要制作额外的互连,则可以用制作和淀积绝缘体势垒层122、第二ILD层124、粘合/势垒层126和铜填充材料128的相似的工艺来制作。
图8-12示出了本发明的一个变通实施例。参照图8,在第二ILD层124和铜填充材料128上制作导电势垒层82。用图3首次示出的制作导电势垒层32中所述的任何一种方法或材料来制作导电势垒层82。此导电势垒层82的厚度通常在大约50-300nm范围内。在此特定的实施例中,然后在导电势垒层82上制作可选的抗氧化层84。此抗氧化层可以包括防止下方层氧化的或比下方层更容易被氧化的任何材料。可以使用的材料的例子包括氮化硅、多晶硅、非晶硅、或导电金属氧化物或其相应的导电金属。抗氧化层84的厚度在大约10-50nm范围内。然后在导电势垒层82和抗氧化层84上制作光刻胶层86。对光刻胶层86进行图形化,以覆盖位于铜填充材料128和粘合/势垒层126上的部分导电势垒层82和抗氧化层84。
然后用常规腐蚀工艺清除导电势垒层82和抗氧化层84的未被图形化的部分。然后,如图9所示,清除光刻胶,并在包含导电势垒层82和抗氧化层84的叠层以及部分介质层124上制作钝化层92。此钝化层92相似于图2首先介绍的钝化层22。在此特定的实施例中,对钝化层92进行图形化以形成键合焊点窗口94。如图9所示,不是键合焊点窗口中的所有的钝化层都被清除。因此,在图形化工艺中仅仅局部地形成键合焊点窗口。在完成图形化之后,残留的部分96仍然保留在抗氧化层84上。
然后,如图10所示,制作管芯涂敷层1001,并对其进行图形化以形成管芯涂敷窗口1003。此管芯涂敷层1001相似于图5首次介绍的管芯涂层52。此管芯涂敷窗口1003暴露包括残留部分96的部分钝化层92。在形成管芯涂敷窗口1003之后,执行腐蚀以清除残留部分96和下方的抗氧化层84。如图11所示,这就形成了管芯涂敷窗口1103。在这一腐蚀过程中,正如被清除的钝化层部分1102所示,被管芯涂敷层1001暴露的部分钝化层92也被腐蚀。在一个特定的实施例中,钝化层92包括诸如氮化硅或氮氧化硅之类的硅和氮,而抗氧化层84包括氮化硅。因此,可以用相同的或相似的腐蚀化学来清除钝化层92和抗氧化层84的残留部分96。
继续工艺,以便形成图12所示的基本上完成的器件。与前述相似地制作焊点限制金属层1220,它包括铬膜1222、铬铜合金膜1224、铜膜1226和金膜1228以及铅锡焊料1230。如果需要,与前述相似,可以在制作焊点限制金属层1220之前,用RF溅射清洗工艺来制备势垒层的表面。在此特定的实施例中,如图12所示,回流步骤被执行以便使铅锡焊料的形状圆滑,使其外貌成圆顶状。
在图9-12所述的各个实施例中,绝缘势垒层122被用于最上层互连之外的所有互连层。最上层互连是其上制作键合焊点的互连层。因此,它是使用导电势垒层82的唯一的互连层。
本发明可以有许多其它的实施例。参照图3,导电势垒层32也可以包括相似于图8-12中第二组实施例所述的抗氧化层84的覆盖抗氧化层。同样,由于钝化层92的残留部分96使得能够使用含氧的等离子体而不损伤导电势垒层82,故第二组实施例不一定需要使用抗氧化层84。当导电势垒层82或32包括能够与含氧的等离子体或用来清除或显影光刻胶或聚酰亚胺的其它化学品发生不利的反应的氮化钽、氮化钛之类时,这是很重要的。这些化学品的例子可以包括四甲基氢氧化氨、N-甲基-2-吡咯烷酮、丙酮、MIBK等。
除了如图9-12所示在键合焊点上制作导电势垒层之外,也可以用导电势垒材料在半导体器件的导电区之间制作聚焦能量可改变的即激光可改变的连接。这些连接的电导率可以用激光加以修正,以便对器件电路进行编程或调整。
用导电势垒层制作激光可改变的连接,比现有技术更为优越。比之通常用来形成激光可改变的连接的互连层,这一导电势垒层通常更薄,热导率更低、且反射率更低。比之互连层,此导电势垒层还是自钝化的。因此,激光改变的可靠性由于降低了激光改变后的短路可能性而通常得到改善。此外,激光可改变的连接被制作在更靠近半导体器件的最上表面处。这使激光器能够使用较少的功率来影响激光可改变的连接的电导率,这相应地降低了引起短路、损伤相邻连接和损伤周围钝化层的可能性。而且,可以用同一个层同时制作此导电势垒层和激光可改变的连接。因此,工艺整体不需要额外的加工步骤。
虽然对于焊点限制金属层62列出了具体的材料,但也可以使用其它的材料和此整个方法的其它变种。例如,导电势垒层可以组合成焊点限制金属层的一部分。此时,可以在制作其它各个焊点限制金属层薄膜之前,将其蒸发或溅射到晶片上。在另一个实施例中,焊点限制金属层和焊料材料可以用物理汽相淀积或喷射印刷方法一起制作,从而用喷嘴将各个熔化的焊料液滴淀积就位。
此处所述的各个实施例可以整合成现有工艺而无须使用稀有的材料、开发新的工艺、或采购新的加工设备。导电势垒层32和82足以防止来自互连的铜与来自凸块的铅锡焊料相互发生反应。因此,保持了凸块与互连之间界面处的完整性。这就改善了凸块的机械完整性,并有助于降低凸块与互连之间的电阻。
在上述说明书中,已经参照具体的实施例描述了本发明。然而,本技术领域的熟练人员理解,能够做出各种各样的修正和改变而不超越下列权利要求所述的本发明的范围。因此,本说明书和附图被认为是示例性的而不是限制性的,且所有这些修正都包括在本发明的范围内。对于具体的实施例,已经描述了各种好处、其它的优点以及问题的解决办法。然而,这些好处、优点、问题的解决办法、以及可能引起任何好处、优点或解决办法出现或变得更为明显的任何因素,都不会构成任何一个或所有权利要求的严格的、必要的或主要的特点或因素。

Claims (7)

1.一种半导体器件,其特征是:
在半导体器件衬底(100)上形成的第一互连(114);
在部分第一互连(114)上形成的绝缘势垒层(122);
在另一部分第一互连(114)和部分绝缘势垒层(122)上形成的键合焊点互连(128);
覆盖部分键合焊点互连(128)的导电势垒层(82),此导电势垒层(82)延伸到该部分键合焊点互连(128)的边沿区以外;
在导电势垒层(82)上形成的抗氧化层(84);以及
覆盖抗氧化层(84)的钝化层(92),此钝化层(92)和抗氧化层(84)具有暴露部分导电势垒层(82)的窗口。
2.权利要求1的半导体器件,其中部分所述导电势垒层(82)在至少二个导电区之间形成激光可改变的连接。
3.权利要求1的半导体器件,其中键合焊点互连(128)主要包括铜,而导电势垒层(82)包括含难熔金属的材料。
4.一种制作半导体器件的方法,其特征是:
在半导体器件衬底(100)上制作第一互连(114);
在部分第一互连(114)上制作绝缘势垒层(122);
在另一部分第一互连(114)和部分绝缘势垒层(122)上制作键合焊点互连(128);
制作覆盖部分键合焊点互连(128)的导电势垒层(82),此导电势垒层(82)延伸到该部分键合焊点互连(128)的边沿区以外;
制作覆盖导电势垒层(82)的抗氧化层(84);
制作覆盖抗氧化层(84)的钝化层(92),以及
在钝化层(92)和抗氧化层(84)中制作窗口(1103),其中窗口暴露部分导电势垒层(82)。
5.权利要求4的方法,其中在钝化层(92)和抗氧化层(84)中制作窗口还包含:
在钝化层(92)中制作局部窗口(94),其中局部窗口的深度小于形成局部窗口处的钝化层区域中钝化层的厚度;
在钝化层(92)上制作管芯涂敷层(1001);
在管芯涂敷层中制作窗口(1003),其中在管芯涂敷层中制作窗口暴露了钝化层(92)中的局部窗口(94);以及
腐蚀钝化层(92)中的局部窗口,从而在钝化层(92)和抗氧化层(84)中形成窗口(1103)并在形成管芯涂敷层(1001)中的窗口(1003)之后暴露该部分的下方的导电势垒层。
6.权利要求4的方法,其进一步特征是:在导电势垒层(82)上制作导电凸块(1230)。
7.权利要求4的方法,其中键合焊点互连(128)主要包括铜,而导电势垒层(82)包括含难熔金属的材料。
CNB001049275A 1999-04-05 2000-04-04 半导体器件及其制造方法 Expired - Fee Related CN1192430C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/285,666 1999-04-05
US09/285,666 US20020000665A1 (en) 1999-04-05 1999-04-05 Semiconductor device conductive bump and interconnect barrier

Publications (2)

Publication Number Publication Date
CN1269607A CN1269607A (zh) 2000-10-11
CN1192430C true CN1192430C (zh) 2005-03-09

Family

ID=23095213

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB001049275A Expired - Fee Related CN1192430C (zh) 1999-04-05 2000-04-04 半导体器件及其制造方法

Country Status (5)

Country Link
US (2) US20020000665A1 (zh)
JP (1) JP4566325B2 (zh)
CN (1) CN1192430C (zh)
SG (1) SG84587A1 (zh)
TW (1) TW490793B (zh)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6577011B1 (en) * 1997-07-10 2003-06-10 International Business Machines Corporation Chip interconnect wiring structure with low dielectric constant insulator and methods for fabricating the same
JPH11340265A (ja) * 1998-05-22 1999-12-10 Sony Corp 半導体装置及びその製造方法
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6303423B1 (en) * 1998-12-21 2001-10-16 Megic Corporation Method for forming high performance system-on-chip using post passivation process
US8178435B2 (en) * 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
US7531417B2 (en) * 1998-12-21 2009-05-12 Megica Corporation High performance system-on-chip passive device using post passivation process
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US6544880B1 (en) * 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
US6077766A (en) * 1999-06-25 2000-06-20 International Business Machines Corporation Variable thickness pads on a substrate surface
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US6570251B1 (en) * 1999-09-02 2003-05-27 Micron Technology, Inc. Under bump metalization pad and solder bump connections
JP3859403B2 (ja) * 1999-09-22 2006-12-20 株式会社東芝 半導体装置及びその製造方法
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP3824845B2 (ja) * 2000-06-21 2006-09-20 セイコーエプソン株式会社 Lcdドライバicチップ
WO2002039802A2 (en) * 2000-11-10 2002-05-16 Unitive Electronics, Inc. Methods of positioning components using liquid prime movers and related structures
US6753605B2 (en) * 2000-12-04 2004-06-22 Fairchild Semiconductor Corporation Passivation scheme for bumped wafers
WO2002052646A1 (en) * 2000-12-22 2002-07-04 Koninklijke Philips Electronics N.V. Integrated circuit device
US6794705B2 (en) * 2000-12-28 2004-09-21 Infineon Technologies Ag Multi-layer Pt electrode for DRAM and FRAM with high K dielectric materials
JP2003045877A (ja) * 2001-08-01 2003-02-14 Sharp Corp 半導体装置およびその製造方法
US6759275B1 (en) * 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6762122B2 (en) * 2001-09-27 2004-07-13 Unitivie International Limited Methods of forming metallurgy structures for wire and solder bonding
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP3820975B2 (ja) * 2001-12-12 2006-09-13 ソニー株式会社 半導体装置及びその製造方法
US6661098B2 (en) * 2002-01-18 2003-12-09 International Business Machines Corporation High density area array solder microjoining interconnect structure and fabrication method
JP4340416B2 (ja) * 2002-02-26 2009-10-07 Spansion Japan株式会社 半導体記憶装置の製造方法
US7547623B2 (en) * 2002-06-25 2009-06-16 Unitive International Limited Methods of forming lead free solder bumps
AU2003256360A1 (en) 2002-06-25 2004-01-06 Unitive International Limited Methods of forming electronic structures including conductive shunt layers and related structures
US7531898B2 (en) * 2002-06-25 2009-05-12 Unitive International Limited Non-Circular via holes for bumping pads and related structures
JP3779243B2 (ja) 2002-07-31 2006-05-24 富士通株式会社 半導体装置及びその製造方法
JP2004214594A (ja) * 2002-11-15 2004-07-29 Sharp Corp 半導体装置およびその製造方法
DE10301243B4 (de) * 2003-01-15 2009-04-16 Infineon Technologies Ag Verfahren zum Herstellen einer integrierten Schaltungsanordnung, insbesondere mit Kondensatoranordnung
JP4170103B2 (ja) * 2003-01-30 2008-10-22 Necエレクトロニクス株式会社 半導体装置、および半導体装置の製造方法
US6869878B1 (en) * 2003-02-14 2005-03-22 Advanced Micro Devices, Inc. Method of forming a selective barrier layer using a sacrificial layer
TWI225899B (en) * 2003-02-18 2005-01-01 Unitive Semiconductor Taiwan C Etching solution and method for manufacturing conductive bump using the etching solution to selectively remove barrier layer
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
JP4571781B2 (ja) * 2003-03-26 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7238610B2 (en) * 2003-03-31 2007-07-03 Intel Corporation Method and apparatus for selective deposition
US7566964B2 (en) * 2003-04-10 2009-07-28 Agere Systems Inc. Aluminum pad power bus and signal routing for integrated circuit devices utilizing copper technology interconnect structures
US6893959B2 (en) * 2003-05-05 2005-05-17 Infineon Technologies Ag Method to form selective cap layers on metal features with narrow spaces
DE10335182B4 (de) * 2003-07-30 2007-03-01 Infineon Technologies Ag Anordnung zur Verbesserung der Modulzuverlässigkeit
DE10337569B4 (de) * 2003-08-14 2008-12-11 Infineon Technologies Ag Integrierte Anschlussanordnung und Herstellungsverfahren
WO2005024912A2 (en) * 2003-09-09 2005-03-17 Intel Corporation Methods of processing thick ild layers using spray coating or lamination for c4 wafer level thick metal integrated flow
US6977435B2 (en) * 2003-09-09 2005-12-20 Intel Corporation Thick metal layer integrated process flow to improve power delivery and mechanical buffering
IL157838A (en) * 2003-09-10 2013-05-30 Yaakov Amitai High-brightness optical device
US7294565B2 (en) * 2003-10-01 2007-11-13 International Business Machines Corporation Method of fabricating a wire bond pad with Ni/Au metallization
US6933171B2 (en) * 2003-10-21 2005-08-23 Intel Corporation Large bumps for optical flip chips
US7451975B2 (en) * 2004-03-18 2008-11-18 Lexmark International, Inc. Input tray and drive mechanism using a single motor for an image forming device
JP2005347622A (ja) * 2004-06-04 2005-12-15 Seiko Epson Corp 半導体装置、回路基板及び電子機器
US8552559B2 (en) * 2004-07-29 2013-10-08 Megica Corporation Very thick metal interconnection scheme in IC chips
US7355282B2 (en) 2004-09-09 2008-04-08 Megica Corporation Post passivation interconnection process and structures
US8008775B2 (en) 2004-09-09 2011-08-30 Megica Corporation Post passivation interconnection structures
DE102004048202B4 (de) * 2004-09-30 2008-05-21 Infineon Technologies Ag Verfahren zur Vereinzelung von oberflächenmontierbaren Halbleiterbauteilen und zur Bestückung derselben mit Außenkontakten
US20060205170A1 (en) * 2005-03-09 2006-09-14 Rinne Glenn A Methods of forming self-healing metal-insulator-metal (MIM) structures and related devices
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US8384189B2 (en) * 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process
JP2007005539A (ja) * 2005-06-23 2007-01-11 Seiko Epson Corp 半導体装置
JP4605378B2 (ja) 2005-07-13 2011-01-05 セイコーエプソン株式会社 半導体装置
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
CN1901161B (zh) * 2005-07-22 2010-10-27 米辑电子股份有限公司 连续电镀制作线路组件的方法及线路组件结构
US7960838B2 (en) 2005-11-18 2011-06-14 United Microelectronics Corp. Interconnect structure
KR100735526B1 (ko) * 2006-02-08 2007-07-04 삼성전자주식회사 와이어 본딩 신뢰성이 향상된 반도체 소자, 이의 제조에사용되는 레티클, 및 그 제조 방법
US7932615B2 (en) * 2006-02-08 2011-04-26 Amkor Technology, Inc. Electronic devices including solder bumps on compliant dielectric layers
US7674701B2 (en) 2006-02-08 2010-03-09 Amkor Technology, Inc. Methods of forming metal layers using multi-layer lift-off patterns
TWI460820B (zh) * 2006-06-28 2014-11-11 Qualcomm Inc 積體電路(ic)晶片及其製程
US8421227B2 (en) * 2006-06-28 2013-04-16 Megica Corporation Semiconductor chip structure
US8592977B2 (en) * 2006-06-28 2013-11-26 Megit Acquisition Corp. Integrated circuit (IC) chip and method for fabricating the same
US20080038913A1 (en) * 2006-08-10 2008-02-14 International Business Machines Corporation Methods of forming aluminum-free wire bond pad and pad so formed
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
DE102006051491A1 (de) * 2006-10-31 2008-05-15 Advanced Micro Devices, Inc., Sunnyvale Metallisierungsschichtstapel mit einer Aluminiumabschlussmetallschicht
KR20090075883A (ko) * 2006-10-31 2009-07-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 알루미늄 단자 금속층이 없는 금속화층 스택
DE102006051490B4 (de) * 2006-10-31 2010-07-08 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer Passivierungsschicht ohne ein Abschlussmetall
US8193636B2 (en) * 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
US7682959B2 (en) * 2007-03-21 2010-03-23 Stats Chippac, Ltd. Method of forming solder bump on high topography plated Cu
US7964934B1 (en) 2007-05-22 2011-06-21 National Semiconductor Corporation Fuse target and method of forming the fuse target in a copper process flow
US8030733B1 (en) 2007-05-22 2011-10-04 National Semiconductor Corporation Copper-compatible fuse target
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
DE102007046519A1 (de) * 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Dünnfilm-LED mit einer Spiegelschicht und Verfahren zu deren Herstellung
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7829450B2 (en) * 2007-11-07 2010-11-09 Infineon Technologies Ag Method of processing a contact pad, method of manufacturing a contact pad, and integrated circuit element
DE102007057689A1 (de) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem Chipgebiet, das für eine aluminiumfreie Lothöckerverbindung gestaltet ist, und eine Teststruktur, die für eine aluminiumfreie Drahtverbindung gestaltet ist
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
CN101630667A (zh) 2008-07-15 2010-01-20 中芯国际集成电路制造(上海)有限公司 形成具有铜互连的导电凸块的方法和系统
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US7709956B2 (en) * 2008-09-15 2010-05-04 National Semiconductor Corporation Copper-topped interconnect structure that has thin and thick copper traces and method of forming the copper-topped interconnect structure
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8003515B2 (en) * 2009-09-18 2011-08-23 Infineon Technologies Ag Device and manufacturing method
KR101652386B1 (ko) * 2009-10-01 2016-09-12 삼성전자주식회사 집적회로 칩 및 이의 제조방법과 집적회로 칩을 구비하는 플립 칩 패키지 및 이의 제조방법
KR20110106751A (ko) * 2010-03-23 2011-09-29 삼성전자주식회사 반도체 소자 및 전자 시스템
JP5342532B2 (ja) 2010-10-05 2013-11-13 三菱重工業株式会社 車体フレームの製造方法
US8492892B2 (en) 2010-12-08 2013-07-23 International Business Machines Corporation Solder bump connections
DE102011005642B4 (de) 2011-03-16 2012-09-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zum Schutz von reaktiven Metalloberflächen von Halbleiterbauelementen während des Transports durch Bereitstellen einer zusätzlichen Schutzschicht
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8716858B2 (en) * 2011-06-24 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure with barrier layer on post-passivation interconnect
US8946000B2 (en) 2013-02-22 2015-02-03 Freescale Semiconductor, Inc. Method for forming an integrated circuit having a programmable fuse
WO2016137452A1 (en) * 2015-02-25 2016-09-01 Intel Corporation Surface finishes for interconnection pads in microelectronic structures
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9704804B1 (en) * 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
CN107871669B (zh) * 2016-09-28 2021-02-05 欣兴电子股份有限公司 组装方法
US10204859B2 (en) * 2017-01-25 2019-02-12 Macronix International Co., Ltd. Interconnect structure and fabricating method thereof
US10629334B2 (en) * 2017-09-20 2020-04-21 Texas Instruments Incorporated Nanostructure barrier for copper wire bonding
US10658315B2 (en) * 2018-03-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layer metallic structure and method
US11869840B2 (en) 2018-07-03 2024-01-09 Infineon Technologies Ag Silicon carbide device and method for forming a silicon carbide device
US11367683B2 (en) 2018-07-03 2022-06-21 Infineon Technologies Ag Silicon carbide device and method for forming a silicon carbide device
US11189538B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with polyimide packaging and manufacturing method
US11948848B2 (en) * 2019-02-12 2024-04-02 Intel Corporation Subtractive etch resolution implementing a functional thin metal resist
US11244914B2 (en) 2020-05-05 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad with enhanced reliability

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63293951A (ja) * 1987-05-27 1988-11-30 Hitachi Ltd 半導体素子電極構造
US5220199A (en) * 1988-09-13 1993-06-15 Hitachi, Ltd. Semiconductor integrated circuit device in which a semiconductor chip is mounted with solder bumps for mounting to a wiring substrate
JP3290459B2 (ja) * 1991-06-27 2002-06-10 株式会社日立製作所 半導体集積回路装置およびその製造方法
US5470787A (en) * 1994-05-02 1995-11-28 Motorola, Inc. Semiconductor device solder bump having intrinsic potential for forming an extended eutectic region and method for making and using the same
US5656858A (en) 1994-10-19 1997-08-12 Nippondenso Co., Ltd. Semiconductor device with bump structure
JP2985692B2 (ja) * 1994-11-16 1999-12-06 日本電気株式会社 半導体装置の配線構造及びその製造方法
JPH08191104A (ja) * 1995-01-11 1996-07-23 Hitachi Ltd 半導体集積回路装置およびその製造方法
ATE271718T1 (de) * 1995-03-20 2004-08-15 Unitive Int Ltd Löthöcker-herstellungsverfahren und strukturen mit einer titan-sperrschicht
US5731624A (en) 1996-06-28 1998-03-24 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
JP3660799B2 (ja) * 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3697044B2 (ja) * 1997-12-19 2005-09-21 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
JPH11340265A (ja) * 1998-05-22 1999-12-10 Sony Corp 半導体装置及びその製造方法
US6187680B1 (en) 1998-10-07 2001-02-13 International Business Machines Corporation Method/structure for creating aluminum wirebound pad on copper BEOL

Also Published As

Publication number Publication date
US20020093098A1 (en) 2002-07-18
CN1269607A (zh) 2000-10-11
US6713381B2 (en) 2004-03-30
JP4566325B2 (ja) 2010-10-20
JP2000306914A (ja) 2000-11-02
TW490793B (en) 2002-06-11
SG84587A1 (en) 2001-11-20
US20020000665A1 (en) 2002-01-03

Similar Documents

Publication Publication Date Title
CN1192430C (zh) 半导体器件及其制造方法
US8319343B2 (en) Routing under bond pad for the replacement of an interconnect layer
CN1210798C (zh) 半导体器件及其制造方法
US8507317B2 (en) Solder bump structure for flip chip semiconductor devices and method of manufacturing therefore
US6124194A (en) Method of fabrication of anti-fuse integrated with dual damascene process
US9312172B2 (en) Semiconductor device and method for making same
JPS63234549A (ja) 配線構造
US7999382B2 (en) Semiconductor device and fabrication method for the same
JP2005136301A (ja) 半導体装置及びその製造方法
KR101286239B1 (ko) 산소 포획 패턴을 갖는 반도체 소자의 배선 구조 및 그제조 방법
US20150194398A1 (en) Conductive Lines and Pads and Method of Manufacturing Thereof
US8164160B2 (en) Semiconductor device
US20080296772A1 (en) Semicondutor device
US9875978B2 (en) Semiconductor chip device
KR20090075883A (ko) 알루미늄 단자 금속층이 없는 금속화층 스택
JPH11102911A (ja) 半導体装置及びその製造方法
WO1997022995A1 (en) Semiconductor device containing two or more metallic wiring layers and method for manufacturing the same
KR100458588B1 (ko) 반도체 소자 제조 방법
KR100808794B1 (ko) 반도체 소자의 제조 방법
KR100846993B1 (ko) 반도체 소자의 배선 형성 방법
JP2004063996A (ja) 半導体装置及びその製造方法
KR100877097B1 (ko) 반도체소자의 금속배선 및 그 제조방법
KR20020068132A (ko) 구리 배선용 장벽층 형성 방법
KR20050066838A (ko) 반도체 소자의 비아 컨택 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: FREEDOM SEMICONDUCTORS CO.

Free format text: FORMER OWNER: MOTOROLA, INC.

Effective date: 20040806

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20040806

Address after: Texas in the United States

Applicant after: FreeScale Semiconductor

Address before: Illinois Instrunment

Applicant before: Motorola, Inc.

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20050309

Termination date: 20130404