CN1342213A - 原子层沉积工艺的处理室 - Google Patents

原子层沉积工艺的处理室 Download PDF

Info

Publication number
CN1342213A
CN1342213A CN99816008A CN99816008A CN1342213A CN 1342213 A CN1342213 A CN 1342213A CN 99816008 A CN99816008 A CN 99816008A CN 99816008 A CN99816008 A CN 99816008A CN 1342213 A CN1342213 A CN 1342213A
Authority
CN
China
Prior art keywords
substrate
pedestal
reactor
treatment chamber
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99816008A
Other languages
English (en)
Other versions
CN1170957C (zh
Inventor
肯尼思·多尔仑
卡尔·J·盖卢斯基
普拉萨德·N·贾德吉尔
托马斯·E·赛德尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genus Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Publication of CN1342213A publication Critical patent/CN1342213A/zh
Application granted granted Critical
Publication of CN1170957C publication Critical patent/CN1170957C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

适用于标准多工具装置(1100)的处理台(1201)具有一个带有晶片支撑面(1307)的垂直传送基座(1215),晶片支撑面(1307)包括一个加热盘(1303)。当其处于低端位置时,可向处理台(1201)传送晶片(1219)或从处理台(1201)取出晶片(1219),当其处于高端位置时,与处理室(1204)中的低端环形开口一起形成一个环形泵取通道。在处理室(1204)低端开口处的可更换环(1253),允许处理过程的泵取速度根据不同的工艺而改变。基座(1215)还带有一个环形罩(1257),环形罩在基座(1215)周围形成环形泵取通道。一个两区加热盘(1303)位于基座(1215)的顶部,与馈通装置(1301)相连,允许快速简单地更换加热盘(1303)。处理室(1204)顶部可拆除,允许用户拆除基座(1215)或加热盘(1303)组件。此系统适用于原子层沉积工艺。

Description

原子层沉积工艺的处理室
本发明所属技术领域
本发明的领域涉及用于化学气相沉积(CVD)的装置和方法,更具体地说与原子层沉积(ALD)工艺相关。
相关文献的交互引用
本发明是以下两项共悬未决申请的部分继续再申请。其中一项是1997年8月29日提交的美国专利申请第08/920,708号,名为“用于原子层沉积的垂直堆栈处理反应器和多工具系统”的专利申请。另一项是于1999年1月5日授权的,美国专利第5,855,675号(申请日:1997年3月3日,申请号:08/810,255,名为“用于化学气相沉积工艺的多用途处理室”)。如上列出的专利申请在此处被完整引用,并且特此声明其提交日期的优先权。
与本发明相关的背景技术
在薄膜技术领域中,对更薄的沉积层、在日益增加的衬底面积上获得更好的均匀性、更大的产品产量、和更高的生产能力的需求已经形成,而且仍将继续成为在各种半导体装置制造中,用于涂层衬底的设备制造所发展起来的技术的背后驱动力。例如,微处理器生产中的工艺控制和均匀的薄膜沉积层直接影响到所得到的时钟频率。同样的因素结合新材料,也决定着单一芯片或装置上的存储体的更高的压缩密度。由于这些装置变得更小,对于更高的均匀性和涂层厚度的工艺控制的需求很大的提高了。
本技术领域中有各种众所周知的技术,可以将薄膜应用到衬底或集成电路(IC)制造步骤中的其它衬底中。在这些已有的可以应用薄膜的技术中,化学气相沉积(CVD)和它的一个已知的变体快速热化学气相沉积(RTCVD)是经常使用的商用化的工艺。原子层沉积(ALD),化学气相沉积的另一个变体,是现在出现的一种相对较新的技术,该技术是一种用于得到均匀性、优良的分步覆盖、和对于衬底尺寸的透明度的潜在的更高级的技术。然而,原子层沉积的沉积速率(典型为100埃/分钟)通常比化学气相沉积和快速热化学气相沉积的沉积速率(典型为1000埃/分钟)要低。
化学气相沉积和快速热化学气相沉积都是依靠气流的工艺应用,都要求有均匀的衬底温度,并且要求处理室中的前体(化学类物质)具有一定的均匀性,以保证在衬底表面得到所要求涂层的均匀的厚度。当衬底尺寸增加时,这些要求变得更加苛刻,对腔室设计和气流技术提出了更复杂的要求,以保持足够的均匀性。例如,在反应室中,对75毫米的衬底的气流、热均匀性和前体分布的工艺控制,要比相同系统中对直径为200毫米的衬底的要求要低,当衬底尺寸增加到直径为300毫米、直径为400毫米也是如此。
化学气相沉积涂层的另一个问题是,由于反应物和反应产物在沉积层表面的狭小空间内共存,导致在每个沉积层中都可能混入反应产物或其它杂质。而且,化学气相沉积中反应物的利用效率低,并受反应室压力降低的负面影响。还有,高度活泼的前体的分子有助于均匀气相反应,这种反应会产生对薄膜质量有害的不希望产生的颗粒。
应用快速热化学气相沉积的公司和快速热化学气相沉积设备的制造商们已试图通过引入限制反应处理(LRP)的概念解决这些问题,在限制反应处理中将单块衬底放到反应室中并在一个适当的辐射源的辅助下对沉积薄膜进行快速加热。快速加热起到活性开关的作用,并对薄膜厚度提供一个相对其它工艺的更高水平的控制。相对化学气相沉积而言,快速热化学气相沉积还具有更短的工艺时间、总体更低的工艺费用和改良的工艺控制等方面的优点。在本专利应用中,快速热化学气相沉积是超薄均匀薄膜沉积的一种很有前途的新技术。很多设备制造商已经准备将快速热化学气相沉积由研究与开发阶段引入商用竞争领域。
尽管与通用的化学气相沉积相比,快速热化学气相沉积具有明显的优势,这种技术同样存在其固有的问题,例如处理时的温度。更大的表面要求更加苛刻控制的温度,如果达不到,就会造成衬底中的翘曲和错位。而且,在更大的表面面积的要求下,提供一个无污染物并且能够承受快速温度变化的高度真空的合适的反应室也变得更加苛刻。
然而,薄膜技术的另一个关键问题是一个系统在许多装置的内在复杂的布局上提供高水平均匀性和厚度控制的能力。这个现象通常是指分步覆盖。就化学气相沉积而言,分步覆盖要比物理气相沉积(PVD)中的瞄准工艺要好,但是,在沉积的最初阶段是没有优先级的,各种活性分子同时吸附导致离散成核。成核区域(岛)向四周和垂直方向生长,最终连接在一起形成连续膜。在沉积的最初阶段,这样的膜是不连续的。其它的因素,诸如分子的平均自由路径、关键布局尺寸和前体的活性,使处理过程进一步复杂化,使得通过化学气相沉积在复杂布局条件下,以足够的分步覆盖沉积的超薄膜,难以得到高水平的均匀性。因此,在分步覆盖方面,快速热化学气相沉积并没有表现出比传统化学气相沉积更显著的优势。
原子层沉积,虽然是一种比化学气相沉积和快速热化学气相沉积费时的工艺,却表现出在复杂布局条件下,得到超均匀薄沉积层的惊人能力。这至少部分归功于原子层沉积不像前面所述的化学气相沉积和快速热化学气相沉积那样依靠气流来工作。原子层沉积不依靠气流的特性使其可以在比传统化学气相沉积和快速热化学气相沉积更低的温度下进行处理。
原子层沉积工艺由衬底沉积层表面的化学吸附完成。原子层沉积技术,基于80年代初发展起来的用于解释电致发光显示器中所用的硫化锌(ZnS)和绝缘氧化物的多晶和无定形膜的生长的原子层外延(ALE)理论。原子层沉积技术基于产生化学吸附的饱和单层活性前体分子的形成原理。在原子层沉积中,适当的活性前体被交替脉冲输送到沉积室中,活性前体注射之间以惰性清洗相间隔。每次前体注射时,都在原有的沉积层上附加一层新的原子层,以形成实心膜的均匀涂层。重复此循环可以得到所需的膜层厚度。
在原子层外延领域,《晶体生长手册)》的第三卷第十四章(由Tuomo Suntola所著,由D.T.J.Hurle编辑,版权于1994年属于Elsevier Science B.V.,该章名为“原子层外延”)是一处讨论了原子层沉积的基本概念的较好的参考文献。此处将该文献引用为背景信息。
为了进一步阐述原子层沉积的基本概念,参见图1A和图1B。图1A为形成物质膜A、B的原子层沉积工艺最初阶段的衬底表面横剖面图,这里的A、B被认为是基本元素物质。图1A所示为一集成电路制造阶段中的衬底。在最初的衬底表面上形成元素A的致密层。在元素A层上形成元素B层,并且由配位体y形成顶层,如图所示。这些层的形成是通过交替地向衬底表面脉冲输送第一前体Ax和第二前体By实现的。在前体注射之间,将处理区域抽真空,并注射一个脉冲的清洗气体。
图1B所示为此例中用于提供AB固体物质的交替脉冲的一个完整过程。在一个循环中,在一段没有气体输入的过渡期后,首先产生第一气体Ax的脉冲。然后是清洗气体的中间脉冲,接着的是另一个过渡期。之后产生By脉冲,接着是一个过渡期和另一个清洗脉冲。一个循环包括一个Ax脉冲和一个By脉冲,每个前体脉冲被清洗气体脉冲分隔。
如上简述,原子层沉积是由化学吸附实现的。最初的衬底处理区域表面具有一层活性配位体。第一次的气体脉冲,在这里是Ax,导致形成一个A层和一层配位体x的表面。在清洗后,将By脉冲输送至反应区。y配位体与x配位体发生反应,释放xy,并形成一层y的表面,如图1A所示。此工艺中循环往复进行,此例中每个循环大约用时1秒。
原子层沉积独特的成膜机制与前面所讨论的工艺相比由如下几个优点。一个优点是原子层沉积不依靠气流的特性,这有助于增加衬底尺寸的透明度和反应器设计操作的简单性。例如,由于如上所述的自限制化学吸附现象,可以在相同的反应室中使200毫米衬底得到与100毫米衬底相同厚度的均匀膜层。进一步讲,一旦形成饱和的单层,沉积层面积在很大程度上与注射前体的量无关。这就允许了简单的反应器设计。再进一步讲,气体动力学在原子层沉积工艺中处于一个相对次要的位置,这就放宽了对设计的约束。原子层沉积工艺的另一个独特的优点是,由于化学物质各自独立地注射入原子层沉积反应室中而不是同时进入,避免了由于前体之间的高度活性而对相互的影响。高度的活性,在化学气相沉积中是一个棘手的问题,而在原子层沉积中,则成为了一个优点。高度的活性允许更低的反应温度,简化了化学处理工艺。另一个独特的优点是化学吸附的表面反应,有助于在复杂布局结构上实现近乎完美的分步覆盖。
虽然原子层沉积在薄膜沉积中有如上文所述的许多优点,原子层沉积至今还没有以一种可被采纳的方法应用到商业工艺中。这主要是由于系统和体系结构方面的问题。例如,许多原子层沉积系统的起步开发都使用批量处理室的方法。这主要是因为原子层沉积和与其竞争的工艺,诸如化学气相沉积和快速热化学气相沉积相比,具有固有的较低的沉积速率。在批量处理室中,可以同时处理(并行)多个衬底,使生产能力提高。
不幸的是,批量处理同样有其内在的缺陷,通过批量处理解决原子层沉积对生产能力的限制,往往会导致这样或那样的问题。例如,在批量处理器系统中,一个批量反应器中衬底与衬底之间和一个批量与另一个批量之间的衬底的交叉污染是一个严重的问题。批量处理还限制了工艺控制,衬底与衬底和批量与批量的工艺重复性,而且防碍了背面沉积的解决。所以这些因素严重影响了总体的系统维护、产量和可靠性,进而影响到净生产能力和生产率。在申请此专利时,工业界中还未见对原子层沉积的这些问题的纠正,使其可以用于商用生产。
目前所明确需要的是一种独特的创新的,具有高生产率的原子层沉积系统体系结构和气体传送系统,应该允许处理多个衬底并提供具有吸引力的生产能力和产量,同时谨慎地使用昂贵的清洁室和相关的生产空间。本发明讲授了一种可以解决并克服原子层沉积技术目前所存在的局限性的系统方法,使原子层沉积系统具有商业生存能力。
发明简述
本发明的一个优选实施例中提供了一个用于多工具系统的原子层沉积处理台,包括一个最下端带有第一代表性区域的处理室;一个位于处理室下面的基底室,基底室带有一个真空泵取口、一个衬底传送口和位于处理室环形最下端下面的第二代表性区域,真空泵取口比第一代表性区域要大;一个上端带有衬底支撑面的衬底支撑基座,衬底支撑面带有第三代表性区域,它比第一代表性区域要小,通过一个允许垂直传送的动态真空密封与传送口下面的基底室相配合;一个垂直传送驱动系统,将支撑基座传送至处理室最下端,使其上端的支撑面位于处理位置处,或将基座传送至基底室中的下端传送位置处,位于泵取口之上,传送口之下;和一个安装到处理室上的可拆卸的气体供应盖,用于根据原子层沉积协议提供气体。当衬底支撑基座处于处理位置处时,衬底支撑基座的代表性区域和相对较大的第一代表性区域形成第一个泵取通道,其具有第一总体有效面积,决定了通过真空泵取口从处理室抽取的第一限制泵取速度,当衬底支撑基座处于下端传送位置时,衬底支撑基座的代表性区域和相对较大的第二代表性区域形成第二个泵取通道,其具有比第一有效面积更大的第二有效面积,使从处理室抽取的第二限制泵取速度比第一限制泵取速度更大。
在一些实施例中,第一代表性区域由可更换的环组成,因此可以通过更换具有恒定外径和不同内径的可更换环,使第一泵取速度发生逐渐变化。还可以包括一个围绕衬底基座的环形罩,其上端到达上端支撑面,并向下穿过上端支撑面,其中,位于上端支撑面高度的环形罩抽真空区域面积与第一横截面积相等,这样,环形罩通过位于处理位置的衬底支撑基座与第一代表性区域相配合,迫使所有气体从处理室流入环形罩和衬底支撑基座之间的环形区域中。
在优选实施例中,封闭处理室最上端的可更换的盖装配有可更换的密封,这样盖和动态真空密封都可以被拆卸,允许衬底支撑基座从基底室区域向上经过处理室区域收回。优选实施例中可更换的盖包括一个气体分布系统,用于向处理室中衬底支撑基座上的衬底的暴露表面提供均匀的处理气体。
在一些情况下,衬底支撑基座包括与上端支撑面平行,并形成处理室真空边界的闭合盘,还包括一个位于处理室壁上与闭合盘热隔离的加热盘,和一个位于加热盘上方与加热盘间留有空隙的电子隔离的接受器,接受器形成上端支撑面。加热盘是带有至少两个分离驱动的加热区的合成加热盘,通过控制分离驱动区的动力达到控制加热盘上温度分布的目的。这些情况中使用至少一个贯穿加热盘的沟槽将内部加热区和外部加热区相分离。在优选实施例中,内部加热区的横截面积与被加热盘加热的衬底的横截面积相等。在一些优选实施例中,动态真空密封是一个不锈钢风箱。
本发明的不同实施例提供了一种以原子层沉积工艺处理半导体晶片的灵活有效的方法,下面将详述本发明的各个部分。
附图简要描述
图1A所示为现有的原子层沉积工艺的图解说明;
图1B所示为原子层沉积气体脉冲的典型时序图;
图2所示为根据本发明的一个实施例的低外形紧凑型反应器单元的立体视图;
图3A所示为图2中的紧凑型反应器单元的立体图,并示出了根据本发明的一个实施例的活叶式阀门和凸缘;
图3B所示为图2中的根据本发明的一个实施例的两个紧凑型反应器单元的右视图;
图4所示为根据本发明的另一个实施例的带有载荷锁定装置的垂直堆栈紧凑型原子层沉积反应器27的正视图;
图5所示为根据本发明的一个实施例的原子层沉积垂直堆栈系统体系结构的正视图;
图6所示为根据本发明的一个实施例的可在单个低外形紧凑型原子层沉积反应器单元中处理多个衬底的低外形紧凑型原子层沉积反应器的平面图;
图7所示为根据本发明的另一个实施例的生产系统19的俯视图;
图8所示为图2中根据本发明的一个实施例的堆栈紧凑型反应器单元的后视图;
图9所示为根据本发明的一个实施例的气体循环和前体分离密封系统图;
图10A所示为业内所知并用于本发明的一个实施例中的基于多工具的处理系统的理想平面图;
图10B所示为业内所知的传统化学气相沉积处理台的一个概略的横截面正视图;
图11A所示为根据本发明的一个优选实施例的多功能处理台的立体图;
图11B所示为图11A中的多功能处理室的分解图;
图11C所示为图11A中的多功能处理台装置的立体截面图;
图11D所示为图11A中的多功能处理室在处理模式下的截面正视图;
图11E所示为图11A中的装置在传送模式下的截面正视图;
图12所示为图11E中带有电子馈通装置的基座元件的截面正视图;
图13A所示为图12中的电子馈通装置的横截面正视图;
图13B所示为由图13C截面线13B-13B所截的馈通组件主体的截面图;
图13C所示为图13A中的馈通装置的俯视图;
图14A所示为图12中的陶瓷绝缘阻挡层的侧视图;
图14B所示为图14A中的绝缘阻挡层的平面图;
图15A所示为根据本发明的一个实施例的两区加热盘的立体图;
图15B所示为图15A中的加热盘的平面图;
图15C所示为图15A中的加热盘的侧视图;
图16A所示为根据本发明的一个实施例的连接杆的立体图;
图16B所示为图16A中的连接杆的从一端观察到的视图;以及
图16C所示为图16A和图16B中的连接杆的截面图。
优选实施例的详细描述
使用单独堆栈模块的原子层沉积系统
在企图将原子层沉积技术商用化的过程中,批量型原子层沉积系统中,衬底在不同的面上进行涂层和布置,而且大量的衬底在单一的反应器中同时涂敷。批量型原子层沉积系统从生产能力来看具有吸引力,但在本发明的发明人看来,这种大规模批量系统与下面几个实施例中将要讲到的具有单路气体通道的紧凑型低外形系统相比,存在着几个严重的缺点。这些不利在于:
a)批量系统中的气体脉冲不能像紧凑型单一衬底系统中那样迅速剧烈;
b)多衬底系统难以避免背面沉积。为了避免背面沉积,需要将单一衬底夹持在带有诸如静电卡盘等设备的专用加热器上;
c)与单一衬底系统相比,在大批量系统中等离子体清洁是无效的。而在单一衬底系统中,等离子体清洁可以实现维护清洁之间的很长的一段时间;
d)气体损耗的影响是批量工艺反应器中一个严重的工艺限制,而且在批量系统中很难得到解决;以及
e)批量处理器在工艺控制、衬底的重现性、工艺变化和维护方面的灵活性都比单一衬底系统要差。而且,批量处理器也不能方便地安装到具有相对小的覆盖面积的多体系结构中。
由于这样和那样的原因,本发明的发明人开发了一种包括低外形紧凑型原子层沉积反应器(LP-CAR)的原子层沉积工艺,这种反应器减少了内部体积和外部高度,允许快速气体转换和增强的工艺控制,还允许独特的系统体系结构。这个独特的系统体系结构包括一个与串行集成的多方案相适应的垂直堆栈的多单元系统。
在如下所述的实施例中,发明人讲授了一种独特的低外形紧凑型反应器和生产中用于原子层沉积反应器的独特的系统体系结构,这就解决了批量型原子层沉积系统的限制特征。
在本发明实施例中的低外形紧凑型原子层沉积反应器的独特设计中,通过快速气体转换提高生产能力,快速气体转换在一定程度上是通过减少相对于被涂敷表面面积的反应器内部处理体积来实现的。单一衬底反应器的长和宽由所推荐的最大衬底尺寸所确定,通常是衬底直径的1.5倍(如果衬底是圆形的话),而反应器的内部高度是内部体积的控制尺寸。在本发明的实施例中,发明人还意识到通向被涂敷衬底表面的单一、无阻碍气流通道的优势,这样的气体通道可以在一个共用盘上对衬底表面进行涂敷。
原子层沉积工艺必须具有边界层条件和合适的气流,而且需要有可选的等离子体盖设计。除此以外,原子层沉积工艺还要求处理室中有一个衬底加热器,以便在处理过程中加热衬底,另外还对气体输送和气体抽空系统有特殊的要求。满足了所有这些要求,就得到了本发明的实施例中适用于处理单一衬底的低外形紧凑型原子层沉积反应器。如下文实施例中所述,低外形是指反应器的高度相对其水平尺寸的定义。低外形紧凑型原子层沉积反应器的高度相对于其水平尺寸的比率,在不同的实施例中依据特定的系统要求而不同。在下面的实施例中,高度与水平尺寸的比率通常小于1,可以低至0.2。0.65是这里的实施例中常见的比率。
在本发明的实施例中,低外形紧凑型原子层沉积反应器是可以独立控制的反应器,可作为一个独特结构的组成模块,用于解决生产能力问题和达到工艺流程所需的灵活性。优选实施例中的低外形紧凑型原子层沉积反应器是垂直堆栈的,提高了现有工艺不动产的有效利用。这种垂直堆栈结构被发明人命名为VESCARTM,意义为垂直堆栈紧凑型原子层沉积反应器。
在如下所述的一些实施例中,垂直堆栈紧凑型原子层沉积反应器系统可以进行单机设置,其中,衬底通过盒式载荷锁定子系统装备到垂直堆栈紧凑型原子层沉积反应器单元中并被其所接受。在另一个实施例中,一个或多个载荷锁定装置和一个或多个垂直堆栈紧凑型原子层沉积反应器单元与一个多工具处理系统相连接,多工具处理系统接口还包括原子层沉积之外的处理子系统,例如化学气相沉积、物理气相沉积、清洁和光刻技术等。
图2是根据本发明的一个实施例的紧凑型反应器单元33的概略的立体图,用一个单一平面表示被涂敷的衬底表面,并具有通向该衬底平面的单一的气流通道。如上所述,本发明一个优选实施例中,位于反应器单元的一个侧面的衬底I/O(输入/输出)孔53配有阀门,这还将在下文中详尽说明。
气流从处于被处理位置处的被加载的衬底45上方,沿水平方向通过,气流方向与发生沉积的衬底45的表面大致平行,气流从一端进入(入气)从另一端流出(出气)。前体(化学类物质)被交替地脉冲输送入反应器单元33中,紧跟着一个气体清洗脉冲,如上文中图1B中所示。在本实施例中,气体如图中方向箭头所示由右端(入气)向左端(出气)流动。在另一个实施例中,气体由左向右流动。在一个实施例中,反应器本体上有进气和排气歧管。
紧凑型反应器单元33可用本技术领域中所知的任何适当的材料制造,例如不锈钢、铝、合成石墨或其它可以承受应用中的真空,并具有本技术领域中沉积室所应具有的其它特点的材料。在一个实施例中,采用在反应器单元33中添加结构肋的方法用以增加真空条件下的强度。本实施例所示的紧凑型反应器单元33具有高度h和用以容纳至少一个单一沉积衬底的长宽尺寸。可以根据不同衬底尺寸进行放缩,从很小到直径400毫米或更大。
相对水平尺寸而言,衬底所在区域49的实际高度hi是一个非常重要的参数,因为此高度有助于确定发生气体脉冲输送和沉积作用的反应器的内部体积。控制反应器的外部高度可以得到低外形,这有助于在下文将要详述的系统结构下,实现上文所提到的反应器堆栈。根据本实施例的低外形紧凑型原子层沉积反应器单元中的,反应区域的内部高度进行单独控制,可以得到相对于被涂敷衬底表面积的实际的最小反应室体积,这样可以达到最大程度的气体利用并增强快速气体转换。反应器的内部形状应使注射入反应器的增强气体前端形成一个均匀截面、应最大程度地减少反应器内部体积、并应在涂敷衬底表面的气体通路中保持足够的间隙使通向衬底的气流不被阻碍。
在300毫米直径的衬底所用的低外形紧凑型原子层沉积反应器中,本发明实施例中较好的内部高度应为1英寸,但一个实施例与另一个实施例间略有变化。发明人认为,反应器内部高度与水平内部尺寸的比率最好应不高于0.25,以保证快速气体转换和有效的前体利用。
在一些实施例中,衬底所处区域49的底面上有用于支撑衬底的可伸缩的提升销(图中未示)。销的数目通常是三个或更多,将其按照一定形式布置以使衬底保持水平。
衬底提升销,通常用于快速热化学气相沉积工艺反应室中衬底的水平支撑。一些实施例中,衬底提升销是衬底托盘的一部分。另一些实施例中,销被制造在反应室上。通常将衬底提升销置于衬底表面的某一点,用于提供一个较小的热下降区,并避免涂层表面异常。在使用较多热量,诸如快速热化学气相沉积工艺,或是需要对衬底进行两面同时处理的场合中,这种准则显得尤为关键。在一些实施例中,在处理过程中使用一个具有适当热容量的平面静电卡盘(ESC)用于固定衬底并避免背面沉积。
在处理过程中,对紧凑型反应单元33进行加热和冷却。区域51所示为一个热间隔区,其中,装有诸如热阻线圈的加热装置。区域47包括,通过反应器单元33表面的冷却管线。对于本技术领域的专业人员显而易见的是,不同工艺中使用的不同化学类物质或前体而言,在紧凑型反应器单元33内部,在处理过程中应保持不同的温度。因此,发明人试图使沉积技术领域中使用的各种加热和冷却方法在本发明的不同实施例中变得适用。同样,区域51也包括多种传热单元,以便在不同时间量度下以所需要的水平进行传热,例如,以便于就地逐渐冷却等。
图3A是根据如图2所示实施例的紧凑型反应器单元33的简化立体图,其中,带有用于覆盖或暴露孔53的活叶式遥控阀52。在处理过程中将此阀关闭,在将衬底移入或移出低外形紧凑型原子层沉积反应器时将此阀打开。在本实施例中,孔53周围为真空密封46。在一些实施例中,也可以用O形环、四方形环、金属密封或本技术领域中的其它真空密封方式。阀52紧贴着真空密封,用于隔离操作过程中的单元。在一些实施例中,闸门阀52后面带有一个凸缘54和用于一个用于与下文中所将详述的生产体系结构中的,真空室分界壁的非真空侧相密封的真空密封48。
本技术领域中,有多种已知的方法可实现对闸门阀52的自动控制。在本发明的优选实施例中,阀门是一个活叶式阀门,凸轮操作电机械装置被装配到反应器单元33的一壁上和阀门的枢轴臂上(图中未示)。在不背离本发明精神和范围的前提下,可以实现很多种装配方案,用于装配用于开闭闸门阀52的凸轮型装置。电控凸轮装置对于本技术领域和发明人而言,是常见和熟知的。
这里的实施例只是,如何提供用于输入/输出口的闸门阀52的低外形紧凑型原子层沉积反应器33的一个例子。在另一个实施例中,活叶式阀门可以在上方而不是在下方与枢轴相连。在另一个实施例中,可以使用凸轮操作的拉门代替活板门。在优选实施例中,出于设计和实现的简单性的考虑,使用了活叶门。
对于本领域的专业人员显而易见的是,凸缘54和闸门阀52的实际形状可以在不背离本发明精神和范围的前提下发生相当大的变化。例如,凸缘54可以是圆角长方形或是椭圆形。同样,闸门阀52也可以采用与如上所述不同的样式。在一些实施例中,在密封接口中,也可以不将凸缘作为反应器本体上的一部分。
图3B是根据如图3A所示实施例的,两个紧凑型反应器单元的侧视图,所示为由凸缘54和真空室分界壁42所组成的真空接口。根据本发明的实施例,垂直堆栈紧凑型原子层沉积反应器系统的一部分非室壁侧面用于支撑反应器33a、33b和图中未示的其它反应器的堆栈固定物或支架。用于支撑反应器,并保持其垂直布局的堆栈固定物或支架,采用诸如不锈钢或其它任何可支撑单个反应器,并经受可能发生的尺寸变化的耐用材料。这一点对于本领域的技术人员来说,是显而易见的。固定装置用于将一个或多个元件与系统中的一个共享接口连接,这是相对常见的,并为本领域的技术人员所熟知的。本发明中堆栈固定装置的一个重要特点是它可以平等准确地放置每个反应器单元,这利于衬底顺利地和可重复地传送并承重。在一个实施例中,支架形式的固定装置带有用于实现适当定位的可更换垫片。另一个实施例中,通过螺纹等实现准确的定位。
在不同的实施例中,必须在垂直堆栈的低外形紧凑型原子层沉积反应器单元之间留有间隙,保持每个反应器下端的热区和相邻反应器上端的冷区之间的热隔离。同样,处于最上端和最下端的低外形紧凑型原子层沉积反应器,也应具有与堆栈中其它反应器同样的热环境。
如图3B所示,室壁42左面的区域是如下所述的垂直堆栈系统中真空传送室的真空区域。可以通过传统的紧固方法和硬件,例如套接销,将凸缘54固定到室壁上。在一个替代方案的实施例中,配合凸缘通过焊接固定到室壁上,将凸缘54固定到配合凸缘上,通过这种方法完成连接。在这种情况下,配合凸缘带有调整销,与凸缘54中的孔相配合。这些装置可以有许多可能的形状和布局。这里只说了其中的一些,这一点对于本领域的技术人员来说,是显而易见的。
图4所示为与本发明生产系统实施例中的盒式载荷锁定21,直接连接的垂直堆栈紧凑型原子层沉积反应器系统27的正视图。在该实施例中,预处理后的衬底被加载到盒式载荷锁定装置中。在此结构中,分隔清洁室和处理室的壁上,有一个用于将盒式载荷锁定21与垂直堆栈紧凑型原子层沉积反应器单元27相连接的孔。用于生产系统的这种清洁室连接方式,可保存珍贵的清洁室空间,这在本技术领域中是众所周知的。
垂直堆栈紧凑型原子层沉积反应器系统27,包括带有壁42的真空处理室32(参见图3B)和具有水平垂直伸展能力的Z轴自动装置31,此处所示为其伸入盒式载荷锁定21中的情形。带有预处理后的衬底的盒就位,Z轴自动装置31拾取衬底,将其移入垂直堆栈紧凑型原子层沉积反应器单元27中,一旦到达垂直堆栈紧凑型原子层沉积反应器单元27中,Z轴自动装置31旋转180度,将衬底置于反应器单元的适当垂直位置上,其上,如图中所示与垂直堆栈结构中的10个位置(a-j)连接到真空壁42。
如图4所示的一个摞一个的10个低外形紧凑型原子层沉积反应器单元,是发明人基于保存不动产的同时解决生产能力问题所提供的一个实际的数目。本发明的一些实施例中,Z轴自动装置31有多于一个的伸长和传送臂,和/或避免任何生产能力限制的多个末端操纵装置。加工完的衬底按照与上文所述装载工艺相反的顺序被卸载,并重新放回盒79中。
图4中所示的垂直堆栈紧凑型原子层沉积反应器结构是一个最小成本解决方案,并且是进一步集成多个复杂垂直堆栈紧凑型原子层沉积反应器结构的出发点。而且,所示的体系结构也是使用多个低外形紧凑型原子层沉积反应器单元,用于开发工艺顺序等过程的一个良好的工艺研究和开发构造。图4中的垂直堆栈紧凑型原子层沉积反应器系统,所开发的工艺可以被扩展到如下所述的更复杂的工艺方案中。
图5是根据本发明一个实施例的原子层沉积生产系统19的正视图。此处所述的各种元件的独特合成方式和自动化,有效地解决了多个传统的原子层沉积所面临的问题。这里所提到并将在下文中详细讲述的实施例为现有的原子层沉积和其它竞争工艺中存在的低沉积率、不充足的生产空间的使用和其它问题提供了一个实质性的解决方案。
参见图5,垂直堆栈紧凑型原子层沉积反应器27,包括带有用于与分离的紧凑型反应器单元33a-j相连接的,带有垂直接口的真空室32,如上文所述请参见图4。紧凑型反应器单元33a-j可以单独地或与真空室一起承受适当的真空。每个紧凑型反应器单元33a-j中的活叶式阀门允许独立抽真空(阀门关闭)或与真空室32共享真空(阀门打开)。每个反应器单元上的独立设备允许抽真空、清洗、处理气体的流动和适当的阀门动作,包括上文所述的活叶式阀门的加载和卸载,允许衬底向垂直堆栈反应器和从真空处理室32的传送。
在不背离本发明的精神和范围的前提下,垂直堆栈紧凑型原子层沉积反应器27,可以有比图4、图5所示更多或更少的紧凑式反应器单元。这一点对于本领域的技术人员来说,是显而易见的。此处所述的实施例参见图5,有10个反应器单元33a-j。然而,在本发明的实际应用中,垂直堆栈紧凑型原子层沉积反应器27会包括尽可能多的反应器单元,用于提高生产能力,达到与现有的商用工艺竞争的目的。反应器的数目由可用的垂直空间的实际条件所限制,并且需要与用于此目的的处理设备的能力相匹配。
室32中的Z轴自动装置31,用于向反应器单元33a-j装载和卸载衬底,并与其它物料处理设备相连接。Z轴自动装置编程31可向垂直和水平方向延伸,并且已编程与每一个紧密型反应器单元33a-j相连接。在本实施例中,还可对Z轴自动装置编程,使其以任何所要求的顺序装载或卸载衬底。例如,衬底可以由下向上装载,可以由上向下装载,可以由中间向上装载等。而且衬底还可以从一个紧密型反应器单元中拿出,重新装载如另一个紧密型反应器单元中。任何顺序都是可能的。在一些实施例中,可以有多个衬底处理设备,例如,与单一的Z轴自动装置结合的末端操纵装置之类。
紧凑型反应器单元33a-j沿着室32的一壁与室32连接,并且被精心地放置以保证Z轴自动装置的无误差装载和卸载,反应器通过真空密封与室连接,并由处于室32外的支架组件支撑,下文中将配合图对此加以详细说明。
在此实施例中,真空中心自动化衬底处理器23通过闸门阀29与垂直堆栈紧凑型原子层沉积反应器27相连接。闸门阀29是一个真空阀,它在衬底传送之间,将垂直堆栈紧凑型原子层沉积反应器单元27与多工具处理器分隔开。传送机械装置43通过旋转机械装置25工作,将衬底装载到Z轴自动装置上或从其上卸载。图5中的传送机械装置43延伸到闸门阀29。在与图示位置相差180度的位置处,传送机械装置43可伸入用于装载预处理后的衬底或卸载加工后的衬底的盒式载荷锁定装置21中。处理器23所示的这种自动化衬底处理系统可以从几家厂商处购得,包括BrooksAutomation、Equipe和Smart Machines。
在本发明的优选实施例中,预处理后的衬底首先被放置到处于垂直方向的盒或支架(图中未示)中的盒式载荷锁定装置21处。当预处理后的衬底处于盒式载荷锁定装置中,盒式载荷锁定装置21关闭并通过一个真空口将其抽为一个特定的真空状态。自动化处理器23中的传送部分也通过一个真空口(图中未示)同样地被抽成一个特定的真空状态。真空室32也通过一个类似的口(图中未示)被抽为真空状态。在所有的单元都被正确地抽空后,闸门阀35打开,使传送机械装置43伸入盒式载荷锁定装置21中,一次取回一个衬底。盒式载荷锁定装置21中的盒处理机(图中未示)可以将平台进行升降,此平台带有一个装有预处理后衬底的盒。
当传送机械装置43取回一个衬底,然后将其缩回到自动化处理器体积内并旋转180度伸向垂直堆栈紧凑型原子层沉积反应器27。通常在传送动作之间,阀35关闭。但在许多工艺流程方案中,这并不是一个严格的规定。通过垂直堆栈紧凑型原子层沉积反应器27的传送机械装置,闸门阀29打开,使传送机械装置43可以将衬底送到Z轴自动装置31处。Z轴自动装置31得到衬底并将其装载到垂直堆栈紧密型反应器的某个单元中,以此类推。
许多操作方案都是可能的。在所示的体系结构中,一个优选方案是使系统具有和紧凑型原子层沉积反应器相同数目的装载卸载盒21。进行传送动作直至所有衬底都被送到反应器中(每一个单元中都有一个待涂敷的衬底)。然后关闭中间阀门,反应器单元33a-j开始处理。此系统具有批量处理系统所具有的工艺步骤,但所有的衬底都是在各自的反应器单元中被处理的。
还可能有其它的方案。因为每个紧凑型反应器单都带有独立的阀门,在一些方案中,当一个衬底被装载后,其反应器就马上开始处理。其它的工艺流程方案对于本领域的技术人员来说,是显而易见的。
一些实施例中,对室32进行单独地抽空和隔离。当反应器装载完毕而反应器单元中的处理尚未开始时,通过注入惰性气体,可以使室32中的压力增加到一个水平,为沿单独反应器的活叶式阀门提供不同的压力,为单独反应器阀提供一个可能之外的额外密封压力。
当紧凑型反应器单元33a-j中的所有的处理完成后,安装在每个单元上的活叶式闸门阀被打开(图3A中元件52),按照如上所述相反的顺序对衬底进行卸载。通常,衬底被一个接一个地放回它们在处理前所处的盒中。然后将阀门35关闭,使锁定装置21与大气相通,将装有处理后衬底的盒取出。从盒式载荷锁定装置21中取出衬底到将衬底放回盒21中的过程是全自动的。与闸门阀开启相关的时间特征值、传送速度、工艺长度(包括工艺流程顺序)、抽真空顺序和其它所需命令都是本技术领域内众所周知的控制软件和硬件中的可编程函数。
部分是由于原子层沉积工艺不依靠气流的特性,而是通过如上文所述的化学沉积的方法在沉积表面得到涂层,正如在本领域内已知的,可将紧凑型反应器单元33a-j的宽度设计为可以容纳具有最佳尺寸的衬底,如直径300毫米的衬底。而且,在不缩小紧凑型反应器单元33a-j尺寸的相同的系统中还可以处理更小的衬底。另一个实施例中,使用一个具有缩小尺寸的系统用于实现一次处理一个更小的衬底。而一个具有放大尺寸的系统用于处理诸如平板显示屏等其它产品。
在本发明的一些实施例中,如上文所述,开发了一种针对特定衬底尺寸,适用于对较小尺寸的多个衬底进行处理的低外形紧凑型原子层沉积反应器。图6所示为图3A中低外形紧凑型原子层沉积反应器33的平面图。虚线圆70为正常的衬底尺寸。本发明可替代方案的实施例中的低外形紧凑型原子层沉积反应器单元33,可容纳比衬底70小的3个衬底72。在一些实施例中,低外形紧凑型原子层沉积反应器单元33带有旋转卡盘,这样衬底72就可以在一个通用的传送点被放置和取回。在另一些实施例中,可以使用自动化传送装置将衬底放在炉的指定位置处。在另外的一些实施例中,可以使用一个通用载体,实现多个衬底向和从低外形紧凑型原子层沉积反应器单元的传送。这样就可以使用单一衬底的低外形紧凑型原子层沉积反应器的设计,在相同的工艺平面上处理多个衬底。
上文所述的独特结构提供了一个目前本技术领域中尚未出现的全自动商用原子层沉积工艺。通过使用垂直堆栈紧凑型原子层沉积反应器27,可以实现相对于诸如化学气相沉积、等离子体增强化学气相沉积(PECVD)等竞争工艺更高的工艺生产能力。而且,由于原子层沉积工艺内在的对均匀性的改进和代替批量技术的分离的反应器单元,可以在解决交叉污染的同时实现较高的生产能力,而且,由于紧凑型反应器单元的垂直堆栈,在获得这些优点的同时,对不充足的生产空间的使用也非常节约。
图5所示的实施例只是应用垂直堆栈紧凑型原子层沉积反应器27的设备的可能布局中的一个例子。在本实施例中,只有一个盒式载荷锁定装置21和一个垂直堆栈紧凑型原子层沉积反应器27。事实上,自动化处理器23还可以有另外两个位置分别接另外的载荷锁定装置或垂直堆栈紧凑型原子层沉积反应器单元,对上文实施例中更多的附加设备的详细情况将在下面的附加实施例中提供。
图7是如图5所示的根据本发明可替代方案的实施例的生产系统19的俯视图。其中,附加的垂直堆栈紧凑型原子层沉积反应器单元或盒式载荷锁定装置与自动化处理器23相连接,用于运行诸如化学气相沉积、清洁之类的其它处理过程。自动化处理器23具有4个90度位置,如图7中位置A、B、C、D所示。A位置与闸门阀35以及可参见图5的盒式载荷锁定装置21相连接;B位置与闸门阀75以及盒式载荷锁定装置71相连接;C位置与闸门阀29以及已述的可参见图5的垂直堆栈紧凑型原子层沉积反应器27相连接;D位置与闸门阀77以及第二个垂直堆栈紧凑型原子层沉积反应器73相连接。通过操纵图5中的操作单元25控制传送机械装置43,使传送机械装置43转到每个位置。图7中的传送机械装置43如图所示,带有已装载的衬底延伸到位置C,在该位置可被从垂直堆栈紧凑型原子层沉积反应器27中取回。闸门阀29在开启位置以允许衬底的传送。传送机械装置43还已缩回的位置被示出(用虚线表示),并且闸门阀75和盒式载荷锁定装置71均处于位置B。在此示例中,传送机械装置从盒式载荷锁定装置71中取出衬底,并将其放入垂直堆栈紧凑型原子层沉积反应器27中。传送机械装置43以类似的方式在4个位置处伸长、缩回、旋转、伸长,以便顺利地将每个衬底从载荷锁定装置中传送到垂直堆栈紧凑型原子层沉积反应器单元上或放回载荷锁定装置中。
在本发明的一个实施例中,可以使用3个垂直堆栈紧凑型原子层沉积反应器单元和一个盒式载荷锁定装置,其中,在每个工艺模块中处理不同的并行工艺(即一个工艺模块中的所有反应器单元都用于一个处理过程),同理,也可以进行串行处理(一个工艺模块中的每个反应器单元用于处理不同的工艺)。另一个实施例中,在包括两个盒式载荷锁定装置的系统中,一个工艺模块专用于串行处理,而另一个工艺模块专用于并行处理。在不背离本发明的精神和范围的前提下,在生产系统19中可以应用许多个处理构造,这一点对于本领域的技术人员是显而易见的,其中几个在上文中已有描述。
图8是根据本发明的一个实施例的垂直堆栈紧凑型原子层沉积反应器系统27的后视图,示出了与室壁42连接的10个垂直堆栈的反应器单元中的3个单元。在垂直堆栈的反应器单元的一侧是垂直定向的进气歧管,它用于向反应器提供一种气体或蒸气。在本发明的优选实施例中,在处理过程中,多种前体和惰性气体被交替地脉冲输送到反应器单元33中,图中为了避免绘制上的混淆只画了一个歧管55。实际应用中,每个歧管用于输送一种气体或蒸气和至少一种清洗气体。因此,通常最少应有3个歧管。
在本发明的优选实施例中,使用带有阀门的充气管用于控制每个反应器中的每种气体和蒸气的量,图8所示的充气管62就是这样的一个充气管。这些分离的充气管具有预置的体积,被充于其中的气体或蒸气的温度和压力可以控制,因此可以知道气体或蒸气的分子数目。每个充气管由两个阀门与其它设备隔开,此例中管62是被充气阀54和放气阀61隔开的。打开放气阀61,管中所充的气体释放到反应器单元33中。打开充气阀54,关闭放气阀61,可以将一种气体、蒸气或清洗气体以一定的预置压力和温度充入充气管中。
快速连接凸缘56用于将气体和前体源快速连接至反应器单元33上。使用其它快速连接用于将所有气体和蒸气管线与每个反应器单元的相对快速分离。前体和清洗气体的脉冲输送是按照原子层沉积工艺所要求的顺序完成的。通常一次脉冲的时间很短(根据工艺不同大约为50到300毫秒),通常脉冲之前有一个短的过渡期。因此,阀门必须具有快速开关的性质。快速开关阀门为本领域和发明人所知。
在本实施例中,垂直定向的真空排气岐管63通过快速连接装置58与反应器单元33的右侧相连,用于从反应器室中排出气体或蒸气。快速连接装置的使用利于单独反应器的拆除和维修。如本领域所知的,快速连接装置可以有多种形状和构造。真空关断阀60用于方便维修和更换。通常,气体脉冲输送时此阀门是打开的。
反应器单元33的动力通过典型的电子管线57所表示。动力用于驱动诸如图3B中闸门阀52,热源之类的各种元件。通过典型的控制线59向诸如阀门等元件提供控制信号。线路57和59上提供的电子连接器67和68用于方便快速拆除反应器单元33。
如前所述请参见图2,通常需要在处理过程中对衬底进行加热,在处理过程结束之后对其进行冷却。因此,还提供了用于液体冷却的连接器。普遍使用循环冷却剂对反应器进行冷却。这样的系统为本领域和发明人所知。
反应器33中建有热源,在本实施例中,由于对于紧密型原子沉积反应器的独特的总体的低外形的要求,对加热器的高度上有所限制。
在本发明的一个优选实施例中,一个真空泵将反应器单元33的全部或部分抽为真空。这是通过真空泵与反应器的所有单元的真空管线之间的真空接口实现的。在每个连接中,每个编程指令可开闭阀门,因此同时或分别地将反应器单元的一定组合抽为真空。在一个优选实施例中,可以使一个或多个反应器单元与周围的氮气或空气相通,并通过关闭阀60、61使之与氮气或空气隔离,而令其它的单元处于真空状态下,以此类推。
在本发明的一个优选实施例中,通过分离快速连接、拔掉电子管线、断开连接到室壁的凸缘54,以及拆除用于放置和支撑堆栈固定装置或支架的反应器单元33,可以轻易地将单个的紧凑型反应器单元从图5中真空室32的分界壁上拆除。一些例子中将凸缘插头(带有O形环的实心凸缘)闩在或夹在室壁或配合凸缘上,这样就可以拆除若干反应器单元用以维护,而不需完全关闭系统或用其它的低外形紧凑型原子层沉积反应器单元替换。
可以在不背离本发明精神和范围的前提下,使用许多快速连接方法用于方便反应器单元的拆除,这一点对于本领域的技术人员来说是显而易见的。这些方法和硬件也为本领域和发明人所知。
图9为根据本发明一个实施例的气体循环和前体截留系统图。其中,气体被循环而有害的副产品被截留并被处理。由于前体和清洗气体如上文所述分别被脉冲输送至紧凑型反应器单元33中,允许对前体和副产品分别收集和截留。循环截留系统65被置于反应器的排气端,它带有一个将三通道气动阀与图4中入气歧管55相连接的闭环控制,这样,清洗气体P就可以循环回反应器单元33中了。以A和B表示的化学类物质可在前体截留器69(即低温势阱)中被截留,这可用于除去有害气体。无危险的气体和化学物质通过截留器69,被排气泵排出。此处所述的新颖的方法减少了气体的用量,并提供了一个利于环境的工艺。
对于本领域的技术人员来说,显而易见的是,在串行处理的情况下,每个反应器都应有上文所述的截留系统65,而对于并行处理而言,由于每个反应器中进行相同的反应,只需在排气端设置一个截留系统。
在不背离本发明精神和范围的前提下,如图5所示的生产系统19可利用并集合多种技术,这一点对于本领域的技术人员来说,是显而易见的。例如,垂直堆栈紧凑型原子层沉积反应器单元27可与化学气相沉积系统、清洁模块、涂敷单元和本技术领域中所知的其它工艺,共享自动化处理器平台。同样,对于本领域的技术人员显而易见的是,由于原子层沉积工艺通过化学沉积的均匀性,这里对衬底尺寸和反应器数目并没有限制。因此垂直堆栈紧凑型原子层沉积反应器27与其它竞争工艺相比,可实现最佳的商业应用。许多其它的结构和应用亦是可能的,很多在前文中已经描述过了。
与多工具系统一起使用的通用室
图10A是用于现有技术和本发明中的基于多工具的处理系统的平面简图。多工具本身是一个主要在真空室1101内运行的物料处理系统。晶片传送设备1103在真空室中央工作。通过旋转和伸长,将衬底,特别是集成电路制造工序中的半导体晶片,放置到在环形真空传送室1101周长上各点处的处理台上,或从其上取下。
在所示的系统中,有6个处理台,分别标号为1到6,每个处理台通过固定凸缘和缝隙阀门装置1102安装到室1101上。在这种方案中,两个处理台5和6,用作晶片送入和移出室1101的空气密封舱,密封舱被图中未示的抽真空设备抽为高度真空。其余4个处理台用作处理。
晶片通过载荷锁定装置1104从外面进入室1101中,然后一般要顺序地经过4个处理台,从卸载锁定装置1105处出去。但晶片不一定要顺序地经过4个处理台。传送装置1103能使晶片按照任何所要求的顺序放置和取回。
图10B是图10A所示台1106的横截面图,表示了处理台的一些附加的典型特点。台1106基于一个可密封的处理室,通过一个带凸缘的缝隙阀门装置1102与图10A中的室1101相连接。通过这个接口,晶片被送入室1107中被处理并在处理后送出室1107。室1107带有一个用于将室抽真空的抽真空口1109,一个用于在处理过程中支撑晶片1111的可加热炉1110。处理所用气体由供气控制单元1115经导管1114由环状歧管1113和喷头歧管1112导入室中。
如图10A所示系统中,室1101通过强劲的真空泵使室中所有区域在任何时候都保持高度的真空状态,目的是避免由处理台之间的空气引起的污染。待处理的衬底位于载荷锁定室1104中,通常是置于一载体上,载荷锁定室被抽至与室1101相当的真空水平。然后打开内部阀门,传送装置1103将晶片从载荷锁定装置上取出传送到处理台1至4中的任何一个。
通常,在一个处理台处理的过程中,调节真空阀用于在不使用过多处理气体的情况下,控制处理室的压力。这种调节可以用各种途径完成,例如使用带有可调节孔的阀门。在一个典型的工艺循环中,在处理结束后,关闭单元1115中的气体(参见图10B),打开节流阀机械装置使处理室得到最大的泵取速度。这样做的目的是使处理室中的气压与传送室1107中的气压值相接近。然后打开装置1102中的缝隙阀门,传送装置1103进入处理室中取回处理后的晶片(1111)。通常将取回的晶片通过装置1103传送至另一个处理台,然后,在传送装置撤出后,将来自载荷锁定装置或来自另一个处理台的晶片插入并放置到炉1111上。
一旦新的晶片被置于处理室的炉上时,装置1102中的缝隙阀门再次关闭,使处理室和传送室1101隔绝。然后,处理气体从单元1115经过导管1114被导入并且降低泵取的速度。
如上文所述,在图10A和图10B中具有共性的处理台中实现了许多处理过程。例如实现了清洁、蚀刻、后喷射和许多不同的沉积处理工艺。通常,每个工艺过程由为该工艺所设计的处理室完成。
图11A所示为根据本发明的一个优选实施例的多功能处理台1201的立体图,其适用于处理各种工艺。图11B是如图11A所示的多功能处理台的分解图。图11C是如图11A和图11B所示的多功能处理台的立体截面图,从一个与图11A不同的观点进行观察。图11D是如图11A所示的多功能处理台的截面正视图,所示为处理模式,图11E是图11A中装置的截面正视图,所示为传送模式。由于此实施例中多功能台是一个相对复杂的装置,使用几个视图和截面图更好地表述处理台特征和元件,参见所有视图将能够更好地理解下文关于多功能处理台的描述。
现在主要参见图11A和图11B,使用基底室1203将多功能处理台1201与多工具相连,当将其与其它工具装配在一起时,可以提供真空完整性。基底室1203有一个末端为凸缘1207的侧面伸展通道1205,它装配到多工具传送装置上的配合凸缘上,台1104以这种方式装配到系统1100(参见图10A)上。图中未室出缝隙阀门,在此实施例中,它是多工具装置中与凸缘1207装配的那一部分。
圆柱形(在此实施例中)处理室1204,装配到带有真空密封的基底室1203的上端,真空密封处于接口处,可以提供真空完整性,基底室1203还带有一个盖组件1261,通过真空密封与处理室的上端相密封。本实施例中的盖组件与处理室相铰接,并带有向处理室提供处理气体的装置。盖组件和相关装置将在下文中更详细地描述。对于目前的这部分描述,应该注意到(参见图11C和图11D)带有盖组件和基座的处理室提供了一个封闭的处理空间,这一点是非常重要的。
驱动组件1209通过一个带有真空密封的水平凸缘从下面装配到基底室1203上。水平凸缘是圆柱形上端外壳1211的一部分,圆柱形上端罩带有一个用于连接图中未示的真空泵取系统的侧面出口1213。由于上端外壳1211严格地安装到基底室1203上,而基底室1203依次与多工具中的真空传送室严格装配,所以上端外壳1211是一个可以为其它单元提供结构支撑的静态元件,这将在下文中进一步描述。
驱动组件的目的是用于升降内部基座装置1215(参见图11B)。基座装置带有一个加热炉,用于支撑被处理的晶片并向其提供热量。当基座处于最低处时,将晶片插入基底室并将其释放到炉上,当传送装置从伸出部分1205撤回,并且相关缝隙阀门关闭后,基座提升,将被支撑的晶片移起放入其将被处理的处理室中。
从图11C、图11D和图11E可以清晰地看出基座装置1215与驱动组件的关系。基座装置1215的上端部分1217包括一个加热盘、一个电子绝缘盘和将在下文中详述的其它元件。目前关于驱动组件的描述中,基座装置与驱动组件的连接是我们所主要关心的。
图11E所示为处于最低处的基座装置1215,在基座的上端部分1217上支撑有一晶片1219。在这个位置处,传送装置(图10A中的1103)可以通过伸出部分1205进入基底室并从基座装置的上端取走或放置晶片。目前的描述中,假定晶片1219已被放置到基座装置上。
现在注意驱动组件1209的上端外壳1211。一个直径比上端外壳1211小的刚性圆柱形下端外壳1221从上端外壳1211下面伸出。基座装置1215带有一个上端结构1224和一个下端伸出部分1225,其末端是一个与外部圆柱形构件1223相装配的凸缘1227,这两者之间是一个环形区域。外部圆柱形构件1223衬有耐磨材料并与下端外壳1221紧密安装,通过此处的垂直直线导轨使基座装置1215在无异常载荷地可靠地升降。
总的组件保持真空完整性,同时金属风箱1233允许基座装置进行垂直自由运动,金属风箱密封在凸缘1227和凸缘1229之间,凸缘1227位于其底部,凸缘1229的外圆周与圆柱形下端外壳1221相贴。由于凸缘1229是下端外壳1221的一部分,而下端外壳1221与装配到基底室1203上的外壳1211相连,所以凸缘1229是静止的。凸缘1227,由于其与基座装置1215的下端伸长部分1225相连,可以随基座1215上下移动。当基座装置降低时,风箱1233伸长,而当基座装置1215升高时,风箱1233缩回。基座装置通过凸缘1229的内径,主要是通过气缸1223的内部轴承,保持其垂直运动轨迹。
关于驱动组件1209和升降基座组件,仍然通过基座装置1215在最低位置(参见图11E)和最低位置(参见图11D)之间的移动描述这种机制。现在主要参见图11A,本实施例中,驱动组件1209包括一个电子激励并驱动的线性激励器1235,线性激励器1235在导向罩1238内带有一个可伸长柄1237,通过接受来自图中未示的控制系统发出的控制信号,其中,可伸长的柄可在导向罩1238中伸长或缩回。可伸长柄1237的一端通过U形钩1239与驱动组件的上端外壳1211相枢轴连接。轭形组件1241包括一个围绕气缸1223(固定在基座组件1215上)的U型轨道,U形轨道的末端与夹具杆1243的相对的两端相枢轴连接。夹具杆夹持在驱动罩1238上。
参见图11B和图11C,轭形组件1241使用了装配到气缸1223相对的两端上的两个轴承1245。参见图11B,在凸轮状/轭状组件1241的弯曲末端的中心,长度可调的连接杆1247的一端通过U形钩1249固定。连接杆1247的另一端通过U形钩1251再次与外壳1211固定。
在如上所述的布局中,当可伸长柄1237伸长时,轭形组件作为杠杆移动,其与U形钩1249连接处作为支点,这样气缸1223下降的距离就是柄1237伸长长度的一半,导致整个基座组件相对于处理室和基底室的位置降低。当柄1237缩回时,类似地基座组件相对于基底室和处理室上升。
对于本领域的技术人员来说显而易见的是,可以通过其它方式使基座组件相对于基底室和处理室变化,而且在不背离本发明精神和范围的前提下所示机械装置可以有许多的变化。例如,可以使用若干可伸长的驱动器,诸如空气气缸、空气-油系统、液压系统等等。所述的实施例具有平滑的传送性和准确性。
在本发明中基座组件的垂直运动方面,提供了一个低位置和一个高位置,低位置用于通过伸出部分1205插入或取回晶片,而在高位置中,基座上的晶片进入处理室用于处理,在高位置和低位置之间提供了不同的泵取速度。实现这种机制的元件同样被用于实现处理位置处实际泵取速度的轻易变化。参见图11D和11E可以更好地理解这些特点。
参见图11D和图11E,环形衬垫1253被放置到基底室1203和处理室1204连接的位置处。当基座处于最高处时,衬垫1253的内径,决定位于其与基座1215顶端之间的,环形通道1255(参见图11D)的面积。衬垫1253使用具有相对较低的热传导系数的材料制造,因此可以在当基座处于处理(最高处)位置时,对与被加热基座相邻的处理室和基底室的部件起到保护的作用。
除了衬垫1253,基座1215还带有一个环形罩1257,环形罩1257与基座相连形成一个具有一定形状的泵取环。当基座1215处于最上端时,罩1257与基座体1215形成的上端环,通过与衬垫1253相配合的罩上端边缘和环形通道1255配合。参见图11D,清楚的是,通过侧面泵取出口1213对处理室的抽空要经过罩1257和基座体1215形成的环形通道。
参见图11E,其中基座1215移动至最下端,用于从台中取出或是放入晶片,清楚的是,来自处理室的气体可以从上文所述的罩环中经过,但也可以从罩之外的区域1259通过,并经由那里到达外壳1211并由泵取口1213排出。
为本领域的技术人员所熟知的是,在处理后和晶片传送中需要相对较高的泵取速度,而在处理过程中必须降至较低的可控制的速度,以保证处理过程中的总气压。在传统系统中,这是由节流阀等装置实现的,节流阀通常是在室的泵取口中。关于本发明,这种变化仅仅依靠带有泵取罩的基座组件的垂直传送完成。在此方面,节流阀还用于精确的处理压力控制。
对本领域的技术人员来说,显而易见的是,衬垫和罩的设计不能用于广泛的工艺中,如化学气相沉积和等离子体增强化学气相沉积,这是由于它们要求在处理中有完全不同的泵取速度。本发明所描述的实施例中,用于不同的处理时,必须拆除基座并更换罩和衬垫,这些都必须在例行维护和清洁的计划停机时间内完成。而且,由于下面将要详细讲述的本发明的其它方面的独特设计,这些更换会变得相对简单。
参见图11A到图11D,处理区域由盖组件1261封闭,盖组件包括一个盖环1263,一个绝缘环1265和气体扩散体组件1267。气体扩散体组件1267带有图中未示的用于导入处理气体的口、用于将处理气体引导入处理室的内部通道和处理区域内用于将处理气体均匀分布至位于处理室中基座1215上的待处理晶片上的散射单元。这些口、通道和分布单元为本技术领域所熟知。
扩散体1267位于可为扩散体组件提供电绝缘和热绝缘的绝缘环1265中,允许扩散体组件如同在不同处理工艺中所要求的那样相对于其它单元的电偏置。这种电偏置用于例如将处理室中的处理气体激活形成等离子体,如在等离子体增强化学气相沉积工艺中已知的那样。绝缘环1265通过真空密封与盖环1263和扩散体1267相连,用以提供真空完整性和一个刚性的盖组件。在一个优选实施例中,盖组件1261通过可拆除的真空密封和处理室1204相铰接,使得用于清洁和维护的通路变得相对灵活和常规。在其它实施例中,为了得到此通路,可将盖部分采用不同的装配或是全部拆除。
现在主要参见图11D和图11E,可将盖组件1261拆除并移开,得到通向处理室内部的通路。台1201的底端有通向凸缘1227的简易通路,在那里下端部分1225与凸缘1227相固定。当盖组件打开时,可以从凸缘1227上拆除基座组件1215,并从上端开口处将其取出处理室。这个特点使对处理室每部分的清洁和维护变得快速和简单,并且可以更换衬垫和罩,用于提供新的不同的处理条件。
基座1215的上端部分的结构中具有相对于现有技术的重大改进。图12所示为图11D中虚线圆1269部分所示基座1215顶端的截面正视图。如上所述并参见图11C,基座1215是上端结构1224和下端结构1225的组件。上端结构1224顶部由闭合盘1226封闭,元件1225,1226和1224由真空密封装配起来,提供一个本质上中空的真空结构。上端闭合盘1226支撑加热器和电极元件,用于在处理过程中支撑晶片。下面要参见图12对此详述。基座组件1215中的闭合盘1226是图12中的基底盘,将其水冷用于保持诸如O形环等的真空密封和其它会被高温破坏的元件的工作温度。
现在主要参见图12,独特的电子馈通单元1301从两处穿过闭合盘1226。图12所示为一个这样的贯穿处,但在优选实施例中有两个这样的贯穿处,用作下文所述的独特的加热盘。馈通单元1301通过真空密封与闭合盘1226相连,用于提供真空完整性。闭合盘1226的一个贯穿处的馈通单元,为加热盘1303中的加热元件提供电力,电绝缘盘1305将闭合盘1226和加热盘1303分开。加热盘1303的功能是向接受器1307提供热量,处理过程中的晶片被置于接受器1307上。
接受器1307主要是一个具有高的导热系数的石墨结构,其与加热盘1303之间有一段小的距离D1。加热盘1301通过在间隙D1间的对流和辐射为接受器1307提供热量,使其上表面及晶片表面保持一个相对恒定的温度,还提供了一个用于高频电偏置的有效电极。接受器形成一个用于所需工艺的,通过图中未示的RF馈通被偏置的电子实体。
连接两个馈通单元1301和用于RF动力的第二个馈通单元的加热动力线,各种其它导管和连接器都通过基座组件1215的中空内部向上导入闭合盘1226的下表面区域(参见图11C、图11D、图11E)。为了简便,这些向基座子组件和元件提供动力和其它效用的管线和导管在视图中并未画出,为本领域已知的它们主要连接外部能源和设备。
此处所述优选实施例中还有另外几处贯穿闭合盘1226的真空密封贯穿口,但图中并未示出。包括具有用于测量内部元件温度的合适的馈通装置的热电偶和至少一个用于监视接受器温度的光学传感器。这种馈通装置在本技术领域内是众所周知的。加热器/接受器组件还带有一个用于转换三个陶瓷销的气缸控制机械装置,用于从接受器1307表面升降晶片,允许传送装置伸到晶片下面从接受器盘上取下或放置晶片。在一个优选实施例中,闭合盘1226下端与一个气缸相连,这样气缸的移动柄通过风箱密封伸入闭合盘的开口中。气缸的冲程为1.5英寸并操作位于加热器下面的,伸入加热器和接受器盘中间间隙的带有三个陶瓷销的蛛形板。
当基座1215如图11E所示缩回以便传送晶片,如上所述的被气缸激活的陶瓷销将接受器上的晶片抬离接受器上表面。然后传送臂从位于销上的晶片的下面,接受器上面的位置伸入处理室。销缩回使晶片位于传送臂上,然后传送臂和销一起缩回。相反的过程可用于将一个新晶片放到接受器上。
图13A中分离地显示了图12中的馈通装置1301。在此实施例中,陶瓷体部分1403与金属体部分1405通过金属间的键力相连接,金属体部分1405带有用于诸如O形环的真空密封的密封槽1407,通过闭合盘1226(参见图12)中的适当的开口形成一个可密封单体。实心镍丝1409也通过本技术领域中所知的金属间的键力,例如焊接,与陶瓷体部分1403密封,它距馈通装置足够远,所以导热并不是个问题。
在馈通装置1301的真空侧,镍丝1409与位于陶瓷体部分1403的开口1415中的插槽1413相连,并与来自加热盘1303(参见图12)的接收杆相接。接收杆将在下文中详述。插槽1413被具有一定硬度的镍丝1409限制在垂直方向上。开口1415的直径比插槽1413的直径大一些,使插槽1413可以横向自由移动(镍丝1409对横向移动的限制很小)。这种自由的横向移动使当带有杆的加热盘安装到闭合盘上时,可以有一些移动和错位。
图13C是图13A中馈通装置1301的俯视图,图13B是沿图13C馈通装置1301的组件主体的截面线13B-13B截得的截面图,即将图13A旋转90度。截面图13B是沿着环形浅腔(declavity)1419下面的陶瓷体1403中的槽1417的。浅腔1419和加热盘1303的环形部分相接,加热盘1303上伸出连接杆,槽1417与陶瓷绝缘阻挡层1309的挡板伸出部分1311相接,陶瓷绝缘阻挡层1309用于避免电子馈通装置中单独的杆之间的视线电子的相互作用。
图14A和14B分别是陶瓷绝缘阻挡层1309的俯视图和侧视图。陶瓷绝缘阻挡层1309的总体直径足以包括两个插槽1413的位置。此实施例中,陶瓷绝缘阻挡层1309具有环形开口1511和1513,使杆通过下文将要详述的方式装配到加热盘1303上。衬垫1309的挡板伸出部分1311作为挡板壁穿过1309的直径,并与陶瓷阻挡层的体平面成90度,当所述实施例中加热盘装配到基座上时,挡板伸出部分1311与啮合槽1417相配合。
图15A是图12中加热盘1303的立体图。图1 5B是相同加热盘的平面图,图15C是侧视图。此实施例中加热盘1303是具有内部区域1603和外部区域1605的独特两区加热器。两个区域由贯穿加热盘厚度的双通道1607和1609分开。内部区域1603与放在加热器接受器上的晶片直径相匹配,外部区域1605占据加热盘的其余部分。在本实施例中的加热盘1303是一个带有薄膜加热元件的陶瓷组件。
在本实施例中,两个分离的连接杆结构1611建在加热盘1303上,一个用于内部区域1603,另一个用于外部区域1605。可以独立地驱动两个分离的区域,允许将两区加热器接受器上的晶片的热分布调节至竞争的边缘效应,使晶片直至其外径都可以被均匀地被加热,这是相对于现有技术的加热盘的一个重大改进。
图16A、图16B和图16C图解的是本实施例中,与如图13A、图13B和图13C所示的加热盘和组件一起使用的独特的连接杆1701。图16A是连接杆1701的立体图,图16B是放大的端面图,图16C是沿图16B中截面线16C-16C截得的剖面图。
连接杆1701带有一个螺纹部分1703,一个凸缘1705和弹性指状伸长杆1707。本发明中连接杆的总长度大约为1.5英寸,螺纹部分和弹性指状伸长杆各占0.25英寸,其它实施例中也可以使用更大或是更小的杆。螺纹部分的螺纹最好是细螺纹,但是可以使用几种其它尺寸的。
在如图16A、图16B和图16C所示的一个优选实施例中,连接杆1701的伸长杆1707被分为12个等大的弹性指,如指1709所示。连接杆通常是由耐化学腐蚀的材料制造的,例如几种不锈钢之一,并以本技术领域中所知的方式进行热处理,以得到指的合适的弹性张力。
参见图15A、图15B和图15C,每一个连接杆都有一个带有两个螺纹孔的升起地带1601。每个升起地带上放有一个绝缘阻挡层1309,连接杆1701在孔1511、1513处具有螺纹,使凸缘1705将绝缘阻挡层固定在加热盘上。图12可以清晰地图解此组件。设计加热盘1303使每个加热杆都可以通过螺纹孔与加热盘上适当的加热单元相配合。利用上述独特的结构,加热器设计中可以有很多不同的方案,这一点对于本领域的技术人员来说,是显而易见的。
再次参见图12,带有馈通装置1301的馈通贯穿装置是在闭合盘1226上,以需要和加热盘1303的连接杆结构1611相配合的方式被提供的。当加热盘被装配到闭合盘上时,每个连接杆结构的升起地带1601,啮合环形浅腔1419(参见图13A和图13B)。同时,绝缘体挡板1309的挡板伸长部分1311啮合槽1417,产生无视线连接。如上所述,馈通装置1301的管线1409上的插槽1413,允许有一些横向运动,其连同杆的弹性指保证了容易的和积极的啮合。
在此处所描述的本发明的优选实施例中,两个连接杆结构向单一加热盘中的两个分离的加热区域提供动力连接。在本实施例中,没有阐述分离的单杆结构而是使用同样的插槽和杆方案(不是单杆),用于等离子体增强化学气相沉积工艺中RF偏置的高频连接。然而,也可以有更多或更少的连接杆结构,同样也可以使用用于高频偏置的双杆馈通装置,这一点对于本领域的技术人员来说,是显而易见的。
本实施例的细节和规模可以在不背离本发明精神和范围的前提下发生相当大的变化,这一点对于本领域的技术人员来说,是显而易见的。许多这类变化已经被提及。还有许多其它的。例如,目前集成电路制造的晶片有许多尺寸,根据上面的本发明实施例的处理台可制造为容纳单一晶片尺寸或容纳晶片尺寸的一个范围。例如,根据本发明的处理台可以容纳直径为12英寸或更大直径的晶片,并与用于正确、均匀、有效地加热的具有常规8英寸直径的晶片的加热结构相适合。
作为本发明宽度另一个示例,上文详述的驱动组件1209提供了一种用于升降本发明各种实施例中基座组件的有效的、平滑的和超长寿命的驱动器。这种驱动器可以有许多变化,然而,也可以在一些实施例中使用完全不同的驱动器,同时保持与现有技术之间独特的创新性区别。
将多功能处理室用于原子层沉积工艺
本发明的另一方面,将如上所述的可参见图10A至图16C的,多功能处理室用于进行图1A至图9所述的原子层沉积工艺。
参见图5,所示系统配置中垂直堆栈紧凑型原子层沉积反应器27与真空中央衬底处理器23相连接。如图7所述,真空中央衬底处理器的缝隙阀门可以与多个垂直堆栈紧凑型原子层沉积反应器单元相连接。处理器23是借助图10A如前所述的本质上相同的多工具处理器,并且很显然,在这里所讲授的,这样的多工具处理器可以与一个或多个多功能处理室或垂直堆栈紧凑型原子层沉积反应器单元相连接。
在本发明的一个优选实施例中,至少一个上述的多功能处理室根据上述内容与多工具处理器相连接,参见图8、图9所示的多功能处理室提供气体设备和控制装置。最好多个多功能处理室(这里是原子层沉积处理室)与至少一个载荷锁定装置连接,这样,待涂敷晶片就可以被装载到原子层沉积处理室中或从其上卸载下来。以这种方式,每个循环都可以引入与原子层沉积处理室个数相同的晶片,每个晶片都有自己进行原子层沉积处理独享的处理室。这些处理过程可以被识别、相互间可以有显著差别、可以被装载、卸载,处理参数可以通过编程与之相称。
现在参见图11D和图11E,所示为处于处理位置(参见图11D)和处于传送位置(参见图11E)的多功能处理室。在当前的方面,处理室1204与将要进行原子层沉积涂敷的晶片尺寸相匹配,使始终具有气流的处理室空间达到最小。当基座位于其最上端位置时,形成处理室空间,当基座缩回时,空间明显变小(参见图11E)。
原子层沉积气体设备穿过一个特别的盖子,如盖1267所示,必须通过更换多功能处理室的盖子解决周围特定的环境的要求,如晶片厚度的变化、薄膜材料等。同样,处理位置中,用于处理的泵取速度是由当基座位于最上端位置时形成的环形部分1225所决定的。泵取要求可通过更换环1253实现精调。
在操作中,基座缩回,加工后的晶片被卸载,新晶片被加载到多功能工具装置中的每一个处理室中。缝隙阀门接口关闭,基座提升。在处理过程中,每个处理室中的衬底炉保持一定的温度,可以通过热的气体注入,使晶片快速上升到处理温度。通过每个处理室中强加入晶片涂敷所需温度的气体气流,原子层沉积处理工艺自然产生。
在不背离本发明精神和范围的前提下,所述的装置方法可以有许多的变化,这一点对于本领域的技术人员来说,是显而易见的。例如,可以通过改变处理室中的特定元件,处理许多不同尺寸的晶片。工艺参数可以适用于很多种方式。
另一个示例是,本发明中的许多元件可以使用许多替代的材料,例如加热盘和接受器的材料。鉴于可以有广泛范围的变化,仅用所附权利要求书的范围对本发明做出限制。

Claims (10)

1.一种用于多工具系统的原子层沉积处理台,包括:
最下端带有第一代表性区域的处理室;
位于处理室部分下面的基底室,基底室部分带有一个真空泵取口、一个衬底传送口和位于处理室环形最下端下面的第二代表性区域,真空泵取口比第一代表性区域要大;
上端带有衬底支撑面的衬底支撑基座,所述衬底支撑面带有第三代表性区域,它比第一代表性区域要小,通过一个允许垂直传送的动态真空密封与传送口下面的基底室相配合;
垂直传送驱动系统,将衬底支撑基座传送至处理室最下端,使其上端的支撑面位于处理位置处,或将所述基座传送至位于泵取口之上,传送口之下的基底室部分中的下端传送位置处;以及
安装到处理室上的可拆卸的气体供应盖,用于根据原子层沉积协议供应气体;
其中,当衬底支撑基座处于处理位置时,衬底支撑基座的跨区域部分和较大的第一代表性区域,形成第一个泵取通道,其具有第一总体有效面积,决定了通过真空泵取口从处理室部分抽取的第一限制泵取速度,当衬底支撑基座处于下端传送位置时,衬底支撑基座的代表性区域和较大的第二代表性区域形成第二个泵取通道,其具有比第一有效面积更大的第二有效面积,允许从处理室抽取的第二限制泵取速度比第一限制泵取速度更大。
2.根据权利要求1所述的处理室,其中,第一代表性区域由可更换的环组成,因此可以通过更换具有恒定外径和不同内径的可更换环使第一泵取速度发生逐渐地变化。
3.根据权利要求1所述的处理室,进一步包括一个围绕衬底基座部分的环形罩,其上端开始于上端支撑面,并延伸至上端支撑面以下,其中,位于上端支撑面高度的环形罩泵取面积实质上与第一横截面积相等,这样,环形罩通过位于处理位置的衬底支撑基座与第一代表性区域相配合,迫使所有气体从处理室流入环形罩和衬底支撑基座之间的环形区域中。
4.根据权利要求1所述的处理台,其中,封闭的处理室最上端的可拆卸的盖装配有可拆卸的密封,这样盖和动态真空密封都可以被拆卸,允许衬底支撑基座从基底室区域向上经过处理室区域被撤出。
5.根据权利要求4所述的处理台,其中,可更换的盖包括一个气体分布系统,用于向衬底支撑基座支撑的衬底的暴露表面提供均匀的处理气体。
6.根据权利要求1所述的处理台,其中,衬底支撑基座包括与上端支撑表面平行,并形成处理室真空边界的闭合盘,还包括一个位于处理室壁上与闭合盘热隔离的加热盘,和一个位于加热盘上方与加热盘间留有空隙的电绝缘的接受器,接受器形成上端支撑面。
7.根据权利要求6所述的处理台,其中,加热盘是带有至少两个分离驱动的加热区的合成加热盘,通过控制分离驱动区的动力达到控制加热盘上温度分布的目的。
8.根据权利要求7所述的处理台,其中,通过至少一个贯穿加热盘的沟槽将内部加热区和外部加热区相分离。
9.根据权利要求7所述的处理台,其中,内部加热区的横截面积与被加热盘加热的衬底的横截面积相等。
10.根据权利要求1所述的处理台,其中,动态真空密封是一个不锈钢风箱。
CNB998160083A 1999-01-04 1999-12-16 原子层沉积工艺的处理室 Expired - Fee Related CN1170957C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/225,081 US6174377B1 (en) 1997-03-03 1999-01-04 Processing chamber for atomic layer deposition processes
US09/225,081 1999-01-04

Publications (2)

Publication Number Publication Date
CN1342213A true CN1342213A (zh) 2002-03-27
CN1170957C CN1170957C (zh) 2004-10-13

Family

ID=22843449

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998160083A Expired - Fee Related CN1170957C (zh) 1999-01-04 1999-12-16 原子层沉积工艺的处理室

Country Status (9)

Country Link
US (3) US6174377B1 (zh)
EP (1) EP1159465B1 (zh)
JP (2) JP2002534786A (zh)
KR (1) KR100446485B1 (zh)
CN (1) CN1170957C (zh)
AT (1) ATE355396T1 (zh)
AU (1) AU2368500A (zh)
DE (1) DE69935351T2 (zh)
WO (1) WO2000040772A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346448C (zh) * 2002-10-08 2007-10-31 微米技术有限公司 原子层沉积方法和原子层沉积设备
CN101509129A (zh) * 2003-08-06 2009-08-19 爱发科股份有限公司 成膜槽上盖与喷头的一体化构造体
CN102414824A (zh) * 2009-02-27 2012-04-11 剑桥纳米科技公司 Ald系统和方法
CN101563560B (zh) * 2006-12-19 2012-07-18 应用材料公司 非接触式处理套件
CN103103497A (zh) * 2012-11-21 2013-05-15 中国科学院微电子研究所 一种原子层沉积设备
CN104032280A (zh) * 2013-03-06 2014-09-10 夏洋 原子层沉积系统
TWI573183B (zh) * 2011-01-26 2017-03-01 班尼克公司 處理基板表面之裝置、將基板載入用以處理基板表面之裝置之方法及反應腔室
CN106415876B (zh) * 2014-01-21 2018-06-26 应用材料公司 允许低压力工具替换的薄膜封装处理系统和工艺配件
CN110060941A (zh) * 2014-12-19 2019-07-26 朗姆研究公司 减少在晶片边缘的背面沉积
CN111128664A (zh) * 2018-11-01 2020-05-08 北京北方华创微电子装备有限公司 谐振腔结构和半导体处理设备
CN113106419A (zh) * 2020-01-10 2021-07-13 皮考逊公司 衬底处理装置和方法
TWI815013B (zh) * 2019-06-25 2023-09-11 芬蘭商皮寇桑公司 基板加工裝置及基板加工方法

Families Citing this family (606)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US7094690B1 (en) * 2000-08-31 2006-08-22 Micron Technology, Inc. Deposition methods and apparatuses providing surface activation
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
DE10134513A1 (de) * 2001-07-16 2003-01-30 Unaxis Balzers Ag Hebe-und Stützvorichtung
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
JP3987312B2 (ja) * 2001-08-31 2007-10-10 株式会社東芝 半導体装置の製造装置および製造方法ならびに半導体製造装置のクリーニング方法
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP4157040B2 (ja) * 2001-12-03 2008-09-24 株式会社アルバック 混合器、薄膜製造装置及び薄膜製造方法
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
EP1466034A1 (en) * 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7431585B2 (en) * 2002-01-24 2008-10-07 Applied Materials, Inc. Apparatus and method for heating substrates
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
DE10208450B4 (de) * 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
AU2003214624A1 (en) * 2002-04-25 2003-11-10 Kashya Israel Ltd. An apparatus for continuous compression of large volumes of data
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20030221616A1 (en) * 2002-05-28 2003-12-04 Micron Technology, Inc. Magnetically-actuatable throttle valve
US7018517B2 (en) 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP3866655B2 (ja) * 2002-12-26 2007-01-10 励起 渡辺 処理装置及び処理方法
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
WO2004085702A1 (de) * 2003-03-21 2004-10-07 Forschungszentrum Jülich GmbH Verfahren zur abscheidung von verbindungen auf einem substrat mittels metallorganischer gasphasendeposition
JP4540939B2 (ja) * 2003-03-24 2010-09-08 東京エレクトロン株式会社 処理装置
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
JP2006524752A (ja) * 2003-04-23 2006-11-02 ジーナス インコーポレーテッド Ald内の未使用前駆体の収集
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7846254B2 (en) * 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
ATE468421T1 (de) * 2003-06-27 2010-06-15 Sundew Technologies Llc Vorrichtung und verfahren zur steuerung des dampfdrucks einer chemikalienquelle
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
JP4420380B2 (ja) * 2003-09-10 2010-02-24 大日本スクリーン製造株式会社 基板処理装置
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050145181A1 (en) * 2003-12-31 2005-07-07 Dickinson Colin J. Method and apparatus for high speed atomic layer deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7169691B2 (en) 2004-01-29 2007-01-30 Micron Technology, Inc. Method of fabricating wafer-level packaging with sidewall passivation and related apparatus
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
KR100587681B1 (ko) * 2004-05-07 2006-06-08 삼성전자주식회사 반도체 제조용 챔버의 히터블록 장착용 라인의 실링구조
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7012264B2 (en) * 2004-06-04 2006-03-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
JP5179179B2 (ja) 2004-06-28 2013-04-10 ケンブリッジ ナノテック インコーポレイテッド 蒸着システムおよび蒸着方法
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7508648B2 (en) 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101065312B1 (ko) 2005-09-28 2011-09-16 삼성모바일디스플레이주식회사 원자층 증착 장치
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2009529223A (ja) * 2005-11-22 2009-08-13 ジーナス インコーポレーテッド 小体積対称流れシングルウェハald装置
TW200722732A (en) * 2005-12-09 2007-06-16 Li Bing Huan Semi-enclosed observation space for electron microscopy
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
CN101406108B (zh) * 2006-03-26 2011-06-22 罗特斯应用技术公司 原子层沉积系统以及用于涂覆柔性衬底的方法
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20100242835A1 (en) * 2006-06-09 2010-09-30 S.O.I.T.E.C. Silicon On Insulator Technologies High volume delivery system for gallium trichloride
DE102006032073B4 (de) * 2006-07-11 2016-07-07 Intel Deutschland Gmbh Elektrisch leitfähiger Verbund aus einem Bauelement und einer Trägerplatte
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR101390425B1 (ko) 2006-11-22 2014-05-19 소이텍 화학기상증착 챔버용 온도제어 퍼지 게이트 밸브
KR101379410B1 (ko) 2006-11-22 2014-04-11 소이텍 3-5족 반도체 재료들의 대량생산을 위한 설비
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US8197597B2 (en) 2006-11-22 2012-06-12 Soitec Gallium trichloride injection scheme
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
US8382898B2 (en) * 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
EP2083935B1 (en) * 2006-11-22 2012-02-22 S.O.I.TEC Silicon on Insulator Technologies Method for epitaxial deposition of a monocrystalline Group III-V semiconductor material
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8067061B2 (en) * 2007-10-25 2011-11-29 Asm America, Inc. Reaction apparatus having multiple adjustable exhaust ports
FR2923946A1 (fr) * 2007-11-21 2009-05-22 Alcatel Lucent Sas Equipement pour la fabrication de semi-conducteurs, dispositif de pompage et porte-substrat correspondant
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
CN101903563A (zh) * 2007-12-20 2010-12-01 硅绝缘体技术有限公司 用于大规模制造半导体材料的原位反应室清洁处理方法
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
WO2010009048A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Tube diffuser for load lock chamber
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
DE102008062332A1 (de) 2008-12-15 2010-06-17 Gühring Ohg Vorrichtung zur Oberflächenbehandlung und/oder -beschichtung von Substratkomponenten
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
JP4523661B1 (ja) * 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) * 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
KR200475462Y1 (ko) * 2009-03-27 2014-12-03 램 리써치 코포레이션 플라즈마 처리 장치의 교체 가능한 상부 챔버 섹션
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP3178295U (ja) 2009-09-10 2012-09-13 ラム リサーチ コーポレーション プラズマ処理装置の交換式上部チャンバ部品
FI20096153A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä koristepäällysteen muodostamiseksi, koristepäällyste ja sen käyttötapoja
FI20096154A0 (fi) 2009-11-06 2009-11-06 Beneq Oy Menetelmä kalvon muodostamiseksi, kalvo ja sen käyttöjä
FI20096262A0 (fi) 2009-11-30 2009-11-30 Beneq Oy Menetelmä koristepinnoitteen muodostamiseksi jalokiveen, jalokiven koristepinnoite, ja sen käytöt
FI122616B (fi) 2010-02-02 2012-04-30 Beneq Oy Vahvistettu rakennemoduuli ja sen valmistusmenetelmä
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
DE102010016792A1 (de) 2010-05-05 2011-11-10 Aixtron Ag Bevorratungsmagazin einer CVD-Anlage
JP5462364B2 (ja) * 2010-07-21 2014-04-02 キヤノンアネルバ株式会社 電力導入装置及び電力導入装置を用いた真空処理装置
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
US20120244685A1 (en) * 2011-03-24 2012-09-27 Nuflare Technology, Inc. Manufacturing Apparatus and Method for Semiconductor Device
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US10100402B2 (en) * 2011-10-07 2018-10-16 International Business Machines Corporation Substrate holder for graphene film synthesis
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104053811B (zh) 2011-11-18 2017-04-12 第一太阳能有限公司 用于材料共沉积的气相传输沉积方法及系统
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102192742B1 (ko) * 2011-11-23 2020-12-18 램 리써치 코포레이션 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
RU2600042C2 (ru) * 2012-05-14 2016-10-20 Пикосан Ой Нанесение покрытия на мелкие частицы с использованием модуля для атомного осаждения
JP5772736B2 (ja) * 2012-06-18 2015-09-02 株式会社デンソー 原子層蒸着装置
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
JP6660936B2 (ja) * 2014-04-09 2020-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
WO2017052905A1 (en) * 2015-09-22 2017-03-30 Applied Materials, Inc. Apparatus and method for selective deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10515783B2 (en) * 2016-02-23 2019-12-24 Lam Research Corporation Flow through line charge volume
WO2017156614A1 (ru) * 2016-03-16 2017-09-21 ШИРИПОВ, Владимир Яковлевич Вакуумная установка для нанесения тонкопленочных покрытий и способ нанесения на ней оптических покрытий
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US20190127853A1 (en) * 2016-04-12 2019-05-02 Picosun Oy Coating by ald for suppressing metallic whiskers
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US9892956B1 (en) * 2016-10-12 2018-02-13 Lam Research Corporation Wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
GB201701166D0 (en) 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
TWI743446B (zh) * 2018-02-20 2021-10-21 美商應用材料股份有限公司 用於原子層沉積(ald)溫度均勻性的熱解氮化硼(pbn)加熱器
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102412341B1 (ko) * 2019-06-25 2022-06-23 피코순 오와이 기판 후면 보호
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN110257802A (zh) * 2019-07-10 2019-09-20 南京爱通智能科技有限公司 一种适用于超大产量原子层沉积设备的速热载具
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
CN110752171B (zh) * 2019-11-01 2022-07-29 长江存储科技有限责任公司 晶圆弯曲度调整装置及方法
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117286475A (zh) * 2023-09-14 2023-12-26 苏州外延世电子材料有限公司 一种延长cvd加热基座寿命的装置及其使用方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01290221A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 半導体気相成長方法
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JPH0434921A (ja) * 1990-05-30 1992-02-05 Nec Corp 3―v族化合物半導体の気相成長方法
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JPH06275528A (ja) * 1993-03-18 1994-09-30 Hitachi Ltd 真空処理装置の排気部構造
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000183037A (ja) * 1998-12-11 2000-06-30 Tokyo Electron Ltd 真空処理装置
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346448C (zh) * 2002-10-08 2007-10-31 微米技术有限公司 原子层沉积方法和原子层沉积设备
CN101509129A (zh) * 2003-08-06 2009-08-19 爱发科股份有限公司 成膜槽上盖与喷头的一体化构造体
CN101509129B (zh) * 2003-08-06 2010-10-13 爱发科股份有限公司 成膜槽上盖与喷头的一体化构造体
CN101563560B (zh) * 2006-12-19 2012-07-18 应用材料公司 非接触式处理套件
CN102414824A (zh) * 2009-02-27 2012-04-11 剑桥纳米科技公司 Ald系统和方法
US9777371B2 (en) 2009-02-27 2017-10-03 Ultratech, Inc. ALD systems and methods
CN102414824B (zh) * 2009-02-27 2015-12-02 剑桥纳米科技公司 Ald系统和方法
TWI573183B (zh) * 2011-01-26 2017-03-01 班尼克公司 處理基板表面之裝置、將基板載入用以處理基板表面之裝置之方法及反應腔室
CN103103497B (zh) * 2012-11-21 2016-02-03 中国科学院微电子研究所 一种原子层沉积设备
CN103103497A (zh) * 2012-11-21 2013-05-15 中国科学院微电子研究所 一种原子层沉积设备
CN104032280B (zh) * 2013-03-06 2016-08-24 夏洋 原子层沉积系统
CN104032280A (zh) * 2013-03-06 2014-09-10 夏洋 原子层沉积系统
CN106415876B (zh) * 2014-01-21 2018-06-26 应用材料公司 允许低压力工具替换的薄膜封装处理系统和工艺配件
CN110060941A (zh) * 2014-12-19 2019-07-26 朗姆研究公司 减少在晶片边缘的背面沉积
CN110060941B (zh) * 2014-12-19 2023-08-08 朗姆研究公司 减少在晶片边缘的背面沉积
CN111128664A (zh) * 2018-11-01 2020-05-08 北京北方华创微电子装备有限公司 谐振腔结构和半导体处理设备
CN111128664B (zh) * 2018-11-01 2022-05-27 北京北方华创微电子装备有限公司 谐振腔结构和半导体处理设备
TWI815013B (zh) * 2019-06-25 2023-09-11 芬蘭商皮寇桑公司 基板加工裝置及基板加工方法
CN113106419A (zh) * 2020-01-10 2021-07-13 皮考逊公司 衬底处理装置和方法

Also Published As

Publication number Publication date
ATE355396T1 (de) 2006-03-15
US6818067B2 (en) 2004-11-16
DE69935351T2 (de) 2007-11-08
US20010011526A1 (en) 2001-08-09
JP2002534786A (ja) 2002-10-15
EP1159465B1 (en) 2007-02-28
KR100446485B1 (ko) 2004-09-01
US20020108714A1 (en) 2002-08-15
WO2000040772A1 (en) 2000-07-13
AU2368500A (en) 2000-07-24
JP2007027791A (ja) 2007-02-01
EP1159465A4 (en) 2005-05-04
KR20020006020A (ko) 2002-01-18
CN1170957C (zh) 2004-10-13
DE69935351D1 (de) 2007-04-12
US6174377B1 (en) 2001-01-16
US6387185B2 (en) 2002-05-14
EP1159465A1 (en) 2001-12-05

Similar Documents

Publication Publication Date Title
CN1170957C (zh) 原子层沉积工艺的处理室
TWI718226B (zh) 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
US8851886B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP5562409B2 (ja) 半導体装置の製造方法及び基板製造方法及び基板処理装置
US20120009765A1 (en) Compartmentalized chamber
CN101061253A (zh) 使用批式制程腔室的基材处理装置
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
CN1943019A (zh) 衬底处理装置以及半导体器件的制造方法
US20090017637A1 (en) Method and apparatus for batch processing in a vertical reactor
CN1717791A (zh) 基板处理容器的清洗方法
CN1886829A (zh) 基板处理装置、基板保持器、和半导体装置的制造方法
TW201145447A (en) Semiconductor thin-film manufacturing method, seminconductor thin-film manufacturing apparatus, susceptor, and susceptor holding tool
CN1759470A (zh) 衬底处理装置和温度调节装置
US20100282166A1 (en) Heat treatment apparatus and method of heat treatment
US20210130953A1 (en) Process kit for improving edge film thickness uniformity on a substrate
JP2013207277A (ja) 半導体製造装置および半導体製造方法
CN113604873A (zh) 一种气相外延系统及其维护操作方法
CN1920120A (zh) 薄板制造方法和薄板制造装置
US20080026598A1 (en) Semiconductor manufacturing device and method
US20140038394A1 (en) Method and apparatus of forming compound semiconductor film
KR100778782B1 (ko) 반도체 제조장치
KR20090020797A (ko) 반도체 제조 장치
JP4484848B2 (ja) 半導体製造装置及び半導体製造方法
CN113604875B (zh) 一种气相外延系统及其维护操作方法
KR100772463B1 (ko) 반도체 제조장치 및 반도체 제조방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20041013

Termination date: 20161216