CN1693537A - 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺 - Google Patents

保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺 Download PDF

Info

Publication number
CN1693537A
CN1693537A CNA2005100682800A CN200510068280A CN1693537A CN 1693537 A CN1693537 A CN 1693537A CN A2005100682800 A CNA2005100682800 A CN A2005100682800A CN 200510068280 A CN200510068280 A CN 200510068280A CN 1693537 A CN1693537 A CN 1693537A
Authority
CN
China
Prior art keywords
plasma
wafer
ion
frequency
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005100682800A
Other languages
English (en)
Inventor
塙广二
卡里提克·拉玛瓦米
肯尼思·S·柯林斯
阿米尔·阿尔巴亚提
柏周·伽罗
安德鲁·阮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1693537A publication Critical patent/CN1693537A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device

Abstract

一种在工件上沉积含硅、氮、氢或氧中的任何元素的涂层的低温工艺,包括将该工件放置在反应室中并面向反应室工艺区,将含硅、氮、氢或氧中的任何元素的工艺气体注入反应室,通过向在反应室外部、形成再进入路径一部分的再进入管的一部分施加约10兆赫大小的高频射频等离子体源功率,在通过工艺区的再进入路径中产生环形射频等离子体流,向工件施加一或几兆赫大小的低频射频等离子体偏压功率,维持工件的温度在大约100℃以下。

Description

保形性、应力和化学气相沉积层成分独立可变的 甚低温化学气相沉积工艺
技术领域
本发明涉及一种化学气相沉积工艺,特别涉及一种保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺。
相关申请的互见参照
本申请是正在审查中的申请号为10/786,410、2004年2月24日提交的、名称为“使用等离子体浸没离子植入的含硅绝缘体结构的制造”、申请人为丹·梅丹等的美国专利申请的部分继续申请;申请号为10/786,410的申请又是正在审查中的申请号为10/646,533、2003年8月22日提交的、名称为“使用具有低离解和低的最小等离子体电压的等离子体源的等离子体浸没离子植入工艺”、申请人为肯尼斯·柯林斯等的美国专利申请的部分继续申请;申请号为10/646,533的申请又是正在审查过程中的申请号为10/164,327、2002年6月5日提交的、名称为“具有磁性控制离子分布的外激环形等离子体源”、申请人为肯尼斯·柯林斯等的美国专利申请的部分继续申请。
下述申请含有与本发明相关的主题:
申请号为10/646,458、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的等离子体源的等离子体浸没离子植入设备”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,460、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的电感耦合等离子体源的等离子体浸没离子植入系统”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,467、2003年8月22日提交、名称为“使用具有低离解和低最低等离子体电压的电感耦合等离子体源的等离子体浸没离子植入工艺”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,526、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的电容耦合等离子体源的等离子体浸没离子植入系统”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,527、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的电容耦合等离子体源的等离子体浸没离子植入系统”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,528、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的电感耦合等离子体源的等离子体浸没离子植入设备”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,532、2003年8月22日提交、名称为“包括具有低离解和低最低等离子体电压的电容耦合等离子体源的等离子体浸没离子植入设备”、申请人为肯尼斯·柯林斯等的美国专利申请;申请号为10/646,612、2003年8月22日提交、名称为“使用具有低离解和低最低等离子体电压的电容耦合等离子体源的等离子体浸没离子植入工艺”、申请人为肯尼斯·柯林斯等的美国专利申请。
背景技术
化学气相沉积工艺能够在半导体基板或中间层上形成高质量的层或膜。该膜可以是一层氧化膜或薄的氧化膜、氮化硅钝化层或蚀刻阻挡层,或者隔离槽填充层。该膜形成的速度往往较低。然而,有两种能够以较高速率沉积膜的化学气相沉积工艺,即等离子体增强化学气相沉积(PECVD,Plasma Enhanced Chemical Vapor Deposition)工艺和高密度等离子体化学气相沉积(HDPCVD,High Density Plasma Chemical Vapor Deposition)工艺。PECVD工艺通过以大约1.5托(Torr)相对高室压在半导体晶片上产生高浓度工艺气体的操作获得高沉积速率。HDPCVD工艺通过产生高密度等离子体获得高沉积速率。这两种工艺可能不适用某些新的集成电路技术的CVD工艺,特别是不适用特征大小为纳米级的65纳米技术。
PECVD工艺不适宜制造65纳米元件,因为该工艺用来提高CVD沉积速率的高室压会产生高离子再结合率,所以等离子体离子密度较小(大约10-5ions/cc)。如此小的等离子体离子密度减小了其对晶片表面等离子体离子碰撞的CVD化学反应能量所产生的作用。所以,所需的CVD反应能量的获得只能加热晶片到较高温度以通过热力学上的办法提供所需化学能量。而且,除非晶片被加热到约400℃,否则高室压会导致高CVD沉积速率,使得沉积膜具有片状结构。这具有沉积时在晶体生长中使片状缺陷退火的效果。因而为了生长或沉积高质量膜,PECVD工艺中需要较高的晶片温度。在使用这样的PECVD工艺制造65纳米晶片时就产生了问题。400℃的晶片温度通过热熔化或掺杂使得晶片中的精细特征和其它特征变形,导致65纳米特征变形或毁坏。例如,掺杂的P-通道或N-通道区之间的临界源极-漏极通道长度可能被减小到元件出现故障的临界点之下。由热扩散引起上述特征的变形大小与扩散长度对应,对应关系定义如下:
                      D=k[t·T]1/2
公式中,D是在特定温度和特定时间下原子扩散的平均距离,t是晶片加热的期间或时间,T是晶片被加热的温度。在PECVD工艺中沉积高质量层所需要的温度下,掺杂和其它特征在完成工艺所需的时间内扩散超出65nm临界距离。为防止扩散超出65nm临界距离而降低晶片温度会导致无法接受的劣质膜。所以,使用高室压的PECVD工艺不适宜在65纳米元件上沉积高质量膜。
HDPCVD工艺采取相反的方法,使用非常低的室压(1.5毫托(mTorr))来获得非常高的等离子体离子密度(10-1ions/cc)。高等离子体离子密度导致晶片表面上为生长反应提供所需化学能量的高等离子体离子流量,从而改善生长工艺以实现高生长率。HDPCVD工艺中使用低频电感耦合等离子体源,产生非常高的等离子体离子密度,离子产生区非常接近晶片表面。在这样的低室压和低频下工作的电感耦合反应器,只有使用较大的源功率,比如2KW(最低限度)才能点燃或维持等离子体。在该最低功率大小下,最小的等离子体离子密度非常高。这就产生了一个问题,即最小的等离子体离子密度导致晶片的等离子体过度加热,迫使晶片温度达到约400℃。因为在用于等离子体引燃或维持的反应器的最小源功率下出现这种情况,所以HDPCVD反应器中的晶片温度对于65nm元件来说必然是太高了。
PECVD和HDPCVD工艺的另一缺点与元件特征尺寸无关,而是由PECVD工艺中所需的高晶片温度所引起的,在HDPCVD工艺中不可避免:在上述工艺中不能使用光刻胶掩膜,因为高晶片温度超过了导致光刻胶被毁坏或将其剥离晶片的温度。所以,PECVD和HDPCVD工艺只能在不需要光刻胶掩膜的工艺步骤中应用,这通常极大限制了化学气相沉积(CVD)工艺的应用。
PECVD工艺典型地使用高室压(1.5托(Torr))。该PECVD反应器电容耦合中等功率大小(例如600W)的高频(HF)(例如13.56MHz)射频源功率,获得较低的等离子体离子/中性粒子比率(10-5),并施加中等功率大小(例如2kW)的低频(LF)(例如在400KHZ以下)射频(RF)偏压功率,获得100V以下的偏压或晶片壳层电压。加热器加热晶片到所需的高温。得到的CVD沉积速率大约为5000/min(埃/分钟)。
HDPCVD工艺典型地使用适宜低室压(1.5毫托(mTorr))的电感耦合反应器。HDPCVD反应器施加甚高功率等级(例如10kW)的低频(LF)(例如2MHz)射频(RF)源功率,获得甚高等离子体离子/中性粒子比率(10-1),并施加0至2kW功率范围的高频(HF)(例如13.56KHZ)的射频(RF)偏压功率,获得相当于0至300V的偏压或晶片壳层电压。因高的等离子体离子密度,等离子体加热使得晶片加热到高温。得到的CVD沉积速率大约为5000/min。
前述对PECVD工艺中的小源功率大小和HDPCVD工艺中的大源功率大小的要求分别妨碍了这些源功率的大幅度变化。这限定了任一个工艺中CVD层的保形性。这是因为被沉积的CVD层的保形性取决于源功率大小。(CVD工艺中的保形性是指侧壁沉积速度与水平面沉积速度之间的比值。)所以,PECVD和HDPCVD工艺各自有一个固定的、只能改变工艺才能进行较大改变的保形性特征。特别是HDPCVD工艺需要一个产生高度保形的CVD层的甚高(10kW)源功率大小。对该规律的任何改变将需要减小源功率,继而减小等离子体离子密度。但这是不可行的,因为HDPCVD工艺依赖甚高等离子体离子密度来提供所需能量以执行CVD生长或沉积反应。这使得HDPCVD沉积层具有不能规避的很高程度的保形性。所以,HDPCVD工艺中沉积层的保形性必然很高。同样,PEDVD工艺产生具有低保形性的层。但是,通常不认为这是一个问题,因为上述工艺并未被看作是在高保形性和非保形性之间调节保形性的工具。
在研发下述的本发明中,我们想要得到一种保形性能够在大约0.1(非保形性)到大约0.5或超过0.5(保形性)之间变化、能够分别形成有保形性与非保形性的不同的层、晶片能在不降低层品质和过度降低生产率情况下维持在低温(使得工艺适用于65nm元件的制造)的CVD工艺。
传统CVD工艺的另一个问题存在于具有高纵横比的孔例如深或浅的隔离槽的填充方面。该问题是,在填充上述沟槽的CVD工艺过程中,侧壁沉积会在填充前改变该沟槽的底部,在完成的结构中留下不允许的空隙。为了避免这样的问题,在常规操作中必须使用具有最高程度的非保形性工艺(使侧壁沉积最小化)。但是这样做限制了能用于沟槽填充的工艺,而且还要求工艺工程师们在沟槽填充(CVD)工艺中具有维持高程度非保形性的能力。所以,我们希望获得一种CVD工艺,该工艺对高纵横比的孔例如沟槽的填充不一定需要非保形性CVD层的沉积。
发明内容
一种在工件上沉积含硅、氮、氢或氧中的任何元素的涂层的低温工艺,包括将该工件放置在反应室中并面向反应室工艺区,将含硅、氮、氢或氧中的任何元素的工艺气体注入反应室,通过向在反应室外部、形成再进入路径一部分的再进入管的一部分施加大约10MHz大小的高频(HF)射频(RF)等离子体源功率,在通过工艺区的再进入路径中产生环形射频等离子体流,向工件施加一或几MHz大小的低频(LF)射频等离子体偏压功率,维持工件的温度在大约100℃以下。
反应室内的压力可以限制在阈值压力以下,相对来说,阈值压力以下,工件温度不超过大约100℃时,涂层的沉积没有瑕疵。
涂层的保形性可以选择设置在保形性和非保形性的范围内,而涂层上的压力可以设置在压应力和拉应力之间。设置保形性是通过在使涂层保形性沉积的最大源功率和使涂层非保形性沉积的最小源功率之间设置任意大小的射频等离子体源功率来完成的。设置涂层上的压力是通过设置以压应力沉积涂层的最大偏压功率和以拉应力沉积涂层的最小偏压功率来完成的。
附图说明
图1所示为维持上部环形等离子体流路径的第一种情况。
图2是图1所示情况的侧视图。
图3是表示等离子体中游离氟浓度随晶片到室顶间隙距离变化而变化的图表。
图4是表示等离子体中游离氟浓度随工件上施加的射频偏压功率变化而变化的图表。
图5是表示等离子体中游离氟浓度随线圈天线上施加的射频源功率变化而变化的图表。
图6是表示等离子体中游离氟浓度随反应室压力变化而变化的图表。
图7是表示等离子体中游离氟浓度随稀释用惰性气体例如氩气的部分压力变化而变化的图表。
图8是表示工艺气体的离解度与施加在电感耦合反应器和根据本发明一实施例的反应器的源功率的函数关系图表。
图9所示为图1所示情况的变化情况。
图10和图11所示为图1的情况中使用了密闭磁芯的变化情况。
图12所示为本发明中环形等离子体流路径从反应室下方通过的另一种情况。
图13所示为图10的情况中对绕在密闭磁芯的末端部分的线圈施加等离子源功率的变化情况
图14所示为建立两个平行环形等离子体流的情况。
图15所示为建立多个独立控制的平行环形等离子体流的情况。
图16所示为图15的情况中平行环形等离子体流通过垂直侧壁而非室顶进入和离开等离子室的变化情况。
图17A所示为维持越过工件表面的一对相互垂直的环形等离子体流的情况。
图17B所示为在图17A的情况中使用了多个径向叶片的情况。
图18和19所示为本发明的环形等离子体流情况,该环形等离子体流是通过适合加工大型晶片的宽路径的宽带。
图20所示为图18的情况中环形等离子体流路径外部被收缩的变化情况。
图21所示为图18的情况中使用了轴向位置可调节以适应晶片表面的离子密度分布的柱形磁芯的变化情况。
图22所示为图21的情况中一对线圈是环绕一对柱形磁芯绕组的变化情况。
图23所示为图22的情况中单个共用线圈是环绕两组磁芯的变化情况。
图24和25所示为维持一对相互垂直的环形等离子体流的情况,该等离子体流是适合加工大型晶片的宽带。
图26所示为图25的情况中使用磁芯来提高电感耦合的变化情况。
图27所示为图24的情况中垂直等离子带区通过垂直侧壁而不是通过横向室顶进入和离开反应室的改进情况。
图28A所示为图24的情况中产生旋转环形等离子体流的实施情况。
图28B所示为图28A的情况中包括磁芯的一种情况。
图29所示为本发明的较佳情况,其中提供了一种连续循环充气空间来包围环形等离子体流。
图30是图29的俯视剖面图。
图31A和31B是图30的正视剖面图和侧视剖面图。
图32所示为图29的情况中在成120度夹角的连续充气空间下方使用三个独立驱动射频线圈的变动情况。
图33所示为图32的情况中在120度相角下驱动三个射频线圈,提供成一定方位角旋转的等离子体的变化情况。
图34所示为图33的变化情况,其中,射频驱动线圈绕在各磁芯垂直外端,磁芯的相对端在充气空间下方以对称分布角水平延伸。
图35是图17的情况中互为横向的中空管象图20所示那样被变窄的情况。
图36是在图24的基础上使用了一对连接各射频功率源的带有各自线圈3630、3640的磁芯3610、3620的情况。
图37是与图35对应的情况,但含有三个而非二个再进入管道,总共有6个再进入反应室的端口。
图38是与图38对应的情况,但具有三个而非二个再进入管道,总共有6个再进入反应室的端口。
图39是与图35对应的情况,其中外部管道与共用充气空间3910连接在一起。
图40是与图36对应的情况,其中外部管道与共用充气空间4010连接在一起。
图41是与图37对应的情况,其中外部管道与共用充气空间4110连接在一起。
图42是与图38对应的情况,其中外部管道与共用充气空间4210连接在一起。
图43是与图17对应的情况,其中外部管道与共用充气空间4310连接在一起。
图44所示的反应器与图1类似,具有用于控制等离子体离子密度均匀度的磁极靴。
图45所示的反应器与图44类似,其中,磁极靴在室顶表面附近的直径被减小,室顶是双区的气体分布板。
图46、47和48表示极靴的不同形状。
图49所示为气体分布板的一个实施例。
图50是图49中气体注入口的详细图示。
图51是显示能够产生磁极靴的磁场图表。
图52是磁场大小与半径的函数图表。
图53和54所示为控制工艺气体流动的不同方式。
图55A和55B所示为环形等离子体路径中分离器的使用。
图56A、56B和56C显示,环形等离子体流在使用的分离器处垂直进入反应室。
图57和58所示为分离器的不同形状。
图59A和59B所示为环形等离子体流径向进入反应室的分离器的使用情况。
图60、61、62和63所示为环形等离子体在反应室拐角位置被垂直注入的分离器的使用情况。
图64所示为分离器可以只延伸到部分工艺区高度的情况。
图65A、65B和66所示为一种适合增加具有特定的反应室直径的反应室内部环形等离子体流的有效径向路径长度的分离器设计。
图67所示为带有图1中环形等离子体流源的MERIE磁体的使用情况。
图68和69所示为较好的将环形等离子体流限定在工艺区的散热片的使用情况。
图70、71A和71B所示为具有分布电感的射频功率施加器。
图72所示为相当于图70、71A和71B的分布电感。
图73所示为图72中分布电感的环形排列。
图74显示与图71A和71B对应的分布电感和电容的排列。
图75和76所示为使用图71A和71B的磁芯的电感耦合射频功率的不同方式的示意图。
图77所示为使用绝缘层来使图44的终端部分和环形管电绝缘的情况。
图78所示为均匀控制磁体或磁极可以设置在晶片支承底座下方的方式。
图79所示为带有射频偏压功率施加器的电感耦合等离子体浸没离子植入反应器。
图80A、80B和80C所示分别为施加的脉冲D.C.偏压,对应的壳层电压方式和施加的射频偏压。
图81A、81B、81C和81D所示分别为离子流的能量分布,施加的射频偏压周期,离子饱和流和D.C.偏压的函数关系,以及用于不同射频偏压频率的离子流的能量分布。
图82A和82B所示为源功率发生器的功率输出波形和推拉模式的偏压功率发生器之间的时间关系。
图82C和82D所示为源功率发生器的功率输出波形和同步模式下偏压功率发生器之间的时间关系。
图82E和82F所示为源功率发生器的功率输出波形和对称模式下偏压功率发生器之间的时间关系。
图82G和82H所示为源功率发生器的功率输出波形和非对称模式下偏压功率发生器之间的时间关系。
图83A和83B所示为带有射频偏压施加器的电容耦合等离子体浸没离子植入反应器的不同形式。
图84所示为具有再进入环形路径等离子体源的等离子体浸没离子植入反应器。
图85所示为具有包含两个交叉闭合等离子体路径的环形等离子体源的等离子体浸没离子植入反应器。
图86所示为图85中反应器顶部的内表面。
图87所示为图85中反应器的气体分布板。
图88是图85中的反应器经改进后包括等离子体控制中心电磁体的部分视图。
图89A和89B分别是图88中的反应器另外有一个等离子体控制外部电磁体的形式的侧视图和俯视图。
图90A,90B和90C是图89A中具有用于控制磁流的底板的不同间隙距离的外部电磁体的侧面剖视图。
图91所示为图85中反应器内的射频偏压功率耦合电路。
图92显示了根据偏压控制特征的射频偏压波形。
图93是显示根据图92所示特征控制偏压的控制系统的框图。
图94是图85中反应器内使用的真空控制阀的俯视图。
图95是图94中控制阀在关闭位置的侧面剖视图。
图96是图95中控制阀直角方向的壳体内表面的侧视图。
图97是用在图85中反应器内的高压晶片支承底座的侧面剖视图。
图98是图97中显示了扣合件的晶片支承底座的剖视面的放大图。
图99是包括等离子体浸没离子植入反应器的离子植入工艺系统的框图。
图100是显示电子密度与施加于图79中电感耦合等离子体浸没植入反应器和图85中环形源等离子体浸没离子植入反应器上的等离子体源功率的函数图表。
图101是显示游离氟密度与施加于图79中电感耦合等离子体浸没植入反应器和图85中环形源等离子体浸没离子植入反应器上的等离子体源功率的函数图表。
图102是显示电子密度与施加于图83A中电容耦合等离子体浸没植入反应器和图85中环形源等离子体浸没离子植入反应器上的等离子体源功率的函数图表。
图103是显示掺杂浓度和图85中反应器和传统的离子束植入器内用于不同离子能量结深的函数图表。
图104所示为在后-植入快速热退火之前或之后掺杂浓度的图表。
图105是显示图85中环形源等离子体浸没离子植入反应器和传统的离子束植入器内动表面退火之前或之后掺杂浓度的图表。
图106是显示离子植入和退火后的晶片和通过图85中使用动表面退火的反应器和使用快速热退火的共用离子束植入器获得的结深之间的函数关系图表。
图107是显示动表面退火之前和之后通过图85中反应器获得的植入掺杂浓度的图表。
图108是图85中反应器内射频偏压(左边的纵坐标)和束线植入器内束线电压(右边的纵坐标)与结深之间的函数关系图表。
图109是源极与漏极接触器和晶片管的多晶硅选通电极的离子植入过程中晶片表面的剖视图。
图110是晶体管源极和漏极延伸部分的离子植入过程中晶片表面的剖视图。
图111是显示使用图85中的反应器执行离子植入工艺的流程图。
图112是显示在图99所示的系统中使用图85中的反应器执行可能会出现的预植入、离子植入以及可能会出现的后植入工艺顺序的流程图。
图113是显示能够使用图1中环形源极反应器的低温CVD工艺的框图。
图114A是图113的工艺中沉积层的保形率(纵轴)与所使用的射频源功率(横轴)之间的函数关系的图表。
图114B是图示术语“保形性”含义的半导体结构图表。
图115是显示CVD沉积速率(纵轴)和所使用的源功率(横轴)的函数关系图表。
图116是显示通过图113所示工艺沉积的涂层上的压力和偏压功率之间的函数关系图表。
图117是显示按照图113所示步骤对晶片进行一系列后CVD离子植入处理步骤的框图。
图118A是图113所示CVD沉积工艺之前的晶硅片的剖面图。
图118B是显示执行图113所示工艺后覆有一层CVD沉积层的基层的剖面图。
图118C是显示图118A所示晶硅片按照图113所示工艺进行离子植入步骤的剖面图。
图119A、119B和119C分别以简要方式显示与图118A、118B和118C对应的薄膜晶片结构。
图120A所示为CVD沉积物例如氮在图118A所示离子植入步骤之前和之后的深度曲线图。
图120B所示为按照图118C所示步骤提高CVD沉积层的附着性的所需离子植入深度曲线图。
图121所示为在所选的沉积种类例如氮的CVD沉积层内添加内容的所需离子植入深度曲线图。
图122A显示了根据图121所示植入步骤之前CVD沉积层和基层的结构。
图122B显示了植入步骤之后沉积层和基层的结构。
图123A至图123H是显示在包含p-频和n-频场效晶片管(FETs)的互补金属氧化物半导体(CMOS)装置上形成载流子迁移率增强钝化层的低温等离子体CVD工艺中一系列步骤结果的半导体结构剖面图。
图124是与图123A至123H所示结果对应一致的工艺步骤框图。
图125是显示能够使用图1中环形源反应器填充高纵横比孔隙的低温CVD工艺的框图。
图126是显示氧(实线)和氮(虚线)气流率与需要填充图125所示工艺中孔隙的持续时间之间的函数关系图表。
图127是沉积层中氧量和图125所示工艺中深度曲线之间的函数曲线图。
具体实施方式
对环形源反应器的说明
参照图1,由筒形侧壁105和室顶110围起的等离子体反应室100包含用来支承半导体晶片或工件120的晶片底座115。工艺气体供应源125通过延伸穿过侧壁105的气体入口管130a-130d向反应室100提供工艺气体。真空泵135控制反应室100内的压力,典型地是将压力控制在0.5毫托(mT)以下。半环形中空管状围绕体或管道150在室顶上以半环形延伸。尽管管道150从室顶110外部向外延伸,但是该管道150仍然是反应器的一部分,形成反应室的壁。其内部和反应器内其他地方一样,都被抽成真空。事实上,真空泵135可以另外和管道150连接,而不是和图1中的反应室主体底部连接。管道150的一个开口端150a环绕密封于反应室顶110上的第一开口155,另一端150b环绕密封于反应室顶110上的第二开口160。两个开口或端口150、160通常设置在晶片支承底座115的相对侧。中空管150是可再进入的,因为该中空管提供了从一个开口流出反应室主体部分、从另一开口再次流入的流动路径。在本说明书中,管道150可以描述为半环形,因为该管道是空的,提供了等离子体可以在其中流动的一部分闭合路径,即通过流经晶片支承底座115上方的整个工艺区完成的整个路径的一部分。尽管使用了术语“环形”,但是该路径的路线以及该路径的代表形状或是管道150可以是环形或非环形,并且可以是正方形,矩形或是任意其他规则或不规则形。
管道150外部可以由较薄的导体例如金属片形成,但是应足够坚固以承受室内的真空。为了抑制中空管150金属片中的涡流(以便于耦合射频感应场至管道150的内部),绝缘间隙152为了将中空管150隔成两个筒形部分而延伸穿过或通过该管道。该间隙152通过绝缘环154例如用陶瓷代替金属片外壳填充,以使得该间隙真空密闭。可以提供第二绝缘间隙153,使得管道150的一部分是电悬浮的。偏压射频发生器162通过阻抗匹配元件164向晶片底座115和晶片120施加射频偏压功率。
中空管150可以由机械加工金属形成,例如铝或铝合金。用于液体冷却或加热的通道可以合并到该中空管的管壁内。
另一种选择是,中空管150可以用非导体材料而不用导体金属片形成。例如非导体材料可以是陶瓷。在这样一个可选择的情况下,间隙152或153都不是必需的。
天线170例如为设置在中空管150一侧、和绕在与半环形管对称轴平行的轴上的绕组或线圈165,通过阻抗匹配元件175和射频功率源180连接。该天线170可以进一步包括设置在中空管150相对侧、在和第一绕组165同样的方向被缠绕的第二绕组185,从结构上增强两个绕组产生的磁场。
来自于反应室100的工艺气体充满中空管150。另外,一个分离的工艺气体供应源190可以通过进气口195直接向中空管150供应工艺气体。在外部中空管150上的射频磁场使管内气体离子化产生等离子体。由环形线圈天线170感应的射频磁场使得管道150内形成的等离子体穿过晶片120和室顶110之间的区域,完成包括半环形中空管150在内的环形路径。此处使用的术语“环形”,指的是该路径的闭合和整体的特性,但并不是指定或限定它的可以是环形、非环形或正方形等形状的截面形状或路线。等离子体循环流过(振荡)可以认为是闭合等离子体电路的整个环形路径或区域。环形区域延伸穿过晶片120的直径,在某些情况下,该区域具有在晶片表面上可以覆盖整个晶片表面的足够宽度。
线圈天线170产生的射频感应场包括自身被封闭的磁场(象所有的磁场一样),所以沿着本说明书所述的闭合环形路径感应产生等离子体流。可以认为射频感应场产生的能量一般在沿闭合路径的每一位点被吸收,所以等离子体离子沿着整个路径产生。由多种因素决定的射频能量吸收和等离子体离子产生率可能随着闭合路径上的不同位点发生变化。尽管等离子流密度可能变化,但是其沿着闭合路径的长度通常是均匀分布的。该等离子流以施加在天线170上的射频信号频率轮流交替。但是,由于由射频磁场感应产生的等离子体流是闭合的,该等离子体流必须环绕闭合路径的电路存储,因此在闭合路径任一部分流动的等离子体流量通常和该路径的其他部分相同。在如下的说明中,上述情况在本发明中被充分利用以发挥最大优势。
等离子体流流经的闭合环形路径受到在各种不同的、限制路径的传导面上形成的等离子体壳层的限制。这些传导面包括中空管150的金属片、晶片(和/或晶片支承底座)和晶片上方的室顶。在这些传导面上形成的等离子体壳层是由于少量负电子的较大流动性和大量阳离子的较小流动性导致电荷不均衡的结果所产生的电荷消耗区。这样的等离子体壳层产生和该壳层下方的局部表面垂直的电场。因此,穿过晶片上方工艺区的射频等离子体流被收缩,从与面向晶片的室顶表面和面向气体分布板的晶片表面这两个面垂直的两个磁场之间通过。该壳层(具有施加于工件或其他电极上的射频偏压)的厚度要比电场集中的小区域例如晶片上方的壳层厚一些,而比其他位置例如覆盖室顶和大面积的相邻室壁表面的壳层要薄一些。因此,覆盖在晶片上的等离子体壳层要厚的多。晶片壳层和室顶/气体分布板壳层的电场通常相互平行,与工艺区中射频等离子体流的流向垂直。
当射频功率首先施加于线圈天线170时,中空管150内穿过间隙152发生放电,点燃来源于气体的电容耦合等离子体。超过阈值功率大小,放电和等离子体流会在通过中空管150的长度和沿着整个环形路径的空间中连续不间断。然后,由于通过中空管150的等离子体流增加,因此射频场的电感耦合变得更占优势,使得等离子体成为电感耦合等离子体。
为了防止晶片外围的边缘效应,端口150、160之间的间距大于晶片的直径。例如,对于直径为12英寸的晶片,端口150、160大约相隔14到22英寸。对于直径为8英寸的晶片,端口150、160大约相隔9到16英寸。
尽管使用了术语“晶片”,但是该工件可以是任何形状,例如矩形。该工件材料可以是半导体、绝缘体或是不同材料的结合体。该工件也可以具有二维或三维结构。
优点
一个显著的优点是,射频感应场产生的能量在整个较长(即相对晶片和反应器室顶之间的间隙长度而言的“长”)的闭合环形路径被吸收,所以射频能量吸收分布在大范围区域内。结果晶片到反应器室顶间隙(即图2中清楚显示的工艺区121,不要和绝缘间隙152混淆)附近的射频能量密度较低,减少了由射频场引起设备损毁的可能性。相反,在先前的电感耦合反应器中,所有射频能量在从晶片到室顶的狭窄间隙内被吸收,所以大部分集中在那个区域。而且,这种情况经常(因为要寻求其他优点而)限制了缩窄晶片-室顶间隙的能力,或者,另一种选择是,在晶片区需要更大的射频能量浓度。所以本发明克服了工艺中对长持续时间的限制,这方面通过如前所述的显著缩小晶片上方工艺区或工艺带的体积进而减少反应气体的停留时间来提高一些应用中的工艺质量。
一个相关甚至更重要的优点是,晶片表面的等离子体密度能够在不增加施加在线圈天线170上的射频功率的情况下显著增加(导致更高的功效)。这是通过缩减底座表面和晶片120附近的环形路径的截面面积(相对于剩下的其他路径而言)来完成的。所以仅通过收缩晶片附近的等离子体流的环形路径,晶片表面附近的等离子体密度就会成比例增加。这是因为通过中空管150的环形路径的等离子体流必须至少几乎和穿过底座到室顶(晶片到室顶)间隙之间的等离子体流相同。
与已有技术的显著差异是,不但射频场远离工件,晶片表面上的离子密度可以在不增加施加的射频场情况下增加,而且等离子体离子密度和/或施加的射频场可以在不增加晶片到室顶的最小间隙长度情况下增加。以前,例如增加等离子体密度必须增加晶片到室顶的间隙以防止晶片表面上的强磁场。相反,本发明中实现了无须增加任何晶片到室顶间隙即可提高等离子体密度,从而避免了晶片表面上射频磁场的相应增加。这是因为施加的射频场远离晶片,而且不需要增加射频场来实现晶片表面上等离子体密度的增加。结果是,晶片到室顶间隙能够减小到基本界限从而获得许多优势。例如如果晶片上方的室顶表面是传导性的,那么减小晶片到室顶间隙改进了由传导室顶表面提供的电气或接地基准。晶片到室顶间隙最小长度的基本界限是晶片表面和室顶表面上等离子体层壳的总厚度。
本发明进一步的优点是,因为射频感应场施加在沿射频等离子体流的整个环形路径(使得射频感应场的吸收按如下所述分布),所以室顶110,和大多数其他的电感功率反应器不同,不需要发挥充当感应场窗户的作用,所以可以包括例如如下所述的传导气体分布板。结果,室顶110稳定提供穿过整个底座或晶片120表面的可靠电位或接地基准。
增加等离子体离子密度
一种通过减小晶片表面上方等离子体路径的截面面积来实现晶片表面高等离子体密度的方式是减小晶片到室顶间隙的长度。这可以通过简单地减小室顶高度,或者在晶片上方加上传导气体分布板或传导气体分布喷头来实现,如图2所示。图2中的气体分布喷头包括和气体供应源125连接并且通过多个气体喷嘴口125与晶片120上方的工艺区连通的气体分布充气空间220。传导喷头210的优点有两方面:第一,依靠接近于晶片的近位点,收缩晶片表面上方的等离子体路径,从而增加在那附近的等离子体流密度;第二,提供了靠近和穿过整个晶片表面的统一的电位基准或接地导体。
为了避免在穿过孔230时走弧线,每一个孔230可以相对小一些,为毫米级,(例如孔径大约为0.5mm)。相邻的孔间距可以在大约几毫米内。
因为等离子体壳层环绕浸在等离子体中的喷头表面的一部分而形成,所以传导喷头210是收缩等离子体路径而非提供通过它的短路。该壳层对等离子体流具有比晶片120和喷头210之间的空间更大的阻抗,所以事实上所有等离子体流都环绕传导喷头210流动。
没有必要使用喷头(例如喷头210)来收缩晶片上方工艺区附近的环形等离子体流或路径。在工艺区内的路径收缩和随后的等离子体密度增加可以通过类似于减小晶片到室顶的高度而不用喷头210来实现。如果在这种方式下除去喷头210,那么工艺气体可以通过传统的进气口喷嘴、气体扩散器或气槽(图中未显示)的方式向室内供应气体。
喷头210的一个优点是,例如具有不同混合比例的活性和惰性工艺气体混合物可以通过不同半径的不同通孔230被注入,以精细调节光刻胶上等离子体效果的均匀性。所以,例如惰性气体与活性气体比率较大的气体混合物可以供应给半径超过中间半径的孔230,而活性气体与惰性气体比率较大的气体混合物可以供应给半径小于中间半径的孔230。
如下所述的环形等离子体流路径可以被收缩在晶片上方工艺区(为了增加晶片上方等离子体离子密度)的另一种方法是,通过增加施加于晶片支承底座的射频偏压功率来增加等离子体壳层厚度。如前所述,因为穿过工艺区的等离子体流限制在晶片表面和室顶(或喷头)表面的等离子体壳层之间,所以增加晶片表面的等离子体壳层厚度就有必要减小工艺区内环形等离子体流部分的截面面积,从而增加工艺区内等离子体离子密度。所以,本说明书中后面会更全面的描述,当晶片支承底座上的射频偏压功率增加时,晶片表面附近的等离子体离子密度会相应增加。
高蚀刻速率下的高蚀刻选择性
本发明解决了有时伴随高密度等离子体出现的劣质蚀刻选择性的问题。当提供接近高密度电感耦合等离子体反应器的高蚀刻速率时,图1和2的反应器具有和电容耦合等离子体反应器一样高的二氧化硅-光刻胶的蚀刻选择性(约7∶1)。可以认为对于这种工艺的原因是因为图1和2的反应器结构减小了活性工艺气体的离解度,以碳氟化合物气体为典型,从而减小了晶片120上方等离子体区域游离氟的发生率。因此等离子体中游离氟与从碳氟化合物气体离解出的其他类物质的相对比例就如愿以偿的减少了。这些其他类物质包括起保护作用的富含碳的聚合物前体,它们在等离子体内由碳氟化合物气体产生,作为保护性聚合物膜沉积在光刻胶上。这些其他类物质还包括活性较小的蚀刻剂类物质例如CF和CF2,它们在等离子体内由碳氟化合物气体产生。游离氟往往会象腐蚀二氧化硅那样用力腐蚀光刻胶和在其上形成的保护性聚合物膜,从而减小了氧化物至光刻胶的蚀刻选择性。另一方面,具有较小活性的蚀刻剂类物质例如CF和CF2往往对光刻胶和其上形成的保护性聚合物膜的腐蚀速度会更慢一些,所以提供了较好的蚀刻选择性。
可以认为本发明等离子体物质到游离氟的离解的减少是通过减少活性气体在等离子体内的停留时间来实现的。这是因为一开始在等离子体内由碳氟化合物工艺气体离解出的更复杂的物质例如CF和CF2,其自身最终被离解成包括游离氟在内的更单一种类,该最终离解步骤的程度由等离子体内气体停留的时间决定。本说明书中使用的术语“停留时间”或“居留时间”一般与工艺气体分子和该分子离解出的物质存在于工件或晶片上方的工艺区内的时间对应。这个时间或期间为从一开始气体分子注入工艺区直到该气体分子和/或它的离解物质沿着如上所述的延伸通过工艺带的闭合环形路径穿出工艺区的时间。
还可以认为通过和传统的电感耦合等离子体源比较,减少施加的等离子体源功率的功率密度来实现减少等离子体物质离解出的游离氟。如上所述,来源于射频感应场的能源在整个较长的闭合环形路径被吸收(即相对晶片和室顶之间的间隙长度而言的“长”),因此射频功率吸收分布在一个大面积范围上。结果,在晶片到室顶间隙附近的射频功率密度(即图2清楚显示的工艺区121,不要和绝缘间隙152混淆)较低,从而减少了气体分子的离解。
综上所述,本发明通过减少碳氟化合物工艺气体在工艺区的停留时间提高了蚀刻选择性。该停留时间的减少通过收缩晶片120和室顶110之间的等离子体体积来实现。
晶片到室顶间隙或体积的减小具有某些有益的效果。第一,它增加了晶片上方的等离子体密度进而提高了蚀刻速率。第二,停留时间随着体积的减小而减少。参照上述,本发明中实现小体积是可能的,因为和传统的电感耦合反应器不同的是,射频源功率没有设置在晶片上方的工艺区的范围内,而是沿着等离子体流的整个闭合环形路径分布能量沉积。所以,晶片到室顶的间隙可以小于射频感应场的透入深度,事实上可以小到极大减小注入工艺区的活性气体的停留时间,这是一个重要优点。
有两种减小等离子体路径截面进而减小晶片120上方体积的方法。一种是减小晶片到喷头间隙距离,另一种是通过增加由射频偏压发生器162产生并施加于晶片底座115的偏压射频功率来增加等离子体壳层厚度。使用光学发射光谱学(OES)技术可以观察到,任一种方法都导致了晶片120附近等离子体中游离氟浓度的减少(随后增加电介质到光刻胶上的蚀刻选择性)。
本发明另外有三种减少游离氟浓度以改进蚀刻选择性的方法。一种方法是向等离子体中注入没有化学活性的惰性气体例如氩。氩气可以通过从第二工艺气体供应源190直接注入中空管150,然后引入到工艺区的上方或外部,而具有化学活性的工艺气体(碳氟化合物气体)仅通过喷头210进入反应室。在这种有利的安排下,氩离子、中性粒子和激发核在环形路径等离子体流中扩散,并且通过穿越晶片表面的工艺区,将最新注入的活性(例如碳氟化合物)气体稀释,进而有效的减少了这些活性气体在晶片上方的停留时间。减少等离子体游离氟浓度的第二种方法是减少反应室压力。第三种方法是减少施加在线圈天线170上的射频源功率。
图3是显示本发明中观察到的等离子体中游离氟浓度随晶片-喷头间距减小而减小的走向图。图4是显示等离子体中游离氟浓度随施加在晶片底座115的等离子体偏压功率的减小而减小的图表。图5是显示等离子体中游离氟浓度随施加在线圈天线170的射频源功率的减小而减小的图表。图6是显示等离子体中游离氟浓度随着反应室压力减小而减少的图表。图7是显示等离子体中游离氟浓度随着稀释剂(氩气)注入中空管150的流速的增加而减少的图表。图3-7仅仅是对从多个OES观察中推断出的等离子体行为趋势作解释性说明,并非真实数据的描述。
宽大的工艺窗
反应室压力通常小于0.5T并且能够达到1mT的低压。工艺气体可以是通过气体分布喷头以大约15cc/m的流速注入反应室100的C4F8以及以15cc/m的流速注入的氩,反应室压力维持在大约20mT。另一种选择是,氩气流速可以增加到650cc/m,反应室压力维持在60mT。天线170可以以13MHz约50瓦的射频功率增加能量。晶片-喷头间距可以大约为0.3-2英寸。施加在晶片底座的偏压射频功率可以是13MHz、2000W(Watts)。也可以选择其他频率。施加在线圈天线170上的源功率可以低到50kHz,高到13MHz的N倍之多。施加在晶片底座的偏压功率亦是如此。
用于图1和2中反应器的工艺窗比传统的电感耦合反应器的工艺窗宽大的多。图8中的图表显示了游离氟的比中性通量分别与用于传统的电感反应器和用于图1和2中反应器的射频源功率的函数关系。图8显示出,对于传统的电感耦合反应室,游离氟的比通量在源功率超过50和100W之间时迅速增加,相反,图1和2中的反应器在游离氟的比通量迅速增加之前能够承受接近1000W的源功率大小。所以,本发明中的源功率工艺窗几乎比传统的电感耦合反应室的工艺窗宽近一个数量级,这是一个重要优点。
双重优点
在晶片或工件附近的环形等离子体流路径的收缩产生两个无法被任何其他性能标准所有益替代的独立优点:(1)晶片上方的等离子体密度的增加不需要增加等离子体源功率,(2)如上所述,增加了对光刻胶或其他材料的蚀刻选择性。在先前的等离子体反应器中,假设有可能通过增加蚀刻选择性的相同步骤来增加等离子体离子密度一直被认为是不切实际的。因此,由本发明的环形等离子体源实现的双重优点显示出对以前的工艺的革命性创新。
其他实施例
图9所示为对图1所示情况的改进,其中,侧部天线170被换成安装在室顶110和中空管150之间空间内的更细的天线910。天线910是以中空管150为中心的单个线圈绕组。
图10和11显示对图1的改进情况,其中,附加了一个延伸穿过室顶110和中空管150之间空间的闭合渗透磁芯1015。磁芯1015改善了从天线170到中空管150内的等离子体的电感耦合。
阻抗匹配可以不需要阻抗匹配电路175,而使用环绕磁芯1015并与调谐电容器连接的第二绕组1120来实现。该调谐电容器1130的电容被选择用来使第二绕组1120发生射频功率源180的频率共振。对于固定调谐电容器1130,动态的阻抗匹配可以通过频率调谐和/或正向传输功率补偿来提供。
图12所示为本发明的一种情况,其中中空管状环绕体1250在反应器底部周围环绕延伸,通过反应室底板上的一对开口1260、1265和反应室内部连通。线圈天线1270按图1所示的情形,沿着中空管状环绕体1250提供的环形路径侧面而行。尽管图12显示了和主反应室底部连接的真空泵135,但是该真空泵也可以和下面的管1250连接。
图13显示了图10和11所示情况的变化情况,其中,天线170被换成环绕在磁芯1015上部的电感绕组1320。为方便起见,绕组1320环绕在管道150上方(而不是在下方)的部分磁芯1015上。但是,绕组1320可以环绕在磁芯1015的任何部位。
图14显示了对图13所示概念的扩充,其中第二中空管环绕体1450和第一中空管150平行,为第二环形等离子体流提供了平行的环形路径。管状环绕体1450的每一端分别通过室顶110上的开口和反应室内部连通。磁芯1470从管状环绕体150、1450下方并穿过线圈天线170延伸。
图15显示了对图14所示概念的扩充,其中平行排列的中空管环绕体1250a、1250b、1250c、1250d提供了多个通过反应室的等离子体流路径。在图15所示情况中,等离子体离子密度在每一个单独的中空管1250a-1250d中分别受到分别由独立射频功率源180a-180d驱动的单个线圈天线170a-170d的独立控制。单个的筒形开口铁心1520a-1520d可以分开插入各线圈天线170a-170d中。这种情况下,相对的中心-边缘离子密度分布可以通过分别调节单独的射频功率源180a-180d的功率大小来进行调节。
图16显示了对图15所示情况的改进,其中管状环绕体1250a-1250d的排列延伸通过反应器的侧壁而非室顶110。图16所示的另一处改进是使用了靠近所有管状环绕体1520a-1520d、且天线170环绕在其周围的单个共同磁芯1470,所以单个射频源为所有管状环绕体1250a-1250d中的等离子体增加能量。
图17A显示了一对相互垂直、分别延伸穿过室顶110上的端口、且分别由线圈天线170-1和170-2提供能量的管状环绕体150-1和150-2。单个磁芯1015-1和1015-2分别在线圈天线170-1和170-2内。这种情况增加了两个在晶片120上方的相互垂直的环形等离子体路径,用于增强均匀性。这两个相互垂直的环形或闭合路径是分开的,独立受到上述的能量供应,除了在晶片上方的工艺区交叉外,其他情况下不会相交。为了确保分开控制施加在每一个垂直路径上的等离子体源功率,图17中的射频发生器180a,180b的频率分别不同,因此阻抗匹配电路175a、175b的运行被去偶。例如,射频发生器180a可以产生11MHz的射频信号而射频发生器180b可以产生12MHz的射频信号。另一种选择是,可以通过错开两个射频发生器180a,180b的相位来实现独立运行。
图17B显示可以使用径向叶片181来控制通过晶片支承上方工艺区的每个管道150-1、150-2中的环形等离子体流。径向叶片181从反应室侧面附近的每个管道中间延伸至晶片支承边缘。径向叶片181防止等离子体从一个环形路径转向另一个环形路径,所以两个等离子体流只能在晶片支承上方的工艺区内相交。
适合大直径晶片的情况
近来的工业发展趋势除了向更小的设备尺寸和更高的设备密度发展以外,另一种趋势是向更大的晶片直径发展。例如,12英寸的晶片正进入流水作业生产,而且将来可能会出现更大直径的晶片。其优点是由于每片晶片可有更多的集成电路晶片模具而具有更高浓的产量。其缺点是在等离子体工艺中,维持均匀的等离子体穿过大直径晶片变得更加困难。本发明下面的实施例特别适合于越过大直径晶片表面,例如直径为12英寸的晶片,提供均匀的等离子体离子密度分布。
图18和19显示了包括绝缘间隙1852的、图1的中空管150的宽扁平矩形样式1850的中空管环绕体1810。这种样式产生了更适于均匀覆盖诸如直径为12英寸的晶片或工件的大直径晶片的等离子体宽“腰带”。该管状环绕体和室顶110上的一对孔1860、1862的宽度W可以超出晶片的大约5%或者更多。例如,如果晶片直径为10英寸,那么矩形管状环绕体1850和孔1860、1862的宽度大约为11英寸。图20显示了图18和19中矩形管状环绕体1850的改进样式,其中管状环绕体1850的部分外表面1864被收缩成窄形。
图20进一步显示在环绕体1850的收缩和未收缩部分之间的过渡位置上可自由选择使用调焦磁体1870。调焦磁体1870促进等离子体在环绕体1850的收缩和未收缩部分之间更好的移动,特别是当等离子体通过环绕体1850的收缩部分1864和未收缩部分之间过渡位置时促进等离子体更加均匀的展开。
图21显示多个柱形磁芯2110可以插入由管状环绕体1850围绕的外部区域2120中的情况。柱形磁芯2110通常和管状环绕体1850的对称轴平行。图22显示对图21所示情况进行的改进,其中被管状环绕体1850围绕、且完全延伸穿过外部区域2120的磁芯2110被换成一对各占一半外部区域2120的缩短的磁芯2210、2220。侧面线圈165、185被换成一对分别环绕磁芯对2210、2220的线圈绕组2230、2240。这种情况下,可以改变磁芯对2210、2220之间的位移D以调整晶片中心附近相对于晶片周围的离子密度。更宽的位移D减小了晶片中心附近的电感耦合,继而减小晶片中心的等离子体离子密度。图23显示了图22的变化情况,其中,分开的绕组2230、2240被换成中心为2210、2220磁芯对的单个中心绕组2310。
图24和25显示提供了等离子体穿过晶片表面时的甚至更加均匀的离子密度分布的情况。在图24和25的情况下,两个环形等离子体流路径彼此横向且相互垂直设置,这是通过提供了第二个相对第一个管状环绕体1850横向且垂直延伸的宽矩形中空环绕体2420来实现的。第二个管状环绕体2420通过穿过室顶110的一对孔2430、2440和反应室内部连通,并且包括绝缘间隙2452。一对沿着第二管状环绕体2420侧面的侧面线圈绕组2450、2460维持其中的等离子体,通过阻抗匹配电路2480由第二射频功率供应源2470驱动。从图24中可以看出,两个垂直等离子体流在晶片上方重合,在晶片表面上提供了更均匀的等离子体覆盖面。预计这种情况特别有利于在诸如10英寸或更大直径晶片的工艺中使用。
与图17所示情况一样,图24在晶片120上产生了两个用于提高均匀性的相互垂直的环形等离子体流路径。这两个垂直环形或闭合路径是分离的,并且如图所示被分别独立的供予能量,除了在晶片上方的工艺区发生交叉之外,不会相交或者转向或者彼此混合。为了确保分开控制施加在每一个垂直路径上的等离子体源功率,图24中的各射频发生器180、2470的频率不同,因此阻抗匹配电路175、2480的运行被去偶。例如,射频发生器180可以产生11MHz的射频信号而射频发生器2470可以产生12MHz的射频信号。另一种选择是,可以通过错开两个射频发生器180、2470的相位来实现独立运行。
图26显示了图18中的变化情况,其中,改进后的包括绝缘间隙2658的矩形环绕体2650通过反应室侧壁105而非室顶110与反应室内部连通。为了达到这个目的,矩形环绕体2650具有水平顶部2652、一对分别在顶部2652两端向下延伸的腿2654以及一对分别从每一个向下延伸的腿2654底端分别延伸至侧壁105上两个孔2670、2680的水平向内延伸的腿2654。
图27显示包括绝缘间隙2752的第二矩形管状环绕体2710可加在图26上的情况。第二管状环绕体2710除了和第一管状环绕体2650垂直外,和图26中的第一管状环绕体2650相同。第二矩形管状环绕体分别通过穿过侧壁105上的孔包括孔2720和反应室内部连通。和图25一样,管状环绕体2650和2710产生相互垂直、在晶片表面重合以在更大直径晶片上提供较好均匀性的环形等离子体流。等离子体源功率分别通过两对侧面线圈绕组165、185和2450、2460施加于管状环绕体的内部。
图28A显示侧面线圈165、185、2450、2460可以换为一对相互垂直、位于由两个矩形管状环绕体2650、2710环绕的外部区域2860之内的内部线圈2820、2840。每一个线圈2820、2840分别产生与矩形管环绕体2650、2710对应的环形等离子体流。线圈2820、2840可以在不同频率下或者具有同相或不同相的相同频率下被完全独立地驱动。或者,线圈2820、2840可以在相同频率下但不同相差(即90度)下被驱动,该相差可引起合并到一起的环形等离子体流在源功率频率下旋转。如图28A所示,这种情况下线圈2820、2840分别以共用信号发生器2880的正弦和余弦要素驱动。优点是,等离子体流路径按一定角度以超出等离子体离子频率的旋转频率旋转通过晶片表面,所以和先前的工艺方法例如其中旋转频率低的多的MERIE反应器相比,非均匀性被更好的抑制。
现在参照图28B,通常可通过提供一对可在线圈2820内相对或反向轴向移动的柱形磁芯2892、2894和一对可在线圈2840内相对或反向轴向移动的柱形磁芯2896、2898来完成等离子体离子密度的径向调节。当每一对磁芯相对移动时,每一个垂直等离子体流中心附近的电感耦合与该电流边缘比较而言被提高,所以晶片中心上的等离子体密度通常被提高。从而可以通过移动磁芯2892、2894、2896、2898来控制从中心到边缘的等离子体离子密度。
图29显示了本发明可选择的一种情况,其中,两个管状环绕体2650、2710被合并成单个围绕反应器中心轴延展360度、构成单个充气空间的环绕体2910。在图29中,充气空间2910有一个半圆顶形下壁2920和一个通常与下壁一致的半圆顶形上壁2930。所以充气空间2910是指上圆顶壁2920和下圆顶壁2930之间的空间。绝缘间隙2921可以环绕上圆顶壁2920延伸和/或绝缘间隙2931可以环绕下圆顶壁2930延伸。充气空间2910通过室顶110上以360度延伸环绕反应室对称轴的环形口2925和反应室内部连通。
充气空间2910完全将室顶110上方的区域2950包围在内。在图29中,等离子体源功率通过一对相互垂直的线圈2960、2965与充气空间2910的内部耦合。经由穿过充气空间2910中心的垂直管道2980进入线圈2960、2965。线圈2960、2965最好是如图28所示通过正交驱动来获得成一定方位角循环的环形等离子体流(即在晶片水平面内循环的等离子体流)。旋转频率是施加的射频功率的频率。线圈2960、2965可以选择分别由不同频率驱动。图30是图29的俯视图。图31A和31B分别是对应图30的主视图和侧视图。
相互垂直的线圈对2960、2965可以被换成任何数量n的具有以360/n度分开排列的线圈轴的各驱动线圈。例如,图32显示两个线圈2960、2965被换成三个具有以120度间隔排列的线圈轴、分别由三个射频源3240、3250、3260驱动的线圈。为了产生旋转的环形等离子体流,三个线圈3210、3220、3230受到来自于图33所示共用功率源3310相位之外的120度相角驱动。图32和33所示的情况优于图29中只有两个线圈的情况,因为可以认为线圈之间的多数相互垂直耦合将会环绕而非穿过垂直管道2980。
图34中,三个线圈处于包围区2950外部,而它们的电感分别通过延伸穿过管道2980的垂直磁芯3410耦合于包围区2950。每一个磁芯3410的一端向管道2980上方延伸,该管道分别被各线圈3210、3220、3230环绕。每一个磁芯3410的底部处于包围区2950内部并且有一个水平腿。这三个磁芯3410的水平腿被确定为120度间隔方向从而向充气空间2910内部提供近似于如图32中包围区内部三个线圈所提供的电感耦合。
图18-28中,水平矩形管状环绕体的优点是,该管状环绕体的较大宽度和较低高度迫使环形等离子体流形成更稳定覆盖大直径晶片整个表面的宽薄带状等离子体。管状环绕体的整个部分没必要全都是最大宽度。相反,如上参照图20所述,离反应室内部最远端的管状环绕体外部可以缩窄些。这种情况下,最好在宽部1851和窄部1852之间的过渡拐角处提供调焦磁体1870以迫使存在于窄部1852处的等离子体流完全扩散通过宽部1851的整个宽度。如果需要使晶片表面的等离子态离子浓度最大化,那么最好窄部1852的截面积至少大致与宽部1851的截面积相等。例如,窄部1852可以是其高度与宽度大致相同的通道,而宽部1851的高度可以小于其宽度。
这里所述的空心线圈(即没有磁芯的线圈)的各种情况可以使用在随后附图所示的开放磁路型或闭合磁路型的磁芯来替代。而且这里所述的具有由不同射频驱动的两个或三个环形路径的各种情况可以被换为在相同频率和相同相位或不同相位下被驱动。
图35为图17的情况中相互横向的中空管按照图20所示被缩窄的情形。
图36在图24基础上使用了一对磁芯3610、3620,它们分别带有缠绕在其周围、与附近射频功率源分别连接的绕组3630、3640。
图37是与图35对应的情况,但具有三个而非二个再进入管道,总共有6个再进入反应室的端口。具有比两个(如图37所示)更多的多个对称排列的管道和再进入端口被认为特别有利于直径为300mm或更大的晶片的工艺操作。
图38是与图38对应的情况,但具有三个而非二个再进入管道,总共有6个再进入反应室的端口。
图39是与图35对应的情况,其中外部管道与共用充气空间3910连接在一起。
图40是与图36对应的情况,其中外部管道与共用充气空间4010连接在一起。
图41是与图37对应的情况,其中外部管道与共用充气空间4010连接在一起。
图42是与图38对应的情况,其中外部管道与共用充气空间4210连接在一起。
图43是与图17对应的情况,其中外部管道与共用充气空间4310连接在一起。
有益的特征
收缩晶片附近的环形等离子体流不仅改善蚀刻选择性而且通过增加等离子体离子密度同时增加了蚀刻速率。可以认为以前没有通过在工件上方增加蚀刻速率或等离子离子密度的相同机理来增加蚀刻选择性的反应器。
通过收缩晶片或工件附近的环形等离子体流改进蚀刻选择性能够通过本发明中多种方式中的任一种方式来实现。一种方式是减少从底座到室顶或从晶片到室顶的高度。另一种方式是在晶片上方引入一种收缩环形等离子体离子流的气体分布板或喷头。再一种方式是增加施加于晶片或工件的射频偏压功率。技术熟练的工人在实施本发明时,可以选择使用前述改善蚀刻选择性方法的任一种或任一组合。
本发明中,通过局部(即晶片或工件附近)注入活性工艺气体而从远处(即注入管道或充气空间)注入惰性气体(例如氩)可以进一步改善蚀刻选择性。这可以通过直接在工件支承上方并面向工件支承位置提供气体分布板或喷头并且通过喷头专门(或者至少是主要的)注入活性工艺气体,同时惰性气体从远离晶片或工件上方工艺区的管道井内注入。环形等离子体流从而不仅成为用于晶片上材料的活性离子蚀刻的等离子体离子源,而且另外,在等离子体引起的离解工艺被执行到产生不合要求的游离氟数量的分界点之前,成为用来带走活性工艺气化物及其等离子体离解产物的清除剂。对活性工艺气化物停留时间的减少提高了涉及光刻胶或其他材料的蚀刻选择性,这是一个重要优点。
向环形等离子体流施加射频等离子体源功率,具有很大的灵活性。如上所述,功率是典型地通过天线与环形等离子体流电感耦合。在许多情况下,天线主要与外管或与在其附近或邻接的充气空间连接。例如,线圈天线可以沿着管道或充气空间延伸。但是,在另一些情况下,天线被限制在管道或充气空间与主反应器壳体(例如室顶)之间的区域。后一种情况中,天线可以考虑设在管道下方而不是沿着管道设置。具有延伸穿过包围区(管道和主反应室壳体之间)的磁芯(芯体)和包围区上方的延伸以及环绕芯延长体的天线的情况甚至提供了更大的灵活性。这种情况下,天线通过磁芯被电感耦合因此不需要靠近管道中的环形等离子体流。在这样一种情况下,一种封闭磁芯被使用,上述天线被缠绕在最大限度远离环形等离子体流或管道的芯体部分。因为天线经由磁芯而远距离与环形等离子体流耦合,所以,实际上几乎可以将天线设在任何位置,例如完全远离等离子体室的位置。
最后,特大直径晶片或工件的表面上方的等离子体分布是均匀的。在一种情况下,通过将环形等离子体流的形状改变成具有最好超出晶片宽度的宽形等离子体带来实现。另一种情况下,越过晶片表面的等离子体离子密度的均匀度通过提供两个或两个以上相互横向或垂直、在晶片上方工艺区内交叉的环形等离子体流来实现。环形等离子体流的流向以360/n相互偏移。每一个环形等离子体流可以成形为等离子体宽带来覆盖特大直径晶片。每一个环形等离子体流可以由沿着一个环形等离子体流方向排列的单个线圈天线提供能量。在一种较佳情况下,均匀度提高是通过分别施加不同相位射频信号于每一个线圈天线从而获得在晶片上方工艺区的旋转环形等离子体流来提高均匀度。在这种较佳情况下,最佳结构是,其中环形等离子体流流向环形连续的充气空间,该充气空间通过室顶或侧壁上的环形连续的环孔与主反应室连通。后一种特征允许整个环形等离子体流以连续方式成一定角度旋转。
控制等离子体离子密度的径向分布
图44所示为与图17A类似的具有一对垂直的外部再进入管150-1、150B2的等离子体反应器。如上参照图17A所述,射频功率分别通过由各射频驱动线圈170-1、170-2的环形磁芯1015-1、1015-2与这些管耦合。但是,图44中外部管150-1、150-2的截面形状如图24所示为矩形,而非圆形。而且,下管150-1的横向部分并非平面,而是中间有一个下陷4410。下陷4410准许上外部管150-2靠近反应器顶110嵌套。这一特征缩短了上管150-2的路径长度,从而减少上管150-2中的等离子体损耗。事实上,下陷4410的形状可以选择为至少几乎等于通过上下外管150-1、150-2的路径长度。图44中的反应器,和图2和图26的反应器一样,在反应器顶110上(或构成该顶110本身)且晶片120上方有气体分布板。
下陷4410被限定于室顶110顶面和在下管150-1上、下陷4410的顶点位置形成的底角4422之间留下的纵向空间。该纵向空间内置有提高晶片120中心上方等离子体离子密度的电磁组4430。该电磁组4430包括狭窄细长的由可磁化金属例如铁或钢加工成形的柱形磁极靴4440和缠绕该磁极靴4440的绝缘传导金属丝(例如铜丝)线圈。该磁极靴4440的柱轴和筒形室100的对称轴一致,所以磁极靴4440的柱轴贯穿晶片120的中心。线圈4450可以直接在磁极靴4440上被包起来或者如图45所示,可以缠绕在环绕磁极靴4440的芯棒4460上。图45显示线圈4450可以缠绕在室顶110上方延伸的磁极靴4440的一部分4440-1上。处在室顶110内部的磁极靴4440的下部4440-2在气体分布板210的气体多支管220内终止。
为了功效,最好将等离子体限制磁场源放置在不干扰气体分布板210内的气流的、尽可能靠近等离子体的位置。为了这个目的,气体多支管220内的磁极靴下部4440-2是一个非常狭窄的、使磁极靴4440终止的柱形端块4470。该端块4470延伸了接近气体分布板底部的磁极靴4440的磁场线以提高等离子体上的磁场效应。端块4470的直径充分减小,所以它一点没有干扰气体分支管210内的气体流。而且,该减小的直径导致磁场径向部分的峰值更接近中心轴。
图46显示端块4470具有锥形底部4475,在奶嘴状位置4477终止的情况。图47显示端块4470的底部4476为平面的情况。图48显示端块4470的底部4478为圆形的情况。
在一实施例中,磁极靴4440具有约3.5cm的直径(这样,大约60圈线圈4450的直径约为6cm),约12cm长。该磁极靴4440被延长约2cm(总长约14cm),直径延长了约1cm的较小长度。磁极靴4440延长区的底部离等离子体区的顶部约1.5cm。构成磁极靴4440的材料选择为具有足够高的渗透率(例如μr≥100)和高饱和磁通密度(例如Bsat>1000高斯),从而用最小磁化力和电流使磁极靴4440下方区域的磁流密度最大化。注意:因为磁极靴4440磁路是相对磁极靴4440开放的(而没有封闭在磁极靴内),有效渗透率与该材料的渗透率相比较被减小了。依赖于磁极靴4440的长度/直径比,μr“有效的”典型地被减小到近似于10。
可任选的磁性材料屏蔽4479例如铁,遮蔽了电磁组4430的D.C.磁场管150-1、150-2中的等离子体。该屏蔽4479包括顶板4479a和柱形边缘4479b。
在图45所示的气体分布板210中,顶板4480在径向被分成每一部分具有许多延伸穿过该部分的小气流孔4481的内部和外部4480a、4480b,该内外部具有环形凸缘4482-1、4482-2、4482-3、4482-4,形成支撑室顶210底面的竖直壁,同时还形成由环形凸缘4482-2、4482-3形成的竖壁所分隔开的内外部气体分支管4483a、4483b。一种情况下,在内外部气体分支管之间没有隔壁,从而避免了由该壁引起的室内气体分配的任何不连续。顶板4480下方的气体混合层4484使完全竖直方向流动的气流转向,从而导致可促进不同分子重量的气体均匀混合的多种方向(或混乱的)气流。气流的这种完全向下流动方向的转向还具有抑制高速气流影响的效果,其中通过直接在晶片上方的气体分布板孔进入的高速气流将会在晶片表面形成破坏工艺均匀性的局部高浓度工艺气体。对高速气流影响的抑制提高了均匀性。
气体混合层4484可以包括工艺中众所周知的金属或陶瓷泡沫材料。或者如图49所示,气体混合层4484可以包括多孔板4484-1、4484-2,每个多孔板上钻有许多穿过该板的小气孔,一个多孔板上的孔和另一个多孔板上的孔之间相互错开。气体分布板210的底板4485上钻有许多亚毫米气体注入孔4486(图50)和底板4485顶部的大扩孔4487。举例来说,亚毫米孔直径在10和30毫寸之间,扩孔直径大约0.06英寸,底板4485有大约0.4英寸厚。通过室顶110的内外气体供应管道4490、4492向内外顶板4480a、4480b供应气体,所以反应室的径向内外区的气流可以按照调节工艺均匀度的方式予以独立控制。
可以认为电磁组4430产生的D.C.磁场的径向部分影响等离子体离子密度的径向分布,能够利用该磁场的这种径向部分来增加反应室中心附近的等离子体离子密度。可以认为这种晶片中心上方等离子体离子密度的增加是由等离子体流D.C.磁场径向部分和在晶片表面产生成一定方位角的、往往限制晶片中心附近的等离子体的等离子体壳层电场之间的交互作用所引起。在不存在D.C.磁场的情况下,在晶片中心等离子体离子密度减小的现象扩展在限制于晶片120中心附近的非常小的圆形区上方,因为通常情况下,甚至没有校正磁场,图44中的反应器也往往有异常均匀的等离子体离子密度。所以,中心低的等离子体离子密度分布需要有较大径向部分、非常接近于反应室或晶片120中心的D.C.磁场。小直径的磁场磁极靴4440产生非常接近于晶片120中心(或反应室中心)、具有大径向部分的磁场。依照常规做法,该中心为筒形反应室的对称轴,半径为0。
图51显示了在晶片120和气体分布板210之间、晶片120上方的工艺区主视图中的磁场分布情况。图51中的向量是代表不同位置磁场方向的校正向量。图52显示磁场径向部分的磁流密度是径向位置的函数,一条曲线代表气体分布板210底面附近的径向磁流密度,另一条曲线代表晶片120表面附近的径向磁流密度。径向磁场部分的磁流密度的峰值非常接近中心,即在室顶和晶片上仅大约1英寸的范围。所以,磁场径向部分紧密集中在非常小的、等离子体密度往往最低的直径区域附近。因而,由电磁组4430产生的D.C.磁场径向部分的分布通常与反应室中心附近的低的等离子体离子密度区域保持一致。
如上所述,可以认为,D.C.磁场的径向部分与晶片中心附近的等离子体壳层的纵向电场之间发生交互作用,产生通常与等离子体径向移动相对的成一定方位角的定向力。结果,晶片中心附近的等离子体被限制增强在该区域内的工艺。
在蚀刻反应器中利用电磁组4430的基本方法是提供一种线圈D.C.电流,通过典型地增加晶片中心的等离子体离子密度,来产生通过晶片表面的最均匀的蚀刻速率径向分布。在晶片-室顶间隙较小(例如1英寸)的情况下,这是最合适的方法,因为这样的小间隙典型地导致晶片中心低的蚀刻速率分布。对于具有较大间隙(例如2英寸或更大)的反应器,蚀刻速率分布可能不是中心低,所以可能需要不同的D.C.电流。当然,在要求具备通过晶片表面的改进的等离子体离子密度均匀度的应用中,电磁组4430并未受到限制。一些使用电磁组的应用中可能需要引起等离子体离子密度较小均匀度的电磁线圈电流。例如,这样的应用可能包括的情况是,要被蚀刻的场效氧化薄膜层具有非均匀的厚度分布,所以只能通过提供非均匀的等离子体离子密度分布来弥补非均匀场效氧化膜厚度分布从而获得那种均匀的效果。在这样的情况下,电磁阻的D.C.电流能够选择用来提供必需的非均匀等离子体离子分布。
如图45所示,等离子体反应器可以包括一套能够在蚀刻工艺中,观察通过晶片120的蚀刻速率分布的可改变形状的速率监控器4111。当接触孔正在被蚀刻时,每一个监控器4111观测到该接触孔底部经光线反射后形成的干扰带。该光线可以是激光或者是等离子体发光。这样的实时监测能够通过改变施加在电磁组4430上的D.C.电流,使得确定能即刻弥补的通过晶片的蚀刻速率分布的变化成为可能。
图53显示了一种独立控制工艺气体流进入内外部工艺供应管4490、4492的方式。图53中,一套和内部气体供应管4490连接的气流控制器5310、5320、5330分别向内部气体供应管4490供应氩气、氧气和碳氟化合物气体,例如C4F6。另一套气流控制器5340、5350、5360分别向内部气体供应管4492供应氩气、氧气和碳氟化合物气体,例如C4F6。图54显示了另一种独立控制工艺气体流进入内外部工艺供应管4490、4492的方式。图54中,单套气流控制器5410、5420、5430向气体分离器5440供应工艺气体(氩气、氧气和碳氟化合物气体)。该气体分离器5440有一对分别和内外气体供应管4490、4492连接的气体或质量流控制器(MFC)5442、5444。另外,可任选的另一种气流控制器5446向外部气体供应管4492供应吹扫用的气体,例如氩气或氖气。
加工大直径晶片中的一个问题是,环形或再进入等离子体流必须在晶片的大范围表面上均匀的扩展开。管道150的宽度典型地小于工艺区。那么有必要在等离子体流离开端口155或160时扩宽等离子体流来更好的覆盖大范围的工艺区。相关的问题是,图44中的反应器(或图1-43中的任一反应器)能够承受非均匀等离子体离子密度问题和随后在再进入管150的端口155或160附近出现的“热点”或甚高等离子体密度的小区域5505,如图55A所示。参照图55A-56B,通过在每一个端口嘴位置设置一个等离子体流分离器5510可以解决这些问题。分离器5510往往会促使等离子体流加宽,而同时减小在可能另外形成热点的区域5505附近的等离子体离子密度。管道150可以在端口155处有一段被加宽的尾部5520,该尾部5520的直径几乎是管道150其他部分直径的两倍。图55A的等离子体流分离器是三角形,一个顶点面向管道150内部,促使等离子体流从管道150流向反应室100时扩展开,并更好的充满更大直径的尾部5520。这种由三角形分离器5510扩展等离子体的结果往往是扩宽了等离子体流,并减小或消除了区域5505内的“热点”。
分离器5510的最佳形状至少部分取决于相对的端口155、160中心之间的间距S。如果分离器在等离子体流动的方向上(即图55A中的竖直方向)太长,那么沿被分开的路径流动的等离子体流往往是不均衡的,所有的等离子流都沿分离器5510的一侧流动。另一方面,如果分离器5510太短,两条路径会在等离子体流被明显加宽前重新结合。
例如,在加工直径为12英寸晶片的反应室中,间距S可以大约为20.5英寸,管道宽度W为5英寸,管深d为1.75英寸,被扩宽的尾部宽度W为8英寸。这种情况下,端口155相对于12英寸晶片的并置情况将如图56C的平面图所示。在这个特例中,分离器5510的高度h将大约为2.5英寸,分离器的顶角5510a大约为75度,如图57所示。另外,尾部5520的长度L将和分离器5510的高度h相同。
另一方面,对于16.5英寸的间距S来说,最佳分离器5510′如图58所示。这种情况下,该分离器的顶角最好约为45度,在矩形部分终止的三角部分的宽度为1.2英寸,使得分离器5510′的高度h为2.5英寸。分离器5510或5510′的高度和顶角必须足以减小区域5505的等离子体密度,以防止该处形成热点。但是,为了避免减少晶片中心的等离子离子密度,高度h必须有所限制。
图59A和59B显示了用于解决再进入管2654再进入口附近的等离子体离子密度的非均匀性问题的分离器,其中,通过每一个入口的等离子体流的流向是在通过反应室侧壁105的水平方向上,如图26所示的反应器。每一个分离器5910的顶点5910a朝向端口2680。
图60、61和62显示了类似于图17所示的实施情况,不同的是,反应室侧壁105为矩形或正方形,通过室顶110的垂直面对的端口140-1、140-2、140-3和140-4分别在矩形或正方形105的拐角105a、105b等上方。与晶片120在一个水平面上的基底6020面向每一个端口,并和矩形侧壁105的拐角部分一起,推动进入的等离子体流转向晶片120上方的工艺区。为了减小或消除在区域6030的等离子体离子密度中的热点,三角形等离子体流的流动分离器6010分别设置在每一个拐角105a、105b等附近,分离器顶点6010a面向该拐角。在图61的实施例中,分离器顶点6010a是圆形的,但在另一实施例中,它可能不那么圆,或者事实上可能是尖角形。图63显示了同样布局的一部分,但其中,面向晶片120的分离器6010的边缘6010b设在非常靠近晶片120的位置,并成形为与晶片120的环形边缘一致的弓形。而图60中的分离器6010从基底6020延伸至室顶110,图64显示的分离器6010的高度可能低一些,以允许一些等离子体流从分离器6010上方通过。
在下面的某些实施例中将会非常详细的叙述到,再进入等离子体流通过的整个路径长度影响晶片表面的等离子体密度。这是因为较短的路径长度使得晶片上方的工艺区内有较高比例的等离子体,减少了等离子体密度的依赖于长度的路径损耗,并减少因等离子体与再进入管表面交互作用引起的表面区域损耗。所以,较短长度的管道(与较短的端口间距S对应)的效率更高。另一方面,较短的间距S为通过三角形分离器5510从中心分开的等离子体流在通过分离器5510后再次进入中心区域提供了较少的机会,并避免了在晶片中心的低的等离子体离子密度。所以,在为避免每一个再进入管端口附近出现等离子体热点的努力中,似乎在较小端口间距S的较高效率和降低晶片中心的等离子体离子密度的风险之间将有一种折衷。
在图65A、65B和66的情况下,通过使用至少几乎延伸穿过端口尾部5520的整个宽度W并成形为促使等离子体流的流动远离端口的内部边缘6610和朝向端口的外部边缘6620的三角形分离器6510,这种折衷被改进或消除。这种特征没有改变端口的间距S(可能和所希望的一样短),但却有效地延长了从分离器的顶点6510a到晶片120中心的等离子体流路径。这为通过分离器6510分离的等离子体流的流动在通过分离器5510后,到达晶片或晶片中心之前,在其中心再次汇集提供了较大的机会。这种特征较好的避免了降低晶片中心的等离子体离子密度同时抑制在再进入管端口形成等离子体热点。
如图65A、65B和66所示,每一个分离器6510的主视面显示为等腰三角形(图65B),俯视面为矩形(图65A)。图66中的侧视图显示了斜背面6610c,该斜背面促使等离子体流朝向背部边缘6620继而有效延长从分离器的顶点6510a到晶片120中心的长度,是如上所述的想要获得的特征。端口150的矩形口在径向(短尺寸)被缩窄成顶部大约为2″、底部大约为3/4″的斜壁或斜背面6610b,这增加内部端口边缘1-1/4″,在径向离晶片更远了一些(从而获得所想要的有效端口间距的增加)。另外,端口150在成一定方位角的方向(开口150的长或8″宽的尺寸)上有完全的三角形分离器6510。
等离子体流分离器5510或6510内部可以有冷却口连接于反应器体内的类似口的冷却系统,以调节分离器的温度。为了这个目的,等离子体流分离器5510或6510由金属形成,因为该材料很容易冷却,易于加工形成内部冷却管道。但是,分离器5510或6510可以另外用别的材料,例如石英形成。
图67显示了改进图24中的环形源反应器中等离子体均匀性的另一种方法,即沿反应室外围设置一套4个电磁体6710、6720、6730、6740,每个电磁体绕组被一个磁流控制器6750控制。4个电磁体中的电流可以以三种模式的任一种被驱动:第一种模式为正弦曲线模式,线圈受到相位正交的相同的低频电流驱动,产生在源低频时绕反应室对称轴旋转的磁场;第二种模式为可配置磁场模式,四个电磁体6710、6720、6730,6740组合成反向相邻电磁体对,每一对电磁体受到不同D.C.电流的驱动,在反向相邻电磁体对之间产生对角延伸的磁场梯度,这个组合被旋转使得磁场梯度被旋转,从而在晶片上方全向分布它的作用;第三种模式是4个电磁体都由同样的D.C.电流驱动,产生具有与反应室的对称轴基本一致的对称轴的三角尖顶形磁场。
如图1所示,在筒形晶片支承底座115和筒形侧壁105之间形成一个泵吸环面,气体通过真空泵135经由该泵吸环面被抽空。等离子体流在每个再进入管150相对端口之间的流动能够流过这个泵吸环面,从而避免流过晶片120和气体分布板210之间的工艺区。如果反应室压力较高,晶片-室顶间隙较小和/或等离子体的传导率较低,等离子体流环绕工艺区流动的这种转向是能够发生的。达到了发生这种情况的程度,工艺区的等离子体离子密度被降低。这一问题的解决如图68和69所示,引入径向叶片6910、6920、6930、6940来阻挡成一定方位角的等离子体流流过泵吸环面。在一实施例中,叶片6910、6920、6930、6940延伸至但不超出晶片120的水平面,允许晶片插入和移除。但是,在另一实施例中,叶片可以收缩延伸至晶片的水平面上方,较好的限制了等离子体流在晶片120上方的工艺区内的流动。例如,这可以通过使晶片支承底座115能够相对叶片上下移动来实现。任一种情况下,叶片6910、6920、6930、6940防止等离子体流流过泵吸环面,并且如果这些叶片能够被移动到晶片120的上方,它们还减少了等离子体流在泵吸环面上方的上部区域的流过。所以通过防止等离子体流的流动转向而远离晶片上方的工艺区,不仅增加在该区域的等离子体离子密度,而且工艺的稳定性也得以改善。
前面提到,用来耦合射频功率于再进入管150的磁芯在高射频功率等级下,往往会裂开或破碎。可以认为,这种问题的产生,是因为环绕芯体的磁流分布不均匀。通常,环绕芯体的一个绕组带有高射频功率等级的高电流。例如,这个绕组可以是与连接于射频发生器发生共振的第二绕组。该第二绕组通常被限制为一个环绕芯体的窄带,这个窄带内的磁流和热量非常高,而芯体其他部分的磁流和热量却低得多。该磁芯必须具有合适的渗透率(例如渗透率大约在100到200之间),以避免高频的自共振。好的磁芯往往是不良导热体(低导热性),易于加热(高比热),所以对局部加热很敏感。因为在高电流的第二绕组附近局部加热,并且芯体往往容易碎,所以芯体在高射频功率等级(例如5KW的持续功率)下会裂开或破碎。
通过图70-74所示的环绕环形芯体更均匀地分布射频磁流密度的方式可以解决这个问题。图70显示了图17A中的一个典型的磁芯1015。芯体1015由高导磁性材料形成,例如铁素体。主绕组170包括大约两圈、通过阻抗匹配元件175以任意方式连接于射频发生器180的细铜带。线圈1015内的高磁流所需的高电流出现在环绕芯体1015的第二共振绕组7010内。副绕组7010内的电流大约比主绕组内电流大一个数量级。为了均匀分布环绕芯体1015的磁流,副绕组7010被分成均匀环绕环形芯体1015的数个部分7010a、7010b、7010c等。副绕组的部分7010a等被并联在一起。如图71A和71B所示,通过一对环绕磁芯1015相对侧面的环形铜线7110、7120很容易进行这样的并联。每一个副绕组7010a、7010b等的相对端和相对的两个铜线7110、7120连接。铜线7110、7120非常粗以提供甚高电导和低电感,所以副绕组部分7010a、7010b等的任何特定一个的方位位置很小或没有区别,如果所有副绕组部分和主绕组等距,则所有这些副绕组部分都发挥作用。这种方式下,磁偶被均匀地环绕整个芯体1015分布。
因为由前述特征所获得的均匀磁流分布,所以主绕组可以设置在任何合适的位置,典型地是在从数个分布的副绕组部分7110a、7110b、7110c等中挑选出的一个绕组部分的附近。但是,在一个实施例中,主绕组却缠绕或包裹在选出的一个绕组部分上。
图72画出了通过平行的副绕组部分7010a、7010b等形成的分布平行电感。图73表示这些分布电感的环形布局。为了形成射频发生器180的频率的共振,数个分布的电容器7130平行穿过两个铜线7110,7120,数个电容器7030成一定方位角环绕磁芯1015分布。在一个实施例中,每一个电容器7030大约是100pf(皮法拉)。和副绕组7010发生联系的分布电感和电容的等效电路见图24所示。
参照图71B,副绕组部分7010a、7010b等,可以有同样的圈数。在图71B中,有6个副绕组部分7010a-7010f,每一部分有三个绕组。技术熟练的工人能容易地选择副绕组部分的数量、每一部分的绕组数和分布式电容器7030的电容,以获得射频发生器180的频率共振。例如,用来形成环绕芯体1015的主、副绕组的铜带坯料可以为0.5英寸宽、0.020英寸厚的铜皮。两个铜线7110、7120非常厚(例如厚度为0.125英寸到0.25英寸)并且非常宽(例如0.5英寸宽),因此它们形成极其低的电阻、低的电感电流路径。芯体1015可以包括一对叠在一起的、1英寸厚的、外直径10英寸、内直径8英寸的铁氧体磁芯。该铁氧体磁芯1015的导磁系数μ为40。前述细节仅通过举例阐述,前述的任何一个或所有值可能需要针对不同的应用进行修改(例如,举例来说,对射频发生器的频率进行更改)。
我们发现,图71A和71B中的分布电感的特征解决了磁芯在经受持续高射频功率等级(例如5kW)后的破损问题。
图75显示了由芯体和图71A与71B所示绕组形成的等效电路。除环绕芯体1015的主、副绕组170和7010外,图75还显示了由电感耦合于芯体1015的等离子体表现出的等效电感和电容负载。图70-75所示为变压器耦合电路。副绕组7010的目的是要为经过芯体的增强功率耦合提供环绕磁芯1015流动的高电流。副绕组7010通过射频发生器的频率共振达到这个目的。所以,在副绕组7010上出现经过磁芯1015的高电流和功率耦合,因此事实上芯体1015的加热都出现在副绕组7010上。通过这样分布环绕芯体1015整个周围的副绕组7010,这种加热类似于环绕芯体分布,避免了局部加热继而防止高射频功率等级下芯体发生破碎。
图71A和71B中的分布式绕组特征,能用来实现其它的电路拓扑结构,例如图76中的自耦变压器电路。在图76的自耦变压器电路中,环绕芯体1015的绕组7010被分布(按上面参照图70-74所述的方式)并具有一条通过阻抗匹配电路175与射频发生器180连接的支线7610。分布电容器7030提供共振(按上述方式)。如图70所示,芯体7010缠绕在再进入管150上,所以功率电感耦合于该管150的内部。图75和76中的电路拓扑结构仅仅是可以使用环绕磁芯1015的分布式绕组的不同布局的两个例子。
在一个实施例中,阻抗匹配电路175a、175b使用了频率调谐,其中每一个射频发生器180a、180b的频率按照使反射功率最小化和正向功率或输出功率最大化的这样一种方式被控制在反馈电路中。在这样的实施例中,每一个发生器180a、180b的频率调谐范围是特定的,所以它们的频率总是不同,典型地是0.2至2MHz的大小差异。此外,它们的相位关系也是随机的。这种频率差异能够改善稳定性。例如,如果使用相同的频率来激发两个垂直相交的管150-1、150-2中的等离子体,会出现不稳定性。例如,这样的不稳定性能引起等离子体流只流过四个端口155、160中的三个端口。这种不稳定性可能和管道中的环形等离子体流的相位差有关系。一种促进等离子体稳定性的因素是垂直相交的管150-1、150-2中的两股等离子体流之间的隔离。这种隔离主要通过两股等离子体流的等离子体壳层来完成。每一个再进入管150-1、150-2的D.C.裂缝或间隙152也会提高等离子体稳定性。
尽管图44所显示的每个垂直相交的管的D.C.裂缝或间隙152在离室顶110较远的上方,事实上,它们也可以非常接近或靠近室顶。在图77的实施例中使用了这样的一种安排,其中图55A所示情况被改进,这样尾部5520是电悬浮的,它的电压随着等离子体电压的震荡而震荡。这解决了归因于每一个端口155、160附近的“空心阴极”效应所产生的非均匀等离子体分布的问题。这种效应可以归因于电子倍增空腔效应。通过容许一个端口附近的所有传导材料跟随等离子体电压的震荡,空心阴极效应被减小或完全消除。这可以通过在再进入管尾部5520和室顶110的顶部或外部表面之间的接合点设置D.C.裂缝或间隙152′从而电隔离尾部5520和接地的反应室体来实现。(间隙152′可以另外加上或代替图44中的间隙152。)间隙152′用绝缘环7710填补,图77中的尾部5520有一个侧翼7730,搁在绝缘环7710的上部。另外,在室顶110和尾部5520之间还有一个约0.3至3mm宽的绝缘真空间隙7730。在一实施例中,管道150和尾部5520一体形成一个单独部分。尾部5520最好由金属形成,这样在那儿可以形成内部冷却管。
图44-77显示了均匀性控制磁体在工艺区上方的情况。图78显示磁极4440可以设置在工艺区下方,或晶片支承底座115下方。
实施例
蚀刻工艺是在室压为40mT,晶片底座上的射频偏压为13.56MHz、4800W,施加在每个再进入管150上的射频源功率为11.5MHz和12.5MHz、1800W的工艺条件下,在氧化物膜晶片上进行的。在连续的操作步骤中,电磁组4430产生的磁场大小设置如下:(a)0高斯(Gauss),(b)6高斯(Gauss)和(c)18高斯Gauss(这儿,晶片中心的轴向磁场部分要比更相关的径向部分更容易测定出)。分别测定出被观测的晶片表面上的蚀刻速率为:(a)0高斯时,中心低大约2%的标准偏差;(b)6高斯时,中心略微快大约1.2%的标准偏差;(c)中心快大约1.4%的标准偏差。这些例子显示了提供几乎理想的补偿(步骤b)和过度补偿的功率(步骤c)的能力。
为了检测有效压力范围,室压增加到160mT,电磁场按从(a)0高斯,到(b)28高斯,最后到(c)35高斯(这儿,晶片中心的轴向磁场部分要比更相关的径向部分更容易测定出)的三个步骤增加,观测到的蚀刻速率分别为,中心慢大约2.4%的标准偏差,中心略微快大约2.9%的标准偏差,中心快大约3.3%的标准偏差。显然,从0到28高斯的步骤导致过分补偿,所以,稍微小一点的磁场会比较理想,而整个过程显示了电磁组4430轻松控制甚高室压范围的能力。该检测非常严格,因为在更高的室压下,蚀刻速率分布往往会有更严重的中心缓慢,而同时,高室压的碰撞距离或平均自由路径长度的减小使得特定磁场更难于对等离子体电子或离子产生效应,这是因为如果相应的等离子体电子或离子的拉莫尔半径(取决于磁场和电子或离子质量的长度)超出等离子体碰撞距离,那么磁场可能根本没有效应。因为碰撞距离随着压力增加而减小,所以必须成比例地增加磁场长度以减小拉莫尔半径。前述例子阐述了产生足够强的磁场以满足小拉莫尔半径的需要的电磁组能量。
另一套蚀刻工艺是在室压为35mT,施加在电磁组4430上的电流按照从(a)0安培、(b)5安培、(c)6安培、(d)7安培、和(e)8安培的5个步骤增加,以及其它类似条件下,在用光刻胶形成图案的氧化物晶片上进行的。(这个检测中,测定晶片中心的轴向磁场部分,5安培的电流产生的磁场大约为6高斯。)在每个步骤中,测定晶片中心和外围上高纵横比接触孔的蚀刻深度,来检测中心-边缘蚀刻速率均匀度的控制。测定出的中心-边缘蚀刻速率的差异分别是(a)中心低13.9%、(b)中心低3.3%、(c)中心低0.3%、(d)中心高2.6%和(e)中心高16.3%。从前述可知,对于较佳的中心-边缘均匀度,理想的电磁电流容易确定,这种情况下,大约是6安培。
在氧化物膜晶片上进行了一套蚀刻工艺来检测图44中的双区气体分布板210的功效。第一步,通过两区的气流速度相等,第二步,内区的气流速度是外区的4倍,第三步外区气流速度是内区的4倍。每个步骤中,电磁组4430上不施加电流,这样测量结果将只反应双区气体分布板210的效果。在第一步两区的气流速度相等时,蚀刻速率分布是略微中心高大约2.3%的标准偏差。在内区的气流速度是外区的4倍时,蚀刻速率分布是中心快大约4%的标准偏差。在外区气流速度是内区的4倍时,蚀刻速率分布是中心慢大约3.4%的标准偏差。这表明,能利用气体分布板210的双区差别气流速度的特征来对蚀刻速率分布作一些修正。但是,因为进来的气体没有(或将)被离子化,所以气流速度的控制仅直接影响中性粒子的分布。另一方面,蚀刻速率直接受到等离子体离子分布的影响,而受中性粒子的影响没那么强烈,至少不是直接受影响。所以,通过双区气体分布板对蚀刻速率分布进行控制,虽然有一些效果,但是必然没有像通过磁组4430的磁场限制来直接影响等离子体电子和离子那样有效。
对电磁组4430对再进入环形等离子体流的依赖性进行了探究。首先在氧化物膜晶片上进行一系列蚀刻工艺,其中,对环形等离子体源不施加功率,只对晶片底座施加3kW的射频偏压功率。电磁线圈电流按4个步骤增加:(a)0安培、(b)4安培、(c)6安培和(d)10安培。在下述步骤中观测到的蚀刻速率分布为:(a)中心高2.87%的标准偏差;(b)中心高3.27%的标准偏差;(c)中心高2.93%的标准偏差和(d)中心高大约4%的标准偏差。所以,发现施加在电磁组4430的较高D.C.电流对均匀性只有小的改善。然后,在除了施加在每个垂直相交的管150-1、150-2上的功率为1800kW外,其他条件都类似的情况下,进行一系列蚀刻工艺。电磁线圈电流按6个步骤增加:(a)0安培、(b)2安培、(c)3安培、(d)4安培、(e)5安培和(f)6安培。蚀刻速率分布分别为:(a)中心低1.2%的标准偏差;(b)中心低1.56%的标准偏差;(c)中心高1.73%的标准偏差和(d)中心高2.2%的标准偏差;(e)中心高2.85%的标准偏差和(d)中心高4.25%的标准偏差。显然,最均匀的分布是在从中心低向中心高过渡的2和3安培之间的范围。使用线圈电流变化很小的更小线圈电流产生等离子体分布的更大变化,所以,再进入环形等离子体流的存在似乎增强了电磁组4430的磁场效应。当等离子体源被激活时,这种增强可以因可能增加的偏压功率而扩大。在没有其存在的情况下,该等离子体的传导性减小,等离子体壳层变得更厚,施加在晶片底座上的偏压射频功率必然受到限制。但环形等离子体源被激活(例如施加在每一个垂直相交的管150-1、150-2上功率为1800kW),等离子体具有更高的传导性,等离子体壳层变薄,能够施加的偏压功率越高。如前所述,D.C.磁场的效应可能依赖D.C.磁场和等离子体壳层电场之间的交互作用,继而依赖于施加在底座上的射频偏压功率。而且,由于前述假设的D.C.磁场和等离子体壳层电场之间的交互作用,再进入环形等离子体流可能被吸引到中心等离子体区,进一步增强该区域的等离子体离子密度。
另一系列在氧化物膜晶片上进行的蚀刻工艺中,对图55A中的端口-端口的间距S的效果进行了探究。在间距S分别为16.5英寸和20.5英寸的反应器中进行同样的蚀刻工艺。每个反应器中,在施加于每个垂直相交的管150-1、150-2的功率为1800W、施加于电磁组4300的电流为0的条件下,具有较小间距的反应器中的蚀刻速率比具有较大间距的反应器中的蚀刻速率大31%(即,6993∶5332埃/分钟)。
图55-56中的端口-端口的间距S的效果还可以利用另一系列在以光刻胶形成图案的氧化物膜晶片上进行的蚀刻工艺来探究。在施加于电磁组4300的电流为3.7安培的情况下,使用较小源极(16.5英寸)间距S与使用较大源极(20.5英寸)间距S的蚀刻速率比值为10450∶7858埃/分钟。在具有较大间距(20.2英寸)S的反应器中,对增加功率的效果作了探究。在施加于每个垂直相交的管150-1、150-2的源功率为1800W,然后在2700W的特定条件下,在该反应室中进行同样的蚀刻工艺。蚀刻速率成比例地发生了很小的增加,即从7858埃/分钟增加到8520埃/分钟。所以,端口-端口的间距S对等离子体离子密度和蚀刻速率的影响效果不容易通过改变离子源功率来补偿。这显示出象图65A、65B和66所示的情况的重要性,尽管端口-端口的间距S较短,但实际上却延长了等离子体流在被分离器5440分离后被允许对称的距离。
极靴4440已经被揭示为或者是永久磁铁,或者是被线圈4450环绕的电磁芯。但是,该极靴4440可以去除,只保留线圈4450作为空气线圈电感器,产生和极靴4440所产生的方向类似的磁场。空气线圈电感器4450可以象这样代替极靴4440。所以,总之,要求产生必需的径向磁场的限定为长柱形的部件可以是极靴4440,或者是没有极靴的空气线圈电感器,或者可以是两者的结合体。限定为柱形的部件的直径较窄,可适当限制径向磁场的峰值。
等离子体浸没离子植入
参照图79,与本发明的一个外观一致的等离子体浸没离子植入反应器包括具有一个支承在环形侧壁8020上的室顶的真空室8010。晶片支承底座8025支承一个半导体(例如硅)晶片或工件8030。真空室8035和限定在底座8025和侧壁8020之间的泵吸环面相连接。蝶形阀8037调控进入泵8035入口的气流并控制室压。气体供应源8045通过包括图中显示的注入口8048在内的气体注入口系统向室8010供应含有掺杂的工艺气体。例如,如果晶片8030是其一部分要被植入p型传导率掺杂的晶硅片,那么气体供应源8045可以供应BF3和/或B2H6气体到室8010中,其中硼是掺杂类物质。通常含掺杂的气体是包括掺杂的化合物,例如硼(在硅上的p型传导率掺杂)或磷(在硅上的n型传导率掺杂)和易挥发类物质例如氟和/氢。所以,氟和/或硼、磷的氢化物或者其他掺杂类物质例如砷、锑等,可以是掺杂气体。在含有掺杂的氟化物和/氢化物气体例如BF3的等离子体中,分布有各种不同离子类物质,例如BF2+,BF+,B+,F+,F-和其他物质(例如惰性添加物)。所有各类物质可以加速通过壳层,并植入晶片表面。在以非常高的能量与晶片碰撞时,掺杂原子(例如硼或磷原子)典型地从易挥发类原子(例如氟或氢原子)中分离出来。尽管掺杂离子和易挥发类离子都加速冲向晶片表面,但是一部分易挥发类原子往往会在离子植入工艺之后的退火工艺中,离开晶片,留下掺杂原子被植入到晶片中。
等离子体是由室内8010的含掺杂的气体,通过包括经由阻抗匹配电路8060和射频等离子体源功率发生器8055连接的室顶上方的线圈天线8050在内的电感射频功率施加器而产生。通过经由阻抗匹配电路8060和晶片支承底座8025连接的射频等离子体偏压功率发生器8065将射频偏压施加在晶片8030上。第二射频等离子体源功率发生器8057通过阻抗匹配电路8062,可以独立驱动径向外部的线圈天线8052。
晶片8030上的射频偏压使得来自等离子体的离子加速穿过等离子体壳层,进入晶片表面,在那儿它们通常被射入晶片晶体结构的间隙位置。离子能量、离子质量、离子流密度和总量可以足以使晶片结构变形(或毁坏)。掺杂(例如硼)离子在晶片表面和表面结构的聚集和动能决定了掺杂离子在晶片表面下方的深度。这由施加在晶片支承底座8025上的射频偏压控制。离子植入工艺完成后,要对晶片进行退火工艺,以使得植入的掺杂原子进入晶片晶体上的置换原子位置。如果在等离子体浸没植入工艺之前,基板表面被预非晶化或者等离子体浸没植入工艺中的离子能量、离子质量、离子流密度和总量足以使得晶片的结构非晶化,那么该基板表面可以不是晶体。在这样的一种情况下,退火工艺会使得不定形(被毁坏)的层,通过植入原子的结合和活化而再结晶。
随后的退火工艺完成后,半导体植入区的传导率由活化的掺杂物的结深和体积密度决定。例如,如果p型传导率掺杂例如硼被植入之前已被掺入n型掺杂的硅晶体中,然后沿着新植入的p型传导率区的边界形成p-n结合,该p-n结合的深度(结深)为退火后p型掺杂的活化植入深度。结深由晶片上的偏压(和退火工艺)决定,其中该偏压由射频等离子体偏压功率发生器8065控制。植入区的掺杂含量由植入过程中晶片表面的掺杂离子流(“总量”)和离子流持续时间决定。掺杂离子流由电感射频功率施加器8050发射出的射频功率决定,其中电感射频功率施加器8050受射频等离子体源功率发生器8055的控制。这种安排能使植入时间、植入区的传导率和结深被独立控制。在传导率和结深满足目标值时,通常选择控制参数例如射频等离子体偏压功率发生器8065的功率输出来使植入时间最小化。对于离子能量的更直接控制,偏压发生器可以把“电压”而不是“功率”作为它的输出控制变量。
电感射频等离子体源功率施加器8050的优点是,离子流(掺杂剂量速度)可以通过增加射频源功率发生器8055的功率值来予以增加。当电感射频等离子体源功率被增加,从而增加离子流(掺杂剂量速度),而没有显著增加等离子电压时,偏压值被射频源功率发生器控制在一个预先选定的大小(被选择用于达到想要的植入深度)上。这种特征使得因反应室的溅射或蚀刻引起的污染最小化。它还进一步减小反应室内因等离子体溅射而随时间推移发生磨损的耗材部分的消耗。因为等离子体电压不一定随着离子流增加,所以最小植入能量不受限制(增加),从而允许用户选择比其它可能的结深更浅的结深。相反,可以回顾一下,因为微波ECR等离子体源的特点在于具有较高的最小等离子体电压,所以会限制最小植入能量和限制最小结深。
晶片上施加射频偏压功率(替代D.C.偏压)的优点是,如果射频偏压频率选择合适的话,离子植入更有效(所以传导率更高),如图80A、80B和80C所示。图80A显示常规做法中在晶片上施加的1毫秒D.C.脉冲,而图80B显示晶片表面得到的离子能量。图80A中的D.C.脉冲电压处在目标偏压附近,该目标偏压下退火时离子在所想要的结深位置成为置换离子。图80B表示与图80A中的脉冲相对应的离子能量,因晶片表面的抗电容效应,而逐渐衰减的情况。结果,图80A的1毫秒的D.C.脉冲中只有大约第一微秒(或更少)是真正有用的,因为只有脉冲的这1微秒部分产生的离子能量,能够植入在所想要的结深位置成为置换(退火过程中)离子。
D.C.脉冲的初始周期(1毫秒)可归因于RC时间。在D.C.脉冲的剩下部分的期间,离子不能获得有效能量来到达所想要的结深或在退火时成为置换离子,并且不能穿透晶片表面,导致聚集在阻挡进一步植入的沉积膜上。通过增加脉冲电压不能解决这个问题,因为这会产生大量比所想要的结深植入的更深的离子。所以,离子只在大约前10%的时间里植入到所想要的结深。这增加了在所想要的结深达到目标植入密度的所需时间。得到的能量范围还减小了结合的陡度。相反,在图80C中的1MHz射频偏压的1毫秒脉冲里,每一个射频周期有一个不超过图80B中所谓的RC时间的射频周期时间。结果,与脉冲D.C.偏压对抗的抗电容效应通常被足够频率的射频偏压所避免。所以,离子在图80C的1MHz射频偏压的更大的百分比时间里,被植入到所想要的结深。这减小了在所想要的结深达到目标植入密度的所需时间。因此,在晶片上使用射频偏压,根据所选择的射频频率,比使用D.C.脉冲电压,产生更大的效率和生产率。
所选择的射频偏压频率要满足以下标准:射频偏压频率必须足够高,使得穿过底座(阴极)绝缘层的电压下降可以忽略不计,对晶片正面和背面的介电薄膜的敏感性减到最小,并且对室壁面的条件或等离子体副产品的沉积的敏感性减到最小。而且,该频率必须足够高,使得其周期在抗电容(RC)效应减少离子能量到目标能量以下超过2%之前,不会显著超出初始周期(例如1毫秒),如上面直接所述。此外,射频偏压频率必须足够高,从而穿过绝缘电容,例如晶片表面的膜、晶片支承底座的绝缘层、室壁的膜或者室壁的沉积膜耦合。(偏压射频耦合于晶片的优点是,这样的耦合不依赖欧姆接触,受存在晶片和支承底座之间的表面条件的改变或变化的影响较小。)但是,射频偏压频率应该足够低,不会产生相当大的等离子壳层震荡(这个任务留给等离子体源功率施加器来完成)。更重要的是,射频偏压功率应该对离子来说足够低,以回应晶片表面上方等离子体壳层电场的震荡。现在参照图81A-81D来阐述对最后这种需求的考虑。
图81A显示了晶片表面的等离子体离子饱和流和施加在晶片上的D.C.偏压的函数关系,在较高电压区离子饱和流最大(斜向上)。图81B显示了图80C中的射频电压的震荡。图80A所示的不对称离子饱和流引起,由图80B中的射频偏压产生的离子能量分布按照相同的方式向较高能量区斜进,如80C所示。离子能量分布主要集中在围绕对应晶片上射频偏压的峰间电压的能量上。但是只要射频偏压频率对离子来说足够低,能跟随等离子体壳层电场的震荡便可如此。这种频率通常是大约100kHz至3MHz的低频,但是依赖于壳层厚度和离子的荷质比。壳层厚度是壳层边缘的等离子体电子密度和壳层电压的函数。参照图81D,这种频率从低频(图81D中F1标记)增加到中频(图81D中F2标记),最后增加到高频例如13MHz(图81D中F3标记),离子跟随等离子体壳层电场震荡的能力被减小,使得能量分布变窄。在图81D中的高频下,离子没有跟随等离子体壳层电场震荡,相反,获得与射频偏压的平均电压对应的能量,即大约峰间射频偏压的一半。
结果,当射频偏压频率增加到高频(用于恒定射频偏压)时,离子能量被减少一半。另外,在中频下,我们发现等离子体行为不稳定,因为它在低频行为(在该行为中,离子具有与峰间射频偏压对应的能量)和高频行为(在该行为中,离子具有与大约峰间射频偏压一半对应的能量)之间会偶尔发生改变。所以,通过将射频偏压频率维持在对离子来说足够低的频率以跟随等离子体壳层电场的震荡,需要满足特定离子植入深度需求的峰间射频偏压,相对于中频(F2)或高频(F3)行为,就被减小到将近一半。这是一个意义重大的优点,因为这种所需的射频偏压的减小(例如减小到二分之一)极大减小了高压造成晶片支承底座弯放电的风险和毁坏晶片上的薄膜结构的风险。这非常重要,因为在本说明书中后面谈到的至少一个特定的等离子体浸没离子植入源中,如果等离子体射频偏压是传统的离子束植入器的加速电压的2倍,那么离子能量与通过传统的离子束植入器获得的离子能量相称。所以,在高频等离子体射频偏压下,离子能量往往是低频下获得的能量的一半,对于特定的离子能量大小,所需的等离子体射频偏压是传统的离子束植入器的加速电压的4倍。因此,在等离子体浸没离子植入反应器中,利用低频射频偏压的优点来避免需要过高的射频偏压,是非常重要的。
所以将射频偏压功率频率限制在10kHz和10MHz的低频率范围内可以获得较佳效果,将射频偏压频率限制在50kHz到5MHz的窄范围内可以获得更佳效果,将射频偏压频率限制在100kHz到3MHz的更窄范围可以获得更佳效果。我们发现最佳效果在大约2MHz上下浮动5%。
射频源功率发生器8055和射频偏压发生器8065都可以分别向电感功率施加器8050和晶片底座8025施加连续射频功率。但是,发生器8055和8065的两个或两个之一可以在由控制器8075控制的触发模式下工作。如果有外部线圈天线8075的话,控制器8075也可以在触发模式下控制发生器8057。现在阐述在一个实施例中不包括外部线圈天线8057的工作情况。每个发生器8055、8065产生的射频信号可以予以脉冲调制,以产生脉冲连续的连续波(CW)射频功率,例如,具有0.5kHz大小的重复频率的1毫秒。射频功率发生器8055、8065中的一个或者两个可以在这种方式下工作。如果两个都同时在这样的触发模式下工作,那么它们可以在下面将要谈到的推拉模式、同步模式、对称模式或者不对称模式下工作。
图82A和82B显示了推拉模式下的当时时域波形,这两个图中显示了各射频发生器8055和8065的射频功率波形,其中两个发生器8055、8065产生的射频能量的脉冲在交替的时间窗期间发生。图82A和82B分别显示了发生器8055、8065的射频功率波形,或反之亦然。
图82C和82D显示了同步模式下的当时时域波形,其中,两个发生器8055和8065产生的射频能量的脉冲同步。但是,它们可以不一定同相,特别是在两个发生器8055和8065产生不同的射频频率的时候。例如,射频等离子体源功率发生器8055可以有大约13MHz的频率,而射频等离子体偏压功率发生器8065可以有大约2MHz的频率。图82C和82D分别表示发生器8055和8065的射频功率波形,或反之亦然。
在前述例中,两个射频发生器8055和8065的脉冲宽度和脉冲重复频率可以至少几乎相同。但是,如果不同的话,两个发生器8055和8065的脉冲之间的时间关系必须予以选择。例如,在图82E和82F所示的当时时域波形中,发生器8055和8065之一产生图82F所示的较短的射频脉冲,而另一个产生如图82E所示的较长的射频脉冲。该例中,两个发生器8055和8065的脉冲对称排列,其中,图82F中的较短脉冲处于82E中的对应的较长脉冲的中间。图82E和82F分别表示发生器8055和8065的射频功率波形,或反之亦然。
在另一例中,图82G和82H所示的当时时域波形中,较短脉冲(图82H)不在对应的较长脉冲(图82G)的中间,所以它们是不对称排列的。具体地说,该例中,图82H中的较短射频脉冲与图82G中的对应的长射频脉冲的后面部分一致。另一种选择是,如图82H所示的虚线中,图82H中的短射频脉冲可以另外与图82G中的对应的长射频脉冲的前面部分一致。图82G和82H分别表示发生器8055和8065的射频功率波形,或反之亦然。
当等离子体源功率(和离子流)增加时,图79中的电感射频源功率施加器8050往往显示出,等离子体中的含氟类物质离解的增加,从而引起植入工艺中晶片半导体膜的过度蚀刻。而这样的蚀刻是不希望看到的。图83A所示的等离子体浸没离子植入反应器,往往可以避免这种问题发生,该等离子体浸没离子植入反应器具有电容源功率施加器,其构成靠绝缘环8017与接地侧壁8020电绝缘的传导(金属)或半导体室顶8015′的一部分。另一种选择是,室顶可以是传导或半导体金属,并覆有绝缘、传导或半导体层。射频等离子体源功率发生器8055通过阻抗匹配电路8060,以电容板方式驱动室顶8015′。与室顶8015′电容耦合的射频功率产生的等离子体壳层经震荡产生等离子体。为增加该等离子体的产生,等离子体射频源功率发生器8055的频率较高,例如,在甚高频率(VHF)范围或30MHz以上。晶片底座8025可以充当室顶8015′的对电极。室顶8015′可以充当施加于晶片底座8025的射频偏压的对电极。另一种选择是,室壁可以充当晶片偏压和室顶偏压中的一个或两个电压的对电极。在一实施例中,含掺杂的气体通过多个气体注入口8048′并穿过室顶8015′被注入。
图83A中的电容耦合等离子体离子浸没注入反应器,享有图79中的电感耦合反应器的优点,因为这两种类型的反应室都能对离子流(通过调节等离子体源功率发生器8055的功率大小)和离子能量或植入深度(通过调节等离子体偏压功率发生器8065的功率大小)进行独立调节。另外,当等离子体源功率或离子流增加时,图83A中的电容耦合等离子体离子浸没反应器显示出,由掺杂气体供应源8045供应的气体中含氟类物质的离解的小量增加,和会另外导致过度蚀刻或沉积问题的反应副产物的小量增加。优点是,离子流可以更随意的增加,而不会引起离子植入工艺中不能接受的蚀刻沉积大小。
等离子体源功率发生器8055的更高频的射频功率控制等离子体密度继而控制晶片表面的离子流,但不会极大影响壳层电压或离子能量。偏压功率发生器8065的更低频的射频功率控制壳层电压继而控制离子植入能量和(结合)深度,不会极大影响离子产生或离子流。等离子源功率发生器的频率越高,加热等离子体壳层的离子所消耗的源功率就越低,这样就有更多的功率通过等离子体壳层的震荡或加热大量等离子体中的电子来产生等离子体离子。射频偏压功率发生器8065的更低频率低于10MHz,而射频等离子体源功率发生器8055的更高频率高于10MHz。最好是,更低频率低于5MHz,而更高频率高于15MHz。低于3MHz的更低频率和高于30MHz或者甚至高于50MHz的较高频率可以获得甚至更好的效果。一些情况下,源功率频率可以高达160MHz或者甚至200MHz以上。源功率发生器8055的高频和偏压功率发生器8065的低频之间的频率间隔越大,两个发生器8055、8065分别控制的等离子体离子植入流和等离子体离子植入能量就越多。
图83B所示的变化中,射频等离子体源功率发生器8055是与晶片底座连接,而不是与室顶8015′连接。这一特征的优点是,室顶8015′的损耗(等离子体溅射或蚀刻引起)速度低于图83A中的反应器室顶,导致磨损较小,并且等离子体中的金属杂质较少。缺点是,两个射频发生器8055、8065彼此间的绝缘不如83A中的反应器,因为它们两个都和同一个电极连接,所以对离子流和离子能量的控制不如83A中的反应器那样独立。
在任一个图83A或83B中的反应器中,控制器8075能以如上参照FIGS.82A-82H所述的方式工作,其中施加在室顶8015′和底座8025的各射频功率波形是推拉模式(图82A and82B)、同步模式(图82C和82D)、对称模式(图82E和82F)或者是不对称模式(图82G和82H)。
图83A和83B显示射频源功率发生器8055能驱动室顶8015’(图83A),侧壁8020和/或晶片支承底座8025与发生器8055射频返回终端连接,或者,另一种选择是,射频源功率发生器8055能驱动晶片支承底座8025,室顶8015’和/或侧壁8020与发生器8055射频返回终端连接。所以,射频源功率发生器连接穿过晶片支承底座8025和侧壁8020或室顶8015’(或两个)。和源功率发生器8055连接的极性可以颠倒,这样发生器就驱动侧壁8020和/或室顶8015’,底座8025和发生器8055的射频返回终端连接。
如上所述,图79中的等离子体浸没离子植入电感耦合反应器具有显著的优点,包括(a)容纳大量离子流/高等离子体离子密度的能力;(b)对离子能量的独立控制;(c)低的最小离子能量(等离子体电压)。图83A中的等离子浸没离子植入电容耦合反应器比图79中的电感耦合反应器具有另外的优点,即当离子流增加时,对工艺气体和反应副产物的离解的可控制能力增强。所以,这两种类型的反应器具有显著的优点,但都不包括所有的优点。
图84所示的等离子体浸没离子植入反应器具有前述的所有优点,包括低的最小离子能量和低的工艺气体离解度。该图84中,图79或83A的电感或电容耦合等离子体源被图1-78所显示的那一类环形等离子体源所代替。在图84的基本结构中,环形等离子体源包括在室顶8015上方的与图1中的管道150对应的再进入中空管8150。图84中的管道8150具有一个密封环绕于室顶8015上第一开口8155的开口端8150a,和另一相对的密封环绕于室顶8015上第二开口8165的开口端8150b。这两个室顶上的开口或端口8155、8160处于晶片支承底座8025相对侧边的上方。虽然图84中显示开口8155、8160在室顶上,但是它们可以另外如图12所示,处于反应室的基板或基底上,或者如图26所示,处于反应室的侧壁上,所以该管道8150可以通过室的上方或下方。来自于射频发生器8055的射频等离子体源功率通过任意的阻抗匹配电路8060和射频等离子体源功率施加器8110,耦合于再进入管。图1-78所示的用于再进入管的不同类型的任意一种源功率施加器,都可以施加于图84中的等离子体浸没离子植入反应器。图84所示的实施例中,射频等离子体源功率施加器8110和图13所示的近似,其中,呈圆环面形的渗透磁芯8115环绕管道8150的环形部分。射频发生器8055通过任意的阻抗匹配电路和绕在磁芯8115上的传导绕组8120连接。穿过绕组8120可以连接一个任意的调谐电容器8122。射频发生器8055可以是调频的,以维持阻抗匹配,所以阻抗匹配电路8060不是必需的。
反应室包括晶片支承底座8025和室顶8015之间的工艺区8140。气体供应源8045通过室顶8015上的气体注入口8048向反应室8140提供掺杂气体。在与源功率施加器8110连接的射频源功率的作用下,等离子体循环(震荡)通过再进入管8150和工艺区8140。和图13所示的反应器一样,再进入管8150由传导材料形成,有一个填塞了绝缘体8154的间隙或环形裂缝8152。当替换晶片8030的半导体晶体结构时,由气体供应源8045供应的掺杂气体含有供体(N型)或受体(P型)掺杂。例如,如果晶片是硅晶体,那么N型掺杂可以是砷或磷,P型掺杂可以是硼。由气体供应源8045供应的掺杂气体是掺杂和至少部分挥发类物质例如氟的化合物。例如,如果P型传导区由离子植入形成,那么掺杂气体可以是硼和氟的化合物,如BF3,或者掺杂气体可以是氢化物,如B2H6。掺磷可以通过使用氟化物如PF3或PF5或者氢化物例如PH3来完成。掺砷可以通过使用氟化物例如AsF5或氢化物例如AsH3来完成。
射频偏压功率发生器提供射频偏压,按前面参照图81D的叙述来选择射频偏压频率。通过限制射频偏压功率频率在10kHz和10MHz的低频范围可以获得优质效果。限制射频偏压功率频率在50kHz至5MHz的更窄范围内,可获得更优质的效果。限制射频偏压功率频率在100kHz至3MHz的甚至更窄的范围内,可获得最佳效果。我们发现在大约2MHz上下浮动5%的频率下可获得最佳效果。
图84所示的反应器中,射频源功率发生器8055和射频偏压功率发生器8065可以分别向电感功率施加器8110和晶片底座8025施加连续射频功率。但是,发生器8055、8065中的一个或两个可以在由控制器8075控制的触发模式下工作。每个发生器8055、8065产生的射频信号可以进行脉冲调制以产生脉冲连续的连续波,例如,具有0.5kHz大小的重复频率的1毫秒。射频功率发生器8055、8065中的一个或者两个可以在这种方式下工作。如果两个都同时在这样的触发模式下工作,那么它们可以在下面将要针对图84中的反应器谈到的推拉模式、同步模式、对称模式或者不对称模式下工作。
图82A和82B显示了推拉模式下的当时时域波形,这两个图中显示了各射频发生器8055和8065的射频功率波形,其中两个发生器8055、8065产生的射频能量的脉冲在交替的时间窗期间发生。图82A和82B分别显示了发生器8055、8065的射频功率波形,或反之亦然。
图82C和82D显示了同步模式下的当时时域波形,其中,两个发生器8055和8065产生的射频能量的脉冲同步。但是,它们可以不一定同相,特别是在两个发生器8055和8065产生不同的射频频率的时候。例如,射频等离子体源功率发生器8055可以有大约13MHz的频率,而射频等离子体偏压功率发生器8065可以有大约2MHz的频率。图82C和82D分别表示发生器8055和8065的射频功率波形,或反之亦然。
在前述例中,两个射频发生器8055和8065的脉冲宽度和脉冲重复频率可以至少几乎相同。但是,如果不同的话,两个发生器8055和8065的脉冲之间的时间关系必须予以选择。例如,在图82E和82F所示的当时时域波形中,发生器8055和8065之一产生图82F所示的较短的射频脉冲,而另一个产生如图82E所示的较长的射频脉冲。该例中,两个发生器8055和8065的脉冲对称排列,其中,图82F中的较短脉冲处于82E中的对应的较长脉冲的中间。图82E和82F分别表示发生器8055和8065的射频功率波形,或反之亦然。
在另一例中,图82G和82H所示的当时时域波形中,较短脉冲(图82H)不在对应的较长脉冲(图82G)的中间,所以它们是不对称排列的。明确一点的是,该例中,图82H中的较短射频脉冲与图82G.中的对应的长射频脉冲的后面部分一致。另一种选择是,如图82H所示的虚线中,图82H中的短射频脉冲可以另外与图82G中的对应的长射频脉冲的前面部分一致。图82G和82H分别表示发生器8055和8065的射频功率波形,或反之亦然。
图84的环形等离子体浸没离子植入反应器能在脉冲D.C.偏压而非射频偏压下工作。这种情况下,偏压功率发生器8065将是D.C.源而不是射频源。所以,在前述82A至82H所示的不同工作模式下,脉冲射频偏压可以换成相同脉冲宽度的脉冲D.C.偏压,只有源功率发生器8055产生射频功率脉冲。
图85显示了对图84中的等离子体浸没离子植入反应器的改进情况,其中,具有和第一再进入管相交的第二再进入管8151,与图44中的反应器近似。等离子体功率从第二射频等离子体源功率发生器8056经由第二任意阻抗匹配电路8061到第二源功率施加器8111,最后耦合于第二管道8151,其中,该第二源功率施加器包括第二渗透磁芯8116和由第二射频源功率发生器8056驱动的第二芯体绕组8121。来自于气体供应源8045的工艺气体可以通过气体分布板(如图44中的气体分布板210)或与室顶8015成一体的喷头注入反应室。但是,图85中的等离子体浸没离子植入反应器通过在室顶或侧壁8020或别的位置上,例如反应室基板,安装少量的和掺杂气体供应源而非喷头连接的工艺气体注射器8048而使得其结构被大大简化。另外,室顶8015和晶片底座8025之间的间隙可以较大(例如2或6英寸),气体分布板被去除,以利于室顶8015上的分离的气体注射器或散布器8048,或者侧壁8020上的气体注射器或散布器8049,因为没有必要在晶片表面附近产生等离子体。气体注射器或散布器8049可以用一个环8049连在侧壁8020上。通常需要的最大植入深度和离子能量越大,需要的室顶和晶片之间的间隙越大。例如,在10千伏(kV)的峰间射频偏压下,对产生穿过宽范围气体物质的最好的等离子体均匀性和等离子体电子密度,4英寸的间隙要优于2英寸的间隙。按照常规理解,术语“散布器”是指一类能从其中发射出广角度的气流分布的气体分布装置。
图86是室顶8015内表面的俯视图,显示了气体注入口8048的排列,其中在室顶8015的中心有一个中心口8048-1,在外径上有四个均匀间隔开的径向外部口8048-2至8048-5。图87显示掺杂气体供应源8045可以作为气体分布板使用。图87中的气体分布板或供应源8045具有分离的气体贮藏器8210-1至8210-11,其中装有不同的含掺杂的气体,包括硼的氟化物、硼的氢化物、磷的氟化物和磷的氢化物。另外,一些气体贮藏器装有用于共同植入(氢和氦)、材料增强(氮)、表面钝化或共同植入(硅、锗或碳的氟化物)的其他气体。此外,中心口8048-1可以和氧气的贮藏器连接,用于光刻胶移除和/或反应室清洁。控制板8220包括控制气体从各贮藏器8210流向气体注入口的控制阀8222。尽管气体多支管8230可以用来分布外部气体注入口8048-2至8048-5当中的所选择的气体,但是气体最好是在注入口处或附近混合。另一种选择是,使用图85中的喷嘴8049或散布器,工艺气体可以从侧壁8020的一个或多个位置注入。图85显示气体注射器8049围绕反应室侧壁8020设置,其向内放射状注入气体。气体可以平行于室顶和/或晶片注入,或者注入时,一些成分朝向室顶和/或晶片。对于一些应用,利用每个充气空间有自己的喷嘴排列的多个单个的气体充气空间,是有利的。这可以允许利用除真空外不会结合的化学作用,或者可以允许有一些气体区域用于中性离子均匀性调整。为这个目的,再次参照图85,和第一套的侧壁注射器8049c连接的第一环体8049a充当第一充气空间,而和第二套分离的侧壁注射器8049d连接的第二环体8049b充当第二空气空间。这两个环体或充气空间8049a、8049b由图87中气体板的分离的各套阀8222来提供。
图88显示了对图85中的等离子体浸没离子植入反应器的改进,其中,中央的电磁组8430安装在室顶8015的中心上方。象图44中的电磁组4430一样,图88中的电磁组8430控制等离子体离子密度均匀度,并包括由可磁化材料例如铁或钢形成的窄长柱形极靴8440和缠绕该极靴8440的绝缘传导线圈8450。磁流控制器8442向线圈8450提供电流。控制器8442控制通过线圈8450的电流,使得通过晶片表面的等离子体离子密度(离子流)的均匀度达到最佳。
图89A和89B分别是加入径向外部电磁组8460的进一步改进后的侧视图和俯视图。外部磁组8460为圆环形,位于室顶8015的圆周边缘附近的环形外部区域,靠近管道8150、8151的一对端口150、160。参照图90A的剖视图,外部电磁组8460包括含多个和电流控制器8442连接的单一导体绕组的线圈8462。为了在工艺区8140内部聚集外部电磁组8460的磁场,有一个环绕线圈8462顶部和侧面,不包括底部的覆盖上方的磁罩8464。该磁罩8464允许线圈8462的磁场向下延伸到室顶下方进入工艺区8140。通过独立控制内外部电磁组8430、8460上的电流,使得晶片表面的离子密度和径向离子流分布的均匀度达到最佳。
为了避免在两个管道8150、8151的端口150、160附近形成甚高等离子体离子浓度,磁性渗透材料(例如铁或钢)的独立板8466设置在靠近各端口150、160的外部磁组8460的下方。每个板8466的周长范围大约等于每个独立端口150、160的宽度。图90A、90B和90C是沿图89B中90-90线的剖面图。板8466和磁罩8464的底边之间的距离可以予以调整以控制和每个独立端口150、160附近工艺区的一部分耦合的磁场量。图90A中,板8466和磁罩8464的底边接触,所以对应端口(150、160)附近的磁场几乎完全限制在由磁罩8464和板8466限定的包围体内。图90B中,板8466略微离开磁罩8464的底边,在中间产生小间隙,该间隙允许少量磁场进入对应端口(150、160)附近的工艺区8140。图90C中,板8466和罩9464之间的间隙较大,该间隙允许较大磁场进入对应端口(150、160)附近的工艺区。
图91显示射频等离子体偏压功率发生器8065可以和晶片支承底座8025连接。电感器8510和可变电容器8520并联在串联电容器8530的一侧和地面之间,串联电容器8530的另一侧和电感器8510连接。偏压功率发生器8065的输出和电感器8510的支线8560连接。选择支线8560的位置和可变电容器8520的电容以提供偏压功率发生器8065和晶片底座8065的等离子体负载之间的阻抗匹配。可变电容器8520可以由系统控制器8525控制以使匹配达到最佳。这种情况下,包括并联的电感器和电容器8510、8520的电路充当阻抗匹配电路。为了跟随工艺中的等离子体负载电阻的变化,可以使用射频功率发生器8065的频率调谐,尽管这可能没有必要。支线8560的位置可以手动选择,或者由系统控制器8525选择,以使匹配达到最佳。另一种选择是,电容器(图中未示)可以连接在支线位置和地面之间或者连接在射频偏压发生器和支线点之间,作为可供选择的匹配线路拓扑结构。这种可选择的电容器可以由系统控制器8525控制以使匹配达到最佳。
选择偏压大小的一个问题是,只能通过典型地需要高功率的高偏压大小来达到巨大的离子能量。高功率是促成离子流(离子密度或剂量率)的因素,能引起太高的剂量率,使得难以控制植入区的传导率。一种控制高功率下的剂量率的方式是,使射频偏压功率脉冲化。但是,控制重复脉冲的脉冲速度和脉冲宽度来获得所需的剂量率和传导率,是困难的。一部分问题是,只有在偏压充分上升(从脉冲或射频脉冲开始)到与所需结深和离子能量对应的阈值电压之后,才能获得在所需结深的离子植入。这个问题的解决办法是避免偏压功率的重复脉冲,另外使用足够持续时间的单脉冲,来实现在所需结深的离子植入和植入区的传导率,如图92中的时域波形所示。可以使用定时器来保证射频脉冲或脉冲持续所需的时间(T定时器)。但是,该定时器必须从壳层电压达到在所需深度开始发生离子植入的阈值电压(V阈值电压)时开始计时。所以,图92表示壳层电压在几个周期后,从起始的偏压功率射频脉冲(T开启)上升到V阈值电压。在那个点上,定时器开始计时,到T定时器期满时,即T关闭,终止射频脉冲。所以,问题是如何确定壳层电压达到V阈值电压的时间,即开始T定时器的时间。
另一个问题是如何确定通过壳层产生V阈值电压的射频功率发生器8065的必需的功率大小。
图93显示的电路,测定出产生所需壳层电压的偏压发生器功率大小,并测定出目标壳层电压达到射频脉冲定时器开启的时间。在下面的叙述中,与所需结深对应的目标偏压已得到确定。另外,植入的阈值电压也已确定,并且阈值电压可以和目标偏压同义。最后,在目标偏压下施加射频偏压功率的持续时间已经确定。射频偏压功率发生器8065由定时器8670控制,该定时器从射频脉冲起始之后的某个时间开始计时,在预定的持续时间结束后终止计时。阈值比较器8672对由峰测器8674测出的晶片底座8025上的峰间电压和所需的阈值电压8676进行比较。只有当定时器8670收到光辐射探测器8678发出的标志等离子体在反应室内被点燃的肯定信号后,才会开启。如果该光辐射探测器8678发出肯定信号,那么比较器8672一测定出峰间电压已达到所需开始值,定时器8670就开始计时。当定时器8670终止计时(预定持续时间结束之后),就关闭了射频功率发生器的输出,从而终止了射频偏压功率的电流脉冲。定时器8670和阈值比较器8672构成定时器控制回路8680。
偏压功率发生器8065的功率大小由电压控制回路8682控制。工艺控制器8684(或者工艺设计器)确定所需的或“目标”峰间偏压。这可以和阈值电压8676同义。减法器8686计算出误差值作为由检测器8674测定出的实际峰偏压和目标偏压之间的差值。比例积分调节器8688将该误差值乘以常数k,并且对该误差值求积分。结果为对偏压功率发生器8065的功率大小的估计校正值,这会使测定出的偏压更接近于目标偏压。这个估计值和电流功率相加,得到估计的功率大小控制,然后施加于偏压功率发生器8065的功率设定输入。这个估计值只有当等离子体被点燃后(即射频脉冲期间)才有效。对于射频脉冲之间的时间,控制射频功率大小以和使目标峰间偏压与估计的偏压功率大小相关联的查找表8690保持一致。该查找表收到来自于工艺控制器8684的目标偏压,输出估计的偏压大小作为回应。一对开关8694、8696通过等离子体点燃光辐射探测器以互补方式被启动。所以,开关8694接收传感器8678的输出,而开关8696接收传感器8678的反相输出。这样在射频脉冲期间,当等离子体在反应室中被点燃,比例积分调节器8688的输出经由开关8694施加于偏压发生器8065的功率设置输入。射频脉冲之间,或者反应室中等离子体没有被点燃,查找表8690的输出经由开关8696施加于偏压功率发生器8065的功率设置输入。查找表8690的输出可以考虑作为总估计值,用作每个射频脉冲开始时,射频偏压功率大小的起始值,而比例积分调节器的输出是建立在实际测量基础上的更为精确的估计值,用作校正射频脉冲期间偏压功率大小。
图89A中的等离子体浸没离子植入反应器中的一个问题是,大多数离子植入工艺的进行必须对室压精细控制。这需要室压相对控制阀8073自其关闭状态起始到一定转速进行渐进的变化。另一方面,包括反应室清洁在内的一些工艺需要非常高的气流速率(例如清洁气体的速率)和伴随的由泵8035控制的高撤离速率。这要求真空控制阀8037具有大区域。
问题是,具有如此大区域的真空控制阀无法提供从其关闭位置进行特定旋转后的压力渐进变化,该特定旋转对于离子植入过程中室压的精细控制非常必要。事实上,在有大面积开口区域与用阀瓣的情况下,当阀瓣从关闭位置开始旋转时,室压发生急速变化,所以将压力细微控制在非常低的压力范围内,这个范围内阀瓣必须几乎关闭,是非常困难的。通过图94、95和96中的真空控制阀可以解决这个问题。该控制阀包括平面壳体9410,壳体上有一环形开口9412。圆盘形的旋转阀瓣9420由安在壳体9410上的铰链支承在环形开口9412内。在其关闭位置,阀瓣9420和平面壳体9410共平面。为了防止等离子体通过阀泄漏,旋转阀瓣9420和壳体9410之间的间隙G很窄,而阀瓣9420和壳体9410的厚度T比间隙G大得多。例如,厚度T和间隙G的比值大约10∶1。这种特征提供了无摩擦操作的优点。为了提供甚低压范围的室压渐进控制(即阀瓣9420几乎在关闭位置时),在限定于开口9412边缘的壳体9410内表面9440上提供了圆锥形孔9430。一些孔9430和另一些孔9430相比,具有不同的轴向位置(沿开口9412的轴)。
在其关闭位置,阀瓣9420事实上不允许气体泄漏,因为孔9430没有暴露在外面。当阀瓣9420开始从其关闭位置(即阀瓣9420和壳体9410共平面的位置)旋转时,至少一些开口9430的小部分开始显露出来,所以允许小量气体流过阀。当阀瓣9420继续旋转,孔9430的更多部分显露出来。另外,它开始显露出其他一些由于不同孔9430的不同轴向位置而在旋转的早期阶段没有显露的孔9430,所以气体从与阀瓣9420的旋转成比例的更多孔9430流过。这样阀瓣9430从完全关闭(共平面)的位置发生的旋转引起气流持续但是相对渐进地通过孔9430,直到阀瓣9420的底部边缘9420a到达壳体9410的顶面9410a。在这个点上,所有的孔9430都完全显露出来,所以通过孔9430的气流达到最大,不再增加。这样当阀瓣9420从其完全关闭的位置旋转到阀瓣的底部边缘9420a和壳体顶面9410a形成一条直线的那个点时,就实现了气流的持续渐进增加(所以很容易实现具有很高精确度的控制)。在阀瓣的旋转范围内,实现了小量的整个室压的细微渐进调节。该9420的进一步旋转产生阀瓣9420的外围和大环形开口9412外围之间的环形间隙,当阀瓣9420继续旋转时,通过该间隙的气流量增加。
在开口内表面9440上的多个孔9430是锥形的半圆孔,其直径朝壳体顶面9410a方向增加。这样该锥形半圆孔9430限定为半圆锥形。但是,也可以使用其它合适的形状,例如半圆柱状。但是,半圆锥形的一个优点是,当旋转进行时,气流随阀瓣旋转的增速可以提高,所以在阀瓣底边9420a通过壳体顶面9410a的过渡点之后,气流速度以相当平稳的方式继续增加。
根据所需的结深,施加在晶片支承底座8025的射频偏压,对于浅结可以较小(例如500伏),对于深结可以较大(例如5000伏)。一些应用中可能需要10,000以上的射频偏压。如此高的电压可能引起晶片支承底座8025内的电荷放电。该放电使反应器内的工艺条件变形。为了使晶片支承底座8025能够经受高达例如10,000的偏压而不会发生放电,晶片支承底座8025内的空间填满具有高击穿电压的绝缘填充材料,例如由C-Lec塑料制品公司生产的产品Rexolite。如图97所示,晶片支承底座8025包括接地铝基板9710、铝静电夹板9720和筒形侧壁9730。绝缘填充材料9735充满侧壁9730和铝静电夹板9720之间的空间。绝缘填充材料9737充满铝静电夹板9720和基板9710之间的空间。负载来自射频发生器8065(图97中未显示)的射频偏压的共轴射频导体9739终止在窄筒形传导中心插头9740内,该插头紧紧插入铝静电夹板9720的匹配传导插座9742内。晶片提升销9744(三个当中的一个)延伸穿过底座8025。
提升销9744通过绝缘填充材料的环绕包裹层9746紧紧固定在静电夹板9720内。容置提升销9744的导向装置9750的空间9748整个处于基板9710之内,使得空间9748内放电的风险达到最小。参照图98,将基板9710和铝静电夹板9720固定在一起的螺栓9754(一些当中的一个),被其暴露部分周围的绝缘层9756、9758完全封装在内,从而去除螺栓9754周围的任何空间。已经发现前述特征能够使晶片支承底座承受10,000伏以上的射频偏压而不会发生放电。
图99显示包括如图79、83A、83B、84、85、88、89A或93中的等离子体浸没离子植入反应器9910的离子植入系统。产生含氢原子团或含氧气体(例如O2)的反应室清洁原子团或气体(例如象NF3的含氟气体或含氟原子团和/或其他清洁气体例如象H2或氢化物的含氢气体)独立源9920和植入反应器9910连接,在反应室清洁操作中使用。图99中的系统还包括后植入退火反应室9930和离子束植入器9940,另外,还包括光学测量室9950。此外,该系统可以包括光刻胶热解室9952,先后用于植入后和退火前进行的光刻胶掩膜的移除。另一种选择是,这可以在使用带氧气的射频等离子体源功率与可选择的偏压功率的等离子体浸没离子植入反应器9910中来实现,和/或通过使用带氧气的独立自我清洁源来实现。
图99的系统还包括一个用于进行晶片清洁的湿清洁室9956。湿清洁室9956使用公知的例如HF(氟化氢)的清洁物质。湿清洁室9956可以对晶片在植入前或植入后进行清洁。用湿清洁室9956进行植入前清洁可以清除在工艺操作过程之间聚集在晶片上的薄层氧化物。用湿清洁室9956进行植入后清洁可以替代光刻胶清除室从晶片清除光刻胶。图99的系统中还可以包括第二台(第三,第四或更多)如在图79、83A、83B、84、85、88、89A或93中所述类型的等离子体浸没离子植入反应器9958。在一个例子中,第一台PIII反应器9910可以被设置成离子植入第一种物质,第二台PIII反应器9958可以被设置成植入第二种物质,因此一台单独的PIII反应器不需要被设置成在每一个晶片上植入两种物质。而且,对于对立传导类型的半导体(例如硼和磷),第一和第二种物质可能是掺杂质,在这种情况下,第二台PIII反应器9958可以替代束植入工具9940。或者,除了P型掺杂质(硼),也可以植入两种N型掺杂质(磷和砷),例如其中硼植入在第一台PIII反应器9910中进行,砷植入在离子束工具9940中进行,磷植入在第二台PIII反应器9958中进行。在另一个例子中,两台(或者更多)PIII反应器可以设置成植入相同的物质以提高系统的生产能力。
一台晶片转移机械手9945在等离子体离子植入反应器9910、退火室9930、离子束植入器9940、光刻胶热解室9952、光测量室9950、湿清洁室9956和第二PIII反应器9958之间转移晶片。如果图99的全部系统是在一台单独的设备或框架上,机械手9945是设备的一部分并固定在同一框架上。然而,如果图99的系统的一些组成部分在一个工厂的单独地方的单独设备上,那么机械手9945由在每一台设备或框架里的单独的机械手和在工厂里的不同设备间运输晶片工厂接口,以公知的方式组成。所以,图99的系统的一些或全部的组成部分可以在一台单独的设备上并有它自己的晶片机械手9945。作为选择,图99的系统的一些或全部的组成部分可以在各自的设备上,在这种情况下晶片机械手9945包括工厂接口。
工艺控制器8075可以接受来自光学测量室9950的前一个植入晶片的测量结果,并在等离子体植入反应器中为后来的晶片调整植入工艺。工艺控制器8075可以使用建立的数据挖掘技术对工艺进行校正和控制。由于包括了粒子束植入器9940,系统便可执行制造半导体所需要的离子植入的全部步骤,包括通过等离子体植入反应器9910植入轻元素(例如硼或磷)和离子束植入器9940植入重元素(例如砷)。图99的系统可以简化。例如,第一种简化只由室清洁基源9920,PIII反应器9910和工艺控制器8075组成。第二种简化包括前述第一种简化的元素,再加上光学测量设备9950。第三种简化包括前述第二种简化的元素,再加上离子束植入器9940和/或第二PIII反应器9958。第四种简化包括前述第三种简化的元素,再加上退火室9930。
环形源的离子植入性能
图85的等离子体浸没离子植入反应器(PIII)体现了迄今为止在单独的反应器中没有发现的优点。特别是图85的PIII反应器具有最低的离子植入能(因为它具有低的等离子体电压),低污染性(因为再流通的等离子体一般无需与室表面相合来提供地回路),对不需要的蚀刻的良好控制(因为它显示低氟离解率)和对离子植入流的良好控制(因为它对源功率显示了几乎线性的等离子体电子密度反应)。
图100显示了对离子植入流的良好控制的好处,其中电子密度显示为图85的环形源PIII反应器和图79所显示的类型的电感耦合PIII反应器的源功率大小的函数。电子密度是等离子体离子密度的指示器,因此也是离子植入流或对晶片的植入剂量的指示器。图79的PIII反应器的电感耦合源对施加的源功率具有高的非线性电子密度反应,在阈值功率大小处,PICP,电子密度突然升高,在其之下斜率(反应)可忽略,在其之上斜率(反应)是如此陡,以至于电子密度(因此也包括离子植入流或剂量)几乎不可能被控制在任何精细的程度内。相反,图85的环形源PIII反应器对阈值功率大小PTH之上的源功率值具有大体线性和渐进的电子密度反应,因此离子植入流(剂量)甚至在很高的源功率值处也可以容易地被精确控制。应该注意到图85的环形源PIII反应器的等离子体源功率水平是与各自的再进入导管8150,8151耦合的两个不同的源功率发生器8055,8056的函数。源功率的频率可以是大约13.56MHz,尽管两个源功率发生器8055,8056每一个的频率都各自偏离这个频率+100kHz和-100kHz,因此由源8110和8111建立的两个环形等离子体流路径通过相互失谐200kHz而相互去耦合。然而,它们的功率大体相同。操作频率不限于此处所述的情况,两个射频源功率发生器8055,8056可以选择另外的射频和频率偏差。
图85的PIII反应器低氟离解率优点在阻止当使用含氟的掺杂气体,例如BF3时所出现的不需要的蚀刻时是很重要的。问题是如果BF3等离子体副产品离解成单一氟化合物,包括游离氟,蚀刻速率会增加到失控的程度。这一问题在图85的PIII反应器中通过限制甚至是在高功率等级和高等离子体密度时的氟的离解率得到解决。这一优点显示在图101中,其中游离氟的密度(氟离解率的指示器),为了对照显示为图85的PIII反应器和图79的电感耦合反应器的源功率的函数。图79的电感耦合反应器显示在一个特定的功率大小PDIS之上时游离氟的密度会突然上升,离解率也会以很高的变化速度上升,因此难以控制。相比之下,图85的PIII反应器在阈值源功率PTH之上大体显示线性,游离氟密度的上升几乎可以忽略(非常缓慢)。因此,在图85的环形源PIII反应器中在用含氟的掺杂气体离子植入时很少有不需要的蚀刻发生。如果晶片的温度保持在低温,例如100℃以下,更佳的温度是60℃以下,最佳的温度是20℃以下,蚀刻还会进一步最小化。为这了达到一目的,晶片支承底座8025可以是用热控制冷却装置8025a和/或控制半导体晶片和固定在支承底座8025所支持的晶片上表面的工件的温度的加热装置8025b来保持和释放晶片静电的静电夹盘。一些小的残留蚀刻(例如通过图85的环形源PIII反应器实现)是可以接受的,实际上可以阻止在离子植入过程中在晶片上沉积不需要的膜。在离子植入过程中,一些等离子体副产品可以会作为膜沉积在晶片上。当植入工艺是在低离子能量(低偏压)的情况,特别是掺杂气体是由掺杂质的氢化物(例如硼或磷的氢化物)组成的情况下进行时更加明显。为了进一步减少因掺杂氢化物(例如B2H6,PH3)而出现的不需要的沉积,工艺一方面是向掺杂气体中加入氢和/或氦以消除在晶片表面的沉积。然而,就像图85的环形源PIII反应器展示的那样,所需要的蚀刻速率相比这一不需要的沉积是很慢的。
低最低离子植入能量的优点是提高了图85的PIII反应器所能达到的结深的范围(通过减小这一范围的低限)。这一优点显示在图102的曲线中,其中等离子体电压为了比较而显示为图85的环形PIII反应器和图83A的电容耦合PIII反应器的等离子体源功率的函数。由于等离子体电场在晶片上缺少任何偏压,等离子体电压就是晶片表面的离子电压,因此也是离子能沉积的最小能量的指示器。图102显示当图83A的电容耦合PIII反应器的源功率上升时等离子体电压可以无限上升,因此在高等离子体密度或高离子植入流时,在此反应器中的最低植入能量大大增加(植入能量/深度的范围减小了)。相反,在阈值功率PTH之上,当源功率上升时图85的环形PIII反应器的等离子体电压会逐渐(几乎觉察不到地)上升,因此甚至在高等离子体源功率或高离子密度(高离子植入流)时等离子体电压也很低。因为甚至在高离子流等级时最低能量依然很低,所以图85的PIII反应器的等离子体离子能量(离子植入深度)的范围要大的多。
图83A的电容耦合PIII反应器的等离子体电压可以通过增加源功率的频率而减少。然而,当结深和相应的离子能量减少时这变得更困难。例如,为了达到低于500eV(对应0.5kV的硼植入能量)的等离子体电压,源功率频率需要升高至完全进入甚高频范围,并可能在甚高频之上。相反,图85的环形源PIII反应器的源功率频率可以在提供低等离子体电压的同时能够保持在高频范围(例如13MHz)。
图85的环形源PIII反应器超过图83A的电容耦合PIII反应器的更大优点是环形源PIII反应器具有更薄的等离子体层壳,其中可以成比例地减少离子非弹性碰撞的出现而使离子植入能量的分布不均。在薄的壳层里几乎没有碰撞。相反,图83A的电容耦合PIII反应器通过高频或甚高频射频源在壳层产生等离子体离子,这会产生更厚的壳层。这一更厚的壳层产生多得多的碰撞,使离子能量的分布产生明显的不均。结果是离子植入的结点截面远没有那么突然。这一问题在通过更厚的壳层里的碰撞产生的能量不均占总离子能量的更大部分的更低离子能量(浅植入结点)的情况下更加尖锐。图85的环形源PIII反应器因此对离子植入能量的控制更精确,能够产生更具陡度的植入结点,特别是对于更先进(尺寸更小)技术所需要的更浅的结点。
图85的环形源PIII反应器相比图83A的电容耦合PIII反应器的一个有关的优点是可以在低的多的室压下操作。图83A的电容耦合PIII反应器需要更厚的壳层以在便在壳层中产生等离子体离子,这反过来又需要更高的室压(例如10-100mT)。图85的环形源PIII反应器不需要在壳层附近用偏压功率产生等离子体,所以在很多应用操作中壳层最好是薄(几乎无碰撞)的,因此室压可以很低(例如1-3mT)。这使得环形源PIII反应器具有更宽的离子植入工艺窗的优点。然而,要讨论的关于掺杂一个例如多晶硅栅的具有顶平面和垂直侧壁的三维结构,壳层中植入离子的散射速度使离子不但能植入多晶硅栅的顶平面还可以植入其侧壁。这样的工艺可以称为保形性离子植入。保形性离子植入具有使掺杂的栅更具有各向同性和可以减少载流子在栅-薄氧化物界面的损耗的优点,将会在下文讲到。因此,为了使掺杂离子一部分从完全垂直的轨道产生离散,以便离散的部分植入多晶硅栅侧壁,需要某些壳层厚度。(相反,在离子束植入器中,这样的离散不是一个技术特征,以便植入只发生在栅的顶面。)等离子体壳层有限厚度(因此也是有限碰撞横截面)的另一个优点是所有的离子从完全垂直的轨道产生轻微的离散(例如仅仅偏差几度),在某些情况下正如所希望那样可以避免沿着晶片晶体的轴产生沉积,否则将会产生沟槽或者使植入过深或使结点截面不够突然。同样,离子的离散会置掺杂物于多晶硅栅的下面。通过控制多晶硅栅的下面和源极-漏极延伸区域的重叠部分的掺杂物,对优化互补性氧化金属半导体(CMOS)元件的性能很有用,在说明书后文中将会有更详细的说明。
图85的环形源PIII反应器所显示的低污染性主要是由于等离子体不与室表面相互作用的倾向,在一般平行室表面的环形路径中震动和循环,而不是面对室表面。特别是跟随着等离子体流的一对环形路径平行于图85的各再进入管道8150,8151的表面,平行于室顶8015的内表面和晶片支承座8025。相反,等离子体源功率在图83A的电容耦合PIII反应器中产生的电场直接指向室顶和室的侧壁。
图85的环形源PIII反应器里,直接指向室表面的唯一有意义的电场是通过作用于晶片支承座8025的偏压产生的,但是这一电场并不明显产生图85的实施例那样的等离子体。偏压可以是D.C.偏压(D.C.脉冲),在图85的实施例中的偏压是射频电压。射频偏压的频率可以足够低,所以在晶片表面的等离子体壳层不明显参与产生等离子体。从而,图85的环形源PIII反应器中的等离子体生产只产生大体与室内表面平行的等离子体流,因而更不可能与室表面相互作用并产生污染。
通过在进行离子植入工艺之前先在所有的室表面沉积一层钝化层可以进一步减少离子植入工艺的金属污染。钝化层可以是含硅层,例如二氧化硅、氮化硅、硅、碳化硅、氢化硅、氟化硅、硼或磷或砷掺杂硅,硼或磷或砷掺杂碳化硅,硼或磷或砷掺杂氧化硅。作为选择,钝化层可以是氟碳化合物或烃或氢氟碳化合物膜。锗化合物也可用于钝化层。作为选择,钝化层可以是含有掺杂物的层,例如硼、磷、砷、锑这些掺杂物是通过分解掺杂物前驱气体的化合物例如BF3、B2H6、PF3、PF5、PH3、AsF3、AsH3形成的。使用与后来的等离子体浸没植入工艺步骤所使用的气体相近似的源气体或源气体混合物形成钝化层是有利的。(这可以减少由后来的等离子体浸没植入工艺步骤带来的对钝化层的所不需要的蚀刻。)作为选择,将特定气体的氟化物和氢化物结合起来对使得氟和/氢在钝化层的存在,例如BF3+B2H6、PH3+PF3、AsF3+AsH3、SiF4+SiH4或GeF4+GeH4减少到最少是有利的。
图85的环形源PIII反应器的射频偏压频率要足够低以不影响等离子体源功率施加器8110,8111的等离子体生产,其足够低以允许等离子体壳层中的离子跟随壳层的振动,并因此获得最高可与射频偏压功率作用于壳层的全峰间电压相等价的动能,这一电压取决于压力和壳层厚度。这减少了产生特定离子能量或植入深度所需要的射频偏压功率大小。另一方面,射频偏压频率要足够高,以避免穿过晶片支承座8025,室内壁和晶片本身上的介电层的电压的显著降低。这对非常浅的连结离子植入特别重要,其中射频偏压相对地较小,例如对100埃的连结深度室大约150伏。穿过壳层时射频电压在150伏的总电压中降低50伏将是不可接受的,因为这是总壳层电压的三分之一。射频偏压频率要足够高以减小穿过介电层时的电容性电抗,以把穿过这一层时的电压降落限制在低于总射频偏压的10%的水平。频率足够高以满足这一后面的要求,足够低使离子跟随壳层的振动,这一范围是在100kHz到10MHz,更佳的范围是500kHz到5MHz,最佳是2MHz。减小穿过晶片支承座的电容性电压降落的好处是壳层电压可以可以从施加于支承座的电压那里更精确地估测出来。这一电容性电压降落可以是穿过晶片前和后,晶片支承座顶端的介电层,和(在有静电夹盘的情况下)夹盘顶端的介电层的电压降落。
通过图85的环形源PIII反应器产生的离子植入结果比那些用传统的离子束植入器在漂移操作模式得到的结果好,后者比PIII反应器慢的多。查阅图13,曲线A和a表示为掺杂物(硼)在晶片晶体中的体积浓度,作为相同能量0.5keV下硼的深度的函数。(下文将会提到,为了达到与束植入器相同的离子能量,PIII反应器的偏压必须是离子束植入器加速电压的两倍。)尽管PIII反应器(曲线A)比离子束植入器快四倍,植入曲线几乎相同,具有相同的结点的陡度,大约是3纳米(结点深度的变化)每十(掺杂物体积浓度)和结点深度(大约100埃)。曲线B和b比较了PIII反应器的(B)和传统的离子束植入器(b)在相同能量2keV下硼的植入结果,显示在两种情况下结合的陡度和结合深度(大约300埃)相同。曲线C和c比较了PIII反应器的(C)和传统的离子束植入器(c)在相同能量3.5keV下硼的植入结果,显示在两种情况下结合深度(大约500埃)相同。
图103比较了PIII反应器和传统的在漂移操作模式下离子束植入器(其中束电压对应所期望的连结深度)的性能。漂移模式很慢,因为在如此低的束能量下束流很低。这可以通过使用高得多的束电压,然后在其对晶片产生影响之前将能量减至正常值来说明。这一减速工艺是不完全的,因此留下一条能量“污染”的尾巴(图104的A曲线),其可以通过快速的加热退火而减少最后得到具有更大陡度的更好的植入曲线(图104的B)。然而,应用局部融化或接近融化的温度持续很短时间的动力表面退火工艺,可以得到具有更大活性的掺杂物浓度。动力表面退火工艺并不减少能量污染的尾巴,例如图105的曲线C的能量污染的尾巴。相反,图85的环形源PIII反应器不需要减速工艺,因为偏压对应所期望的植入深度,因此没有能量污染的尾巴(图105的曲线B)。因此,PIII反应器可以使用动力表面退火工艺形成很突然的极浅的结点截面,而在减速模式操作的传统离子束植入器则不能。动力表面退火工艺由通过一条或几条激光束扫描晶片表面,加热晶片表面的区域至接近其融化温度(例如50℃到100℃)并持续很短的时间(例如几纳秒到几十毫秒)组成。
图106显示通过动力表面退火工艺能得到多大浓度的掺杂物。图106的A曲线以欧姆每平方显示晶片的电阻率作为使用离子束植入器和在1050℃的晶片的快速热退火的连结深度的函数。掺杂物的浓度达到10E20每立方厘米。图106的B曲线以欧姆每平方显示晶片的电阻率作为使用图85的环形源PIII反应器和植入后在1300℃的动力表面退火工艺的连结深度的函数。动力表面退火后,掺杂物的浓度达到5×1020或快速热退火达到浓度的五倍。图107显示在动力表面退火过程中植入的掺杂物的profile变化有多大。图107的A曲线是退火前掺杂物分布,而107的B曲线是退火后掺杂物分布。动力表面退火工艺使掺杂物的扩散小于10埃,而它不反向影响连结的陡度,其小于3.5纳米/十。动力表面退火工艺最小化掺杂物的扩散倾向有利于形成极浅的结点。为了避免源极-漏极漏电需要(在更高速的元件里源极-漏极通道的长度减小了)更浅的连结。另一方面,更浅的连结需要高得多的活性掺杂物浓度(避免增加阻抗),这可以通过动力表面退火实现。如在本说明书其它部分讨论的,通过用离子(例如硅或锗离子)轰击晶片可以在晶片的半导体晶体中产生晶格缺陷的晶片非晶化步骤,连结深度可以减小。我们通过植入和退火得到了具有高掺杂物浓度具有相应低阻抗(500欧姆每平方),极浅结深(185埃)和很陡峭的陡度(少于4纳米/十)的连结。在某些情况下,无定型和离子轰击的深度可以延伸至掺杂物植入连结深度以下。例如,在图85的PIII反应器中使用SiF4气体和10kV峰间偏压无定型化,形成大约150埃深的无定型层;掺杂物(硼)离子减速穿过1000伏峰间壳层电压的植入深度只有大约100埃。
图108显示环形源PIII反应器(左手纵坐标)的偏压和离子束植入器(右手纵坐标)的束电压为连结深度的函数。事实上,如果PIII反应器的偏压是束电压的两倍,PIII反应器和离子束植入器产生同样的结果。
实施例
PIII反应器的一个主要应用是在半导体晶体中形成PN结。图109和110所示为制造P-通道金属氧化物半导体场效应晶体管(MOSFET)沉积掺杂质的不同阶段。先说图109,半导体(例如硅)晶片的一个区域9960可以掺杂N-型传导率掺杂质,例如砷或磷,图109中区域9960标有n表记是表示其传导类型。一层很薄二氧化硅层9962沉积在晶片表面,包括n-型区域9960。多晶硅栅9964由在PIII反应器中掺杂硼的空白多晶硅层在薄氧化物层9962上形成。在形成栅9964后在PIII反应器中植入p-型掺杂质以形成源极和漏极的延伸9972和9973。介电材料例如二氧化硅和/氮化硅的间隔层9966沿着栅9964的两个垂直的对面9964a,9964b形成。使用图85的PIII反应器和由例如BF3或B2H6组成的工艺气体,硼植入全部n-型区域9960上面。间隔层遮蔽了其下面来自硼的区域,因此如图110所示P-型导体的源极和漏极接触区域9968,9969在栅9964的任一面上形成。这一步骤在有含硼物质,射频偏压能量的范围从2到10kVpp(由图85的射频偏压功率发生器8065控制)的情况下进行。根据图108的例子,图5的PIII反应器中作用于晶片支承座8025的射频偏压功率是所期望的硼能量的两倍。植入在有足够时间和离子流和离子密度(由图85的射频源功率产生器8055、8056控制)的进行,硼的表面浓度超过5×1015个原子每平方厘米。通过遮蔽源极和漏极接触区域9968,9969(例如,通过在上面沉积一层光刻胶)和进行进一步(补充)的硼植入步骤,硼在栅9964的浓度上升到期望的水平1×1016个原子每平方厘米。源极和漏极接触区域9968,9969上的掺杂物浓度没有达到高值(就像栅9964上的)是因为高掺杂物浓度与在每一个接触区域9968,9969上形成金属硅化物(在后面的步骤中)不相容。然而,栅9964上必须达到这一高掺杂物浓度以减少在栅9964和薄二氧化硅层9962之间的界面附近的栅9964的载流子损耗。这种在栅中的载流子损耗会减慢晶体管的开关速度。栅的掺杂截面必须很陡,以便在二氧化硅层9962附近的栅9964上得到高浓度掺杂物,而不会把掺杂物植入下面的薄氧化物层9962或薄氧化物层9962下面的源极-漏极通道。为进一步提高栅的性能和元件的速度,可以采取的另一个措施是通过在薄二氧化硅层9962上植入氮使得(通过退火)在层9962中氮原子取代氧原子,以提高薄二氧化硅层9962的介电常数,这将在本说明书后文中描述。进一步提高栅的性能的措施是保形性植入,其中通过在晶片的表面之上的等离子体壳层中的碰撞而偏离它们的垂直轨道的掺杂物离子可以植入栅9964的垂直侧壁。这会进一步提高薄与氧化物层9962的界面附近的栅9964的掺杂物浓度,并在栅中得到更一致和更具各向同性的掺杂物分布。还可以进一步提高植入砷的N-通道元件的栅的性能的措施是在使用PIII反应器的补充植入步骤中植入磷。砷比磷轻,会更容易地分布在半导体晶体中,在源极接触区域产生较小陡度的结点截面。
源极和漏极接触区域9968,9969的离子植入深度可以在400到800埃的范围内。如果栅9964比这个范围还薄,那么栅9964必须在单独的植入步骤中进行植入以产生较小的植入深度,避免将任何掺杂物植入栅9964下的薄氧化物层9962。为了避免在临近薄氧化物层9962的栅9964的区域的损耗,栅的植入必须尽可能延伸至栅/氧化物界面而不进入薄氧化物层9962。因此栅的植入截面必须具有最可能高的陡度(例如3纳米/十或更少)和更大的剂量(也就是1×1016个原子/平方厘米)。
参阅图110,源极和漏极延伸9972、9973在沉积和形成图109的间隔层之前就已经形成。通过在整个9960区域进行更浅和更轻的硼植入形成延伸层。其特点是源极和漏极延伸的连结深度只有大约100到300埃,植入剂量小于5×1015个原子/平方厘米。因此这一植入步骤对栅9964的植入截面或源极和漏极接触区域9968、9969的影响很小,因此在对源极和漏极延伸9972、9973植入时不需要遮蔽这些区域。然而,如果遮蔽是期望的,必须用光刻胶进行。源极和漏极延伸在相当于硼能量0.5千伏,需要图85中作用于晶片支承座8025的1.0kVpp的射频偏压的条件下植入。
图109和110所示的相同结构是在制造N-通道MOSFET的过程中形成的。然而,9960区域最初掺杂P-型导体例如硼,因此是P-型导体区域。对栅9964和源极和漏极接触区域9968,9969的植入(图109所示)是在粒子束植入器中(而不是PIII反应器)使用P-型导体掺杂物例如砷进行的。此外,将栅9964的掺杂剂浓度提高至1×1016个原子/平方厘米的补充植入是在PIII反应器中使用含磷的工艺气体植入磷(而不是砷)。这一后植入步骤首选磷是因为它比砷的扩散更均一,因此提高了N通道元件中的栅9964的N-型掺杂曲线的质量。植入砷的步骤(同时植入N-通道源极和漏极接触区域9968,9969和N-通道栅9964)的粒子束电压在15-30kV之间,并施加足够的时间使掺杂物的表面浓度超过5×1015个原子/立方厘米。对栅的植入磷的补充植入是在粒子束电压在2-5kV之间,时间足够使在N-通道栅里掺杂物的表面浓度达到1×1016个原子/立方厘米。
包括磷和硼的植入步骤在PIII反应器中进行比在离子束植入器中进行更有利,这是因为这些轻元素的离子能量如此低以至于离子束植入器中的离子流很低,植入时间很长(例如每个晶片半个小时到一个小时)。在PIII反应器里,在13.56MHz(如前述两个环形等离子体流之间偏差200kHz)时源功率可达到800W,每个晶片的植入只需要5至40秒。
图109和110描述的离子植入步骤序列可以修改,因为轻浅源和图110中的漏极延伸植入步骤可以在形成间隔层9966和随后的对接触区域9968,9969和栅9964的重植入之前或之后进行。当延伸植入在间隔层9966形成之后进行时,在延伸植入进行前必须移除间隔层9966。
图111所示为制造互补MOSFETS(CMOS FETs)工艺的一个例子。在第一个步骤(9980框)中,CMOS元件的P-井和N-井区域是在单独的步骤中植入的。然后,在整个晶片上形成空白的薄栅氧化物层和上面的空白多晶硅栅层(图111的9981框)。P-井区域被遮蔽,暴露N-井区域(框9982)。位于N-井区域的部分多晶硅栅层然后在PIII反应器中进行硼植入(框9983)。P-通道栅(图109中的9964)然后进行光刻腐蚀限定和蚀刻,以暴露部分硅晶片(框9984)。图109的源极和漏极延伸9972,9973与栅9964排成一行,然后在PIII反应器中通过硼离子植入形成(框9985)。然后进行所谓的“光环”植入步骤在每一个P-通道栅9964的边缘下植入N-型掺杂物(框9986)。这是通过使用相对晶片表面的垂直方向倾斜30度的离子束植入砷并旋转晶片完成的。
作为选择,这一步骤可以通过在PIII反应器中植入磷来完成,使用有助于大的壳层厚度促进在壳层中使硼离子从垂直轨道偏转的碰撞的室压和偏压。然后,间隔层9986在漏极延伸9972,9973的上方形成(框9987),硼以更高的能量植入以形成深的源极接触区域9969(框9988),导致图110的结构。执行相反的步骤9982,遮蔽N-井区域(也就是说P-通道元件),暴露P-井区域(框9992)。随后的9993至9998步骤对应已经描述的9983至9988步骤执行,除了是在P-井区域而不是在N-井区域进行,掺杂物是砷而不是硼,使用束线离子植入器而不是PIII反应器。对于框9996的N-通道元件“光环”植入(对应上述框9986的P-通道元件光环植入),掺杂物是P-型掺杂物例如硼。在步骤9993至9998的N-通道元件植入的情况下,还需要执行进一步的植入步骤,也就是本说明书讨论过的补充植入步骤(框9999)在多晶硅栅中植入的剂量。在框9999的补充植入步骤中,磷是N-型掺杂质,使用PIII反应器而不是离子束植入器(尽管离子束植入器也可以使用)。
如上文提到的,整个工艺可以翻转使栅9964和源极、漏极接触区域9968、9969在源极、漏极延伸9972,9973之前进行植入。
在所有的离子植入完成以后,晶片要经过一步退火工艺,例如用快速热退火(RTP)的瞬间退火和/或本说明书早先讨论的动力学表面退火(DSA)。这样的退火工艺使大多位于晶格空隙位置的植入掺杂离子移动到原子位置,也就是说取代硅原子最初占据的位置。可以应用多步退火步骤形成p型金属氧化物半导体(pmos)和n型金属氧化物半导体(nmos)元件,这些步骤可以活化和扩散的观点出发,适当插入工艺流程。
前述包括轻元素(例如硼和磷)的离子植入工艺可以用PIII反应器以先前描述的模式进行。例如,选择偏压频率以最大化离子能量而同时提供穿越介电层的低电阻耦合。如何完成在本说明书的前文中有描述。
上述离子植入工艺可以通过其它工艺增强。特别是,为了防止出现沟槽,为了提高退火中更参加取代的植入离子的比例,半导体晶片晶体可以经过通过产生晶体缺陷使晶体部分无定型化的离子轰击工艺。使用的离子应当适合晶片材料,可以在PIII反应器中由一种或几种下列气体产生的等离子体形成:氟化硅、氢化硅、氟化锗、氢化锗、氙、氩或氟化碳(也就是四氟化碳,八氟环丁烷等)或碳氢化合物(也就是甲烷,乙炔等)或碳氢/氟化合物(也就是四氟乙烷,二氟乙烯等)气体。PIII反应器的一个优点是其植入工艺没有质量选择性(不象离子束植入器)。因此在离子植入一种掺杂质例如硼,任何其它元素也可以同时倍植入,不管PIII反应器中的离子质量。因此,不像粒子束植入器,PIII反应器可以在植入掺杂质的同时进行无定型化工艺。这可以用BF3气体(提供掺杂离子)混合SiF4气体(提供无定型化轰击离子物质)实现。这一同时发生的离子植入工艺被称作共植入工艺。这一无定型化工艺也可以在掺杂工艺之后进行。除了无定型化,同时植入的掺杂和非掺杂原子例如氟,锗,碳或其它元素注定要改变硅晶片的化学性质。化学性质的改变可以有助于提高掺杂物活性和减少掺杂物分散。
能在PIII反应器中进行的另一个工艺是表面增强工艺,其中为了在晶体中替代其它元素而植入某种离子。这种表面增强工艺的例子是氮取代。在这一工艺中,用氮原子替换二氧化硅膜中相当高比例的氧原子,薄二氧化硅膜9962的介电常数得到了提高(为了提高元件的速度)。这是在PIII反应器中,通过从含氮的气体例如氨产生等离子体和把氮原子植入二氧化硅膜9962完成的。这一步骤可以在任何时间进行,包括植入掺杂质过程中,之前和之后。如果氮取代工艺至少部分地与与掺杂离子植入步骤同时进行,那么氮取代工艺是共植入工艺。由于PIII反应器的离子植入工艺是非质量选择性的,共植入工艺可以用任何合适的物质进行,其原子量无需与植入的掺杂质的原子量相同或相关。因而,例如掺杂质硼和表面增强物质氮,具有完全不同的原子量,然而它们可以同时在PIII反应器中植入。具有代表性的是氮取代可以在没有掺杂原子植入的情况下进行。
还涉及离子植入的工艺是表面钝化。在这一工艺中,反应室的内表面,包括侧壁和室顶,在引入产品晶片之前用含硅的钝化材料(例如二氧化硅或氮化硅或氢化硅)涂覆。钝化层阻止等离子体溅蚀或与等离子体反应器内的金属表面发生作用。钝化层的沉积是通过在反应器内点燃从含硅气体例如硅烷与氧气的混合物中产生的等离子体实现的。这一钝化步骤与图85的低污染环形源PIII反应器相结合,在离子植入过程中产生极低金属污染的硅晶片,其污染比以离子束植入器获得的为代表的传统产品低100倍。
在离子植入工艺完成的时候,钝化层用合适的蚀刻气体例如NF3清除,这种蚀刻气体可以与合适的离子轰击气体源例如氩,氧或氢组合。在这一清洁步骤中,室表面可以倍加热至60℃或更高以增强清洁工艺。在下一个离子植入步骤之前沉积新的钝化层。
做为选择,在植入序列的晶片之前可以沉积一层新的钝化层,在序列工艺之后,可以用清洁气体清除钝化层和其它沉积。
图112是显示前述离子植入相关工艺与图111的掺杂植入工艺的组合的不同选择的流程图。第一步是清洁反应室,清除污染物或先前沉积的钝化层(图112的框9001)。其次,在引入要处理的晶片之前在室的内表面沉积一层例如二氧化硅钝化层(框9002)。再次,晶片被引入PIII反应器,可能要经过清洁或蚀刻工艺去除自从晶片最后被处理的短暂时间间隔内在暴露的半导体表面聚集的薄氧化层(框9003)。例如通过用硅离子来离子轰击晶片暴露的表面以进行植入前晶片的无定型化工艺(框9004)。通过植入一种物质比如把氮植入二氧化硅膜来进行植入前表面的增强工艺(框9005)。然后进行掺杂沉积工艺(框9006)。这一步骤是植入硼或磷的步骤中的单独步骤,显示在图111的大工艺流程图中。在框9006的掺杂植入工艺中,除了掺杂离子之外的其它离子可以在共植入工艺(框9007)中同时被植入。这样的共植入工艺(框9007)可以是无定型化工艺,防止等离子体副产品在晶片表面聚集,增强掺杂物活性,减少掺杂物分散的轻蚀刻工艺或表面增强工艺。在掺杂离子植入工艺(9006)和任何共植入工艺(9007)完成以后,可以进行各种后植入工艺。这些后植入工艺可以包括表面增强工艺(框9008)。所有的植入步骤一完成(包括框9008的步骤),在移除晶片上的框9009的在先的晶片清洁步骤的光刻胶掩膜层后,可以进行植入退火工艺。这一退火工艺可以是动力学表面退火其中一束激光(或几束激光)扫描过晶片表面并局部加热表面至接近融化温度(大约1300℃)或融化温度,每一处局部区域加热极其短的时间(例如几纳秒至几十微秒)。在框9112的退火步骤之后进行其它的后植入工艺,包括晶片清洁工艺(框9009)以去除在离子植入工艺中等离子体副产品沉积的层,在晶片上沉积一层临时钝化涂层以稳定晶片表面(框9010)和室清洁工艺(框9011),在晶片从PIII反应器的反应室移走后,从室内表面移除先前沉积的钝化层。
低温CVD工艺
低温化学气相沉积工艺使用例如图17A所示类型的环形源反应器,其中点燃和维持等离子体的最低等离子体源功率极低(例如100W)。结果,等离子体离子密度足够低使晶片的等离子体加热最小化,因此允许晶片在等离子体CVD工艺中保持在很低的温度(例如100℃以下)。同时,等离子体离子密度与晶片偏压相结合足够高以提供足够的等离子体离子能量使CVD沉积化学反应成为可能(连结等离子体离子物质和工件表面)。这消除了任何加热工件以提供化学反应所需要的能量的要求。因而,在整个等离子体CVD工艺中晶片可以保持很低的温度(例如100℃以下)。
另外,室压被减至适度的水平(例如大约15mTorr),这足够低以避免极高的CVD层沉积速度否则需要高温(例如400℃)来避免有缺陷的(例如薄而易剥落的)CVD层。而且,低室压避免过多的离子再结合,否则会使等离子体离子密度降至不用加热工件就可维持CVD化学反应的必需的等离子体离子密度之下。在工艺区域保持适度的等离子体离子密度避免了任何加热晶片的需要,因此高质量的CVD膜可以在很低的温度(低于100℃)沉积,不像PECVD反应器。等离子体密度不是很高和等离子体源功率不需要很高的事实,可以防止所不期望的对晶片的等离子体加热(因此其温度可以保持在100℃之下)不像HDPCVD反应器。
CVD反应能在环形源反应器中在很低的源功率水平进行的事实,如果需要,意味着一个源功率能从最低水平到最高水平(例如1000W)变化的,而晶片的等离子体加热在相对低的室压下仍旧最小的大窗口。这一窗口足够大使CVD沉积层的保形性在非保形性(0.1保形率)和保形性(>0.5保形率)之间变化。同时,CVD沉积层的应力水平可以通过在对应沉积层的拉应力的低水平(例如500W)和对应沉积层的压应力的高水平(例如3kW)之间的应用于晶片的等离子体偏压功率的变化而变化。结果,每一层等离子体CVD沉积层的保形性和应力通过各自调整源和功率水平可以独立调整,对不同的层或者是保形性的或非保形性的,具有拉应力或者压应力。非保形性膜用于填充深槽和产生光刻胶上的可移动层。保形性膜用于蚀刻阻止层和钝化层。具有压应力的层提高临近P-通道MOSFETs或下面的载流子迁移率。而具有拉应力的层提高临近N-通道MOSFETs或下面的载流子迁移率。
图17A的环形源等离子体反应器的低的最小等离子体源功率和当源功率增加时反应器提供的高度可控的等离子体离子密度是从环形源等离子体反应器的独特的反应器结构得处的。等离子体源功率作用于室外的区域(远离晶片),作用于再进入的外部管道,通过这一管道环形射频等离子体流进行循环,因此晶片远离等离子体离子的产生区域。这一特征使相比HDPCVD等离子体反应器,在晶片表面的等离子体离子的密度高度可控,不会随着等离子体源功率过分增加。而且,射频源功率施加器在外部在进入管道里对工艺气体的高效耦合使点燃等离子体的最小等离子体源功率比传统反应器(例如HDPCVD反应器)小的多。
低温CVD工艺解决了为(例如)65纳米的元件提供等离子体CVD工艺的问题,元件温度不能相当长时间超过100-200℃而不会破坏元件结构。它还允许在光刻胶层上进行等离子体沉积而不会破坏或摧毁下面的光刻胶。这可能开发下面描述的全新的工艺,其特别适合纳米尺寸的设计规则,能在不影响元件上的光刻胶掩膜情况下进行。
后CVD离子植入工艺可以在与用于进行低温CVD工艺相同的环形源反应器中进行。后CVD离子植入工艺包括的工艺有:增强无定型或多晶硅CVD沉积层同其基层的粘结,提高CVD层中一种物质的比例至超出化学计量比例,植入CVD层与等离子体CVD工艺不相容的物质或植入CVD层中会改变层的特殊的材料性质如介电常数或应力的物质。
低温等离子体CVD工艺用于形成硅膜、氮化硅膜、硅-氢膜、硅-氮-氢膜和含有氧或氟的各种前述的膜。除了在很低的温度下进行CVD工艺,膜显示优良的性能,无开裂,剥落等。为了应用于CMOS元件,具有压应力和拉应力的钝化层分别沉积在P和N-通道元件上,使用高非保形性的层使选择性蚀刻,光刻胶掩蔽和移除成为可能,具有零(中性)应力的蚀刻阻止层可以在具有高度保形性的情况下沉积在所有的元件上。
图113所示为使用图1的环形反应器的低温等离子体CVD工艺。第一步(图113的框6105),是供选择的,是用钝化层涂覆室的内表面以阻止或最小化对晶片的金属污染。钝化层可以是例如与要沉积的CVD膜由相同的材料组成(例如含有硅和氮的材料)。室内表面的钝化涂覆是通过引入合适的工艺气体混合物(例如硅烷和氮气如果要沉积氮化硅膜),并应用等离子体源功率来产生环形射频等离子体流来进行,如上文实施例的描述。这一步骤进行直至室的内表面沉积有合适厚度的钝化材料。然后,产品工件或半导体晶片被放置在晶片支承座上(图113的框6107)。引入包含硅和其它物质例如氢,氮或氧的工艺气体(框6109)。室压保持在低或适度的水平,例如从大约10到大约50mTorr(图113的框6111)。一股再进入环形等离子体流在环形源反应器中产生(框6113)。环形等离子体流是通过应用低到适度量的(例如100W到1kW)由射频发生器180产生并作用于源功率施加器图17A的170,1015的射频等离子体源功率产生的(图113的框6113-1),应用0到5kW之间的由射频发生器162产生、作用于晶片支承座115的射频偏压等离子体偏压(图113的框6113-2)。源功率最好在高频10MHz级(例如13.56MHz),足以产生等离子体离子。偏压功率最好在几MHz级的低频率,对于给定数量的偏压功率可以有效的产生相对大的等离子体壳层电压。
通过射频发生器180传送的源功率的大小调整至通过化学气相沉积在晶片上沉积出所期望的保形性的膜。通过射频发生器162传送的偏压功率的大小调整至沉积的膜具有期望的应力,压应力或拉应力(图113的框6115)。
前述工艺进行直至达到期望的沉积膜厚度。其后,可以进行某些可选择的后CVD离子植入工艺(图113的框6119)。这些涉及图117的后CVD离子植入工艺将会在本说明书的下文有描述。
图114A是关于沉积层的保形率(竖轴)作为应用的射频源功率(横轴)的函数关系的图。如图114B所示,在基层或基板6123(定义一个界面6122)上通过CVD工艺沉积的层6121的保形率是C/D的比,C是层6121(沉积在基层6123的一个垂直面6123a上)的一个垂直剖面6121a的厚度,D是层6121(沉积在基层6123的一个水平面6123b上)的一个水平剖面6121b的厚度。保形率超过0.5表示高保形性CVD沉积膜。保形率大约0.5表示非保形性CVD沉积膜。图114A显示图17A的环形源反应器的宽源功率窗口怎样从非保形性(在大约100W的源功率处)到高保形性(在大约1kW的源功率处)跨越保形率范围。图114A表明同样的环形源反应器可以用于等离子体CVD沉积包含例如硅,氮,氢或氧的组合的保形性和非保形性膜。
图115所示为化学气相沉积的沉积率(竖轴)与所施加的电源功率(横轴)之间的关系。射频电源功率由0瓦上升至100瓦的过程中,在图17A所示的环形电源反应器中没有等离子体产生,沉积率为零。沉积开始于电源功率大约100瓦,约13.56MHz,偏压恒定在5kV、2MHz时,初始的沉积率大约是500埃/分钟(电源功率为100W),最终达到1000埃/分钟(电源功率约为2千瓦)。优点是沉积率足够低,所以能形成高质量的无缺陷化学气相沉积膜,而无须任何象高沉积率时(例如5000埃/分钟)形成的膜那样需要加热或退火来消除缺陷。因此,环形电源反应器的电源功率能在无须加热晶片的情况下,在保形和非保形(也就是200W到2kV)之间的所需要转换的保形率的范围内任意变化,因此晶片能保持在低的工艺温度,也就是说低于100℃。(为了得到高度保形性)提高环形电源反应器的的电源功率而不会导致过高化学气相沉积率的事实可以根据环形电源反应器的结构(例如图17A)得出,环形电源反应器的结构可以避免晶片120上面的工艺区域中离子密度的过分增长。这种过大的离子密度没有出现,部分是因为每一个等离子体电源功率施加器(也就是每一个芯1015环绕一个各自的闭合管150和相应的主绕组170)施加于等离子体电源功率于反应室100之外的闭合管150的一部分,而反应室被侧壁105和室顶110包围,并远离晶片120上面的工艺区域。幸运的是,关于图17A所示的环形等离子体反应器的的等离子体离子密度是很低的,因此其增加也是高度可控的,并伴随着很低的(例如只有100瓦)的最小等离子体引发电源功率,这导致生成整个保形性范围的宽阔的电源功率窗口。等离子体引发的最小电源功率大小是电源功率施加器170,1015和图17A所示的闭合管150在高频例如13.65MHz产生的环形射频等离子体电流的独特组合方式有效作用的结果。
图17A所示的环形等离子体反应器的另一个特征是反应器操作的射频等离子体偏压的的范围很广(从0到10KV),这一特征的一个方面在图116中得到说明:偏压的操作范围(图116的横轴)跨越化学气相沉积膜的应力范围(图116的纵轴),从拉应力(+1GPa)到压应力(-1GPa)。宽幅等离子体偏压操作范围的特征的另一个方面是离子能量可以被调整至与某一特定工艺或应用相适应的事实,例如在图113所示的在与用于进行低温等离子体化学气相沉积工艺的相同的环形电源反应器中用高离子能量(高偏压)在图113所示的后化学气相沉积离子植入工艺中进行等离子体浸没离子植入。这一化学气相沉积离子植入的后处理将会在说明书的后文中予以说明。宽幅的射频等离子体(壳体)偏压通过使用象作为射频偏压电源发电机162的2赫兹的射频电源的一台低频等离子体偏压电源得到。此低频在在晶体120表面的上方转换为与等离子体壳层交叉的高阻抗,并具有更高且成比例的壳层电压。所以相对较小的等离子体偏压功率(5KW)能在晶片表面产生很高的壳层电压(10KV)。这一相对较小的偏压功率减小了晶片120上的热负荷并减小了支持晶片的底座115的热和电磁场负荷。当然,图17A所示的环形电源反应器不需要这样大的壳层电压来引发或维持等离子体,偏压功率能减小到5千瓦之下,如果需要的话,可以接近零,而不会使等离子体消失。
图114A所示的(介于高度保形性和非保形性之间的)保形性选择和图116所示的(介于拉应力和压应力之间的)应力选择都通过独立使用图17A所示的环形电源反应器的幅度很宽的电源功率和偏压功率操作窗口进行。因此图17A所示的环形电源反应器中进行图113所示的低温化学气相沉积工艺,不同的膜层通过选择不同应力(拉应力,零或者压应力)和不同保形率(不保形和高度保形)沉积而成。
图117所示为晶片的化学气相沉积离子植入工艺的后处理系列步骤。图117所示每一个步骤可以单独作为化学气相沉积离子植入工艺的后处理步骤,或者与图117所示的其它步骤相结合,在这种情况下执行这些步骤的顺序可能与图117所示的步骤不同。然而,后续的讨论将按照图中显示的顺序描述图117的步骤。离子植入的每一步都可以在与图17A所示的用于进行图113所示的等离子体化学气相沉积工艺的环形等离子体电源反应器相同的反应器中进行。把图17A所示的环形等离子体电源反应器作为等离子体浸没离子植入反应器使用在本说明书中已经有描述。
在图117的6125框中,通过离子植入使低温等离子体化学气相沉积工艺沉积的膜和下面的基层或底层之间的附着或粘接作用得到提高。特别是当沉积层倾向于具有无定型或多晶结构,并/或在组成上与下面的底层不同时,这一步骤特别有用。在这些情况下,CVD沉积层不能复制下面的基层的结构或结晶方式(如果有的话),因此不是真正的晶体取向附生层。这样的沉积层或者是多晶型的或者是无定型的,不会象晶体取向附生层那样与下面的基层产生牢固的结合,这两层的分界面上会出现裂缝。这一弱结合作用也可以归因于那些在基层6123里并在位于分界面6122的硅原子具有饱和键的倾向,而这一倾向无法在沉积层6121中得到满足。这一饱和倾向优于CVD沉积工艺出现是因为在基层6123的表面的硅原子有一些轨道电子面对开放空间,这些未成键电子可以与临近的未成键电子(例如临近的硅原子)共享电子。所以,表面的硅原子倾向于自饱和,因此不与沉积层结合。
为了解决沉积层与基层之间的弱结合问题,图118A-C所示为6125框中为提高结合作用而进行的离子植入步骤的实现方式。先于图113所示的CVD沉降工艺的结晶硅晶片6123的横断面如图118A所示。其晶体结构以简化方式表示在图119A中,其中每一个圆圈代表一个硅原子与四个邻近的硅原子相连结。通过图113所示的低温等离子体CVD工艺所沉积的膜的结构如图118B所示,其中CVD沉积层6121位于基层6123之上。在所举例子中,所沉积的膜是氮化硅。其最终结构以简化方式表示在图119B中,其中大圆圈代表硅原子,小圆圈代表氮原子。在分界面6122之下,沉积层6121和基层6123之间,存在着纯硅晶体,在分界面6122之上是纯氮化硅无定型膜。因此,在材料结构中有一个突然的转变,穿过分界面6122产生次级的结合作用。这一突然的转换表示在图120A的实线图中,其中氮浓度(竖轴)被绘制成深度的函数。在分界面6122的深度处氮的含量立刻由0至50%。
图118C所示为离子植入步骤,其中图118B所示为要经受离子轰击的结构。离子的能量选在使植入的截面(图120B)峰值在分界面6122的深度处。结果是氮和硅原子都穿过分界面6122,最终结果是在分界面6122之上氮原子有净损失,在分界面6122之下氮原子有净增加,净损失和净增加与到分界面6122的距离成比例。另外,基层6123表面6122的硅原子的自饱和键被离子轰击破坏,以便有更多的原子可以结合。图119C所示为最终的材料结构,它表明一些在沉积层6121中的氮原子迁移到了基层6123,在沉积层6121中被从基层6123中迁移过来的硅原子取代。因此分界面分布到了更厚的区域,在穿过分界面的方向上氮的浓度的转变更加光滑(如图120A中的虚曲线所示)。因为混和层的加厚或者转换区域的形成,可以得到更强的结合,原子成键有更大的机会,因此在6121层和6123层之间会有更多的化学建和更强的结合。
在图117的6127框中为后CVD离子植入步骤,其中的在CVD沉积层中的选择物质得到了富集。如果需要的话,可以进行这一富集过程,使所选择物质的含量超过化学计量比。例如,如果CVD沉积层是氮化硅,氮原子可以被植入沉积层6121,以便沉积层中的氮含量得以富集使其化学计量比超过50%。图121所示为图117的6127框的步骤的离子植入截面,其中植入物质的离子流量作为植入深度的函数作为纵轴,植入深度作为横轴。植入步骤的截面或者分布跨越CVD沉积膜6121的厚度。这可以通过进行其截面基本上跨越沉积层厚度(图121的实曲线)的植入步骤来实现。作为选择,通过在狭窄的范围内(对应图121中标有“1”,“2”和“3”的虚线),进行三种植入可以得到相同的结果,它们的深度相抵消以至于累积的植入截面几乎与图121的实线相匹配。
图122A所示为6121层和6123层在进行图117的6127框所示的植入步骤之前的结构,图122B所示为6121层和6123层在进行6127框所示的植入步骤之后的结构。就像前面的例子,6123层的底层或基层实硅,CVD沉积层6121是氮化硅,打圆圈表示硅原子,小原子表示氮原子。图122B表示在沉积的氮化硅层6121之外的氮原子,以至于沉积层6121中氮的含量可以超过50%。
离子注入的富集工艺不限于前述例子中的物质。例如,沉积层可以包含硅、氮、氢和/或氧等的任何组合。基层可以是硅或任何前述物质的组合。
在图117的6129框中,不包括在图113所示的低温CVD工艺中的等离子体CVD工艺气体中的物质,可以在CVD工艺完成后通过离子植入来加入。例如,在某些应用中期望沉积成包括象氧或氟这样的极端活泼的物质的膜。期望的沉积层可能(例如)是由硅,氮和氟组合的陶瓷材料。然而,通过在CVD工艺完结后在CVD沉积层6121中通过离子植入氟原子,使沉积层能包括氟。氟离子植入的截面与图121所示相似,因此氟原子会以相当统一到方式分布在沉积层6121中。
在图117的6131框中对CVD沉积层6121进行离子植入以改变其特性。植入步骤在CVD沉积层中植入选择的物质,例如氮(改变沉积层的介电常数)或者氢(改变CVD沉积层6121的应力)。离子植入的截面与图121所示相同,因此植入的物质会以相当统一到方式分布在沉积层6121中。
6125、6127、6129和6131框中的离子植入步骤可以随意地跟随很短暂的后植入退火步骤(框6133),在此过程中晶片通过很短时间(毫秒或微秒)的加热到提高了的温度,加热的持续时间要足够短以便不违反纳米元件设计规则中的极低热量预算。作为选择,退火的温度可以很低(例如几百摄氏度)。要求是扩散长度小于几个纳米。扩散长度与温度和时间或提高了的温度环境的持续时间的乘积的平方根成比例,并累积所有的工艺步骤。因而,通过在瞬间退火工艺(或动力学表面退火工艺)中把退火时间限制在几毫秒内,扩散长度可以保持在(例如)65nm的设计规则可以容忍的扩散长度以下。
图123A至123H所示是在互补金属氧化物半导体元件(CMOS)上形成由P-通道和N-通道场效应晶体管(FETs)组成的载流子迁移率增强钝化层的低温等离子体CVD工艺步骤序列的结果。这一工艺步骤的序列显示在图124中。这一工艺从其上面形成包括源极,漏极,薄栅极氧化层和栅极,但是缺少上面的钝化层和蚀刻阻止层的CMOS晶体管的晶片开始。低温CVD工艺形成将在下文描述的上面的膜层。
图123A所示为低温等离子体CVD沉积工艺的开始阶段的CMOS结构的要点。CMOS结构形成于晶片或者形成n-通道FET元件的p-型导体的半导体基层6135上。n-型导体的井6137形成于可以形成p-通道FET元件的的基层的不同位置。每一个n-通道元件包括n-型源极和在基层表面与6139深入接触的漏极,n-型源极和在基层表面的漏极的延伸6141,基层表面的薄膜栅氧化物层6143和薄膜栅氧化物层6143上的金属栅6145。环绕n-通道元件的窄隔离槽6147通过从基层6135蚀刻硅形成。每一个n-通道元件在n-型井6137中形成,包括p-型源极和在基层表面与6139’深入接触的漏极,p-型源极和在基层表面的漏极的延伸6141’,基层表面上的薄膜栅氧化物层6143’和薄膜栅氧化物层6143’上的金属栅极6145’。环绕p-通道元件的窄隔离槽6147’通过从基层6135蚀刻硅形成。
图124的第一步是将光致抗蚀剂掩模放在所有的p-通道元件(图124的6151框)。图123B所示为光致抗蚀剂掩模6153在p-通道元件上。下面的步骤是在n-通道元件上沉积具有拉应力的层(或介电层)以提高n-通道的载流子(电子)迁移率。其步骤如下:在环形等离子体源反应器中引入晶片和包含要沉积在膜上的前驱物质的工艺气体。如果膜要包含硅或氮,如果可能的话,还有氢,那么工艺气体可以是硅烷与或者氮或者/和氨,还有可供选择的氢(图124中的框6155)的混合物。环形等离子体源反应器中的射频源功率的大小设置成适合非保形性CVD膜的沉积,和图114A(图124的6157框)的曲线相一致。环形等离子体源反应器中的低频偏压功率的大小设置成适合拉应力层(图124的6159框)的CVD沉积,和图116的曲线相一致。作为施加射频等离子体源功率(框6161)的结果产生射频环形等离子体流,而室压保持在例如15mTorr(框6163)的低或中等水平。射频环形等离子体流一直维持至晶片上沉积有适当厚度的拉应力非保形性层6165(图123C)。拉应力层6165同时沉积在n-通道元件隔离槽6147的上面和内部,在沉积层6165的过程中隔离槽6147可以被完全填充(所以6165层位于槽的上方),部分填充(所以6165层位于槽6147的底部和上方之间),或者全空(所以6165层位于槽6147的基底)。
前述的沉积步骤大体对应图113的工艺,其中晶片的温度保持在低温(低于100℃),所以光致抗蚀层6153没有受到干扰。沉积的膜6151的非保形性使光致抗蚀层6153的垂直侧壁6153a被全部或部分覆盖。这使得光致抗蚀层6153和光致抗蚀层6153上面的层6151的部分通过引入例如象氟的溶剂的光致抗蚀剂移除剂从下一步骤(图124的6167框)中移除。如同图123D所示,最后这一步骤使直接位于n-通道元件上面的层6165的一部分完整无缺,而使p-通道元件暴露出来。
下面的一组步骤在p-通道元件上沉积出一层压应力非保形性层。第一,如图123E所示,光致抗蚀剂掩模6159被放在n-通道元件(图124的6171框)的上方。第二,晶片被放入同样的环形等离子体源反应器中,向反应室中引入前驱气体(图124的6173框)。环形等离子体源反应器中的射频等离子体源功率的大小设置成适合非保形性CVD沉积层(6175框),等离子体偏压功率的大小设置成适合压应力CVD沉积层(6177框)。施加等离子体源功率产生的环形射频等离子体流(6179框)导致在整个晶片上的压应力非保形性层6181的CVD沉积,如图123F所示。压应力层6181同时沉积在p-通道元件隔离槽6147’的上面和内部。在沉积层6181的过程中隔离槽6147’可以被完全填充(所以6181层位于槽的上方),部分填充(所以6181层位于槽6147’的底部和上方之间),或者全空(所以6181层位于槽6147’的基底)。接着光致抗蚀剂掩模6169被移除(图124的6183框),因此n-通道元件和它们上面的涂层6165暴露出来,如图123G所示。
n-通道元件上面的拉应力钝化层6165和填充n-通道隔离槽6147的拉应力沉积提高了n-通道元件的载流子(电子)迁移率。P-通道元件上面的压应力钝化层6181和填充p-通道隔离槽6147’的压应力沉积提高了p-通道元件的载流子(空穴)迁移率。
在这一工艺的另一个工艺路线中,图123A至图123G所描述的步骤(也就是图124中的6151步骤至6183步骤)可以通过在所有元件(P-通道和n-通道)上沉积拉应力层6165(无光刻胶),省略图124中的光刻胶掩膜步骤6151但进行6155至6167的CVD步骤得到简化。执行的一个照相平板步骤是屏蔽n-通道元件的6171步骤。然后,6173至6179的CVD步骤被向压应力膜6165(位于P-通道元件的上面)的未屏蔽部分离子植入(例如)氢或氦以把所述膜的部分由拉应力转化为压应力的步骤所取代。进行这一离子植入步骤的偏压是4KV,植入剂量是5×1016cm-2。这一工艺路线之所以被简化,是因为只需要一个光致抗蚀剂掩蔽步骤,而不是两个。由于在这一工艺路线中只需要沉积一个单层6165,光刻胶掩膜移除过程中无需移除膜层的这些部分,因此在这一工艺路线中无需苛求膜6165的非保形性。
图124的低温等离子体CVD工艺的最后一组步骤是在n-通道和P-通道元件的应力钝化层6165、6181上沉积一层蚀刻阻止层。步骤6185、6187、6189和6191对应步骤6155、6157、6159和6161,除了在步骤6187中源功率大小设置成沉积高保形性层,在步骤6189中偏压功率在CVD沉积层中设置成中性(零)应力。图123H所示的结果是用极好的步骤覆盖涂覆晶片并具有零(中性)应力的具有高度保形性的钝化层6193。
如果至少一些或全部的层6165、6181、6193的组分是相同的,那么一个选择是使环形等离子体源反应器在步骤6155、6157、6159建立的状态下于图124的全部或至少部分工艺中持续运行,而只把等离子体偏压功率(例如象步骤6177和/或6189)切换至使沉积层的应力在拉应力和压应力之间和/或中性应力。在这种情况下,晶片会被临时从只用于沉积步骤6151和6171中的光致抗蚀剂掩模的环形等离子体源反应器中移走,也可以分别地,随意地,移走步骤6167和6183中的光致抗蚀剂掩模。因此环形等离子体源反应器可以在CVD沉积模式连续运转。作为选择,环形等离子体源反应器本身可以通过临时移除前驱工艺气体而暂时引入抗移除物质来移除用于步骤6167、6183的光致抗蚀剂以在环形等离子体源反应器中进行步骤6167和6183。
n-通道隔离槽6147在相应于图113的合适的植入的单独工艺中被填充。
在这样的图113的工艺的执行中,通过最小化源功率(与图114A对应),批覆率可以被设成很低的水平,以保证非保形性CVD层免于在每一个狭窄隔离槽的顶端被夹断。(在这里使用的夹断一词是指当CVD沉积材料在孔的侧壁的顶端聚集并隔离该孔使在孔的底部或中心区域的沉积被阻断,从而使得对例如窄槽或窄接触孔的高纵横比的孔的完全填充被阻止的现象。)
类似地,p-通道隔离槽6147’在相应于图113的合适的植入的单独工艺中被填充。如前述,在这样的图113的工艺的执行中,保形率可以被设成很低的水平,以保证非保形性CVD层免于在每一个狭窄隔离槽6147’的顶端被夹断。
例如隔离槽6147、6147’的高纵横比孔填充已经被描述为图113的工艺的执行,其中源功率的大小被减至产生非保形性层的水平,与图114A一致。这是因为非保形性CVD沉积层不会或很少在例如隔离槽6147、6147’的垂直侧壁上聚集。结果,很少或没有CVD沉积膜在槽6147、6147’的垂直侧壁的顶端聚集大倾向,否则会夹断孔的顶端,并阻止在孔或槽的底端的沉积。高纵横比孔的CVD沉积过程中的夹断问题,在例如含有或不含有氢或氮的硅氧化合物的含氧材料的沉积中特别明显,因此当在高纵横比孔中沉积上述材料时,保持非保形性CVD沉积截面时很重要的。
我们发现如果沉积材料是包含硅,氮和氢(任选)的化合物,又不包括象氧或氟这样的活性物质,那么可以避免在图113的低温环形等离子体CVD工艺中出现在等离子体CVD沉积或高纵横比孔的填充中的夹断问题。我们发现无论源功率水平低(适合非保形性层的沉积)或高(适合保形性层的沉积)都是如此。因此,图113的工艺的一个可选择之处是使用包括例如硅和氮(例如硅烷和氮气),但是不包含氧的工艺气体混合物来填充高纵横比的孔。这允许源功率被设置在包括对应沉积高保形性CVD层的高功率的任何合适当的大小处。
由于不再需要为了达到沉积层的高保形性而把源功率限制在低水平处,这一方面提高了工艺的多功能性。因此应用图113的低温环形等离子体CVD工艺填充高纵横比孔的源功率窗口被大大加宽了。
在有关的另一方面,上述通过使用不含氧的硅-氮混合物来避免的在用保形性膜填充高纵横比孔的CVD沉积过程中的夹断问题,即使在沉积原料中包含氧的情况下也可以避免。这一令人吃惊的结果是在图113的工艺的另一条工艺路线中,通过在高纵横比孔主要(或几乎)被填充之后提高工艺气体中氧的含量(初始值为0%)得到。因此,图113的等离子体CVD沉积工艺的工艺气体开始时不含氧,在高纵横比孔的填充达到一定百分数(例如80%),向工艺气体中引入少量氧气,增加氧气所占的比例直到孔几乎全部被填充(例如已填充95%,因此夹断的风险降为0),此时氧气的含量很高。在一个实施例中,氮气的含量随着氧气含量的持续升高而持续降低,因此填充高纵横比孔的沉积层的顶部基本上是氧化物,例如二氧化硅。后一方面使得可以用由氧化物(或氟化物)组成的高保形性CVD层来填充高纵横比的孔,同时避免夹断问题。
这一工艺表示在图125中。这一工艺包括图113的工艺的全部步骤,即步骤6107、6109、6111、6113、6115和6117。这些步骤按照上述关于图113的方式进行,除了在框6107的步骤中引入的晶片具有在CVD工艺中要填充的高纵横比的孔(例如隔离槽)。而且,在框6109的步骤中,最初引入的工艺气体不包含氧或其它的例如氟的活性物质。在框6115的步骤中,如果需要的话源功率的大小可以设置成高值(适于保形性涂层)而不存在出现高纵横比的孔中的夹断问题的风险。
在高纵横比的孔被填充到一定百分数(例如70%或80%,或至少50%以上),向工艺气体中引入活性物质(例如氧气),开始的量比较小,随着对孔的填充(图125的框6195)的继续而增加。另外(供选择),含氮气体的流速随着氧气含量的增加而减小,因此在工艺气体混合物中氧气开始替换氮气(图125的框6197)。如果需要,步骤6195和6197的变化率可以足够高,所以在孔几乎被完全填充后(例如填充95%),氮气完全被氧气取代,沉积层的顶部是氧化物,例如二氧化硅。图126所示为氧气的流速(实线)和氮气的流速(虚线)作为填充孔所需持续时间的函数的示意图。在图126中,在50%的孔被填充后,开始引入氧气,而氮气的流速开始相应降低。到工艺完成时(当孔被100%填充),氧气在工艺气体混合物中完全取代氮气。图127所示为沉积层中氧含量作为厚度的函数的示意图。在孔(或者隔离槽)的底部,氧含量为零,在一半深度处氧含量开始增加,而在孔的顶部氧含量最高。
在图113、124或125的工艺中CVD沉积膜的组成可以通过控制工艺气体混合物来控制。为了沉积氮化硅(SiN)的等离子体CVD层,工艺气体可以由硅烷气体和氮气或氨气组成。如果沉积层要包含相当数量的氢,那么在工艺气体混合物中加入氢气(H2)。沉积层中氢的含量可以通过控制工艺气体中的氢含量来控制。沉积层中的氢含量影响层中的应力的类型,应力可以在压应力和拉应力之间变化。如果沉积层要包含氧,那么氧可以通过与注入硅烷的路径分开的路径注入反应室。而且,为了避免硅烷和氧之间的急速反应,必须将反应室压保持在低水平(例如15mTorr)。为此,一个单独的工艺气体注射器,可以通过类似图1中的一个侧壁注射器开口端130的一个单独的注射器开口端注入氧气。主工艺气体混合物(例如硅烷和氮和/氨或氧)可以通过室顶的象图45的气体分布板210那样的高架气体分布板注入。气体混合物的放射性分布可以通过各自调整图45的内外供气出口4490、4492的气流速度来加以控制,以确保例如晶片上方的工艺气体分布的一致性。
工艺气体混合物可以由任何下列组分组成:
硅烷和氮气;
硅烷和氨气;
硅烷、氮气和氢气;
硅烷、氨气和氢气;
硅烷和氧气
硅烷、氮气和氧气;
硅烷、氨气和氧气;
硅烷、氮气、氮气、氢气和氧气;
硅烷、氨气、氢气和氧气。
前述工艺气体混合物,除了用于在晶片上进行CVD沉积,也可用于在等离子体反应室的内表面沉积钝化层。
如本说明书前文提到的,由低温CVD工艺沉积成的氮化硅层可以通过在CVD工艺完成后在沉积层中植入氮(或者其它物质)得到加强。就象上文提到的,可以把环形源反应器作为等离子体浸没离子植入反应器来进行离子植入。
为强化物理特性而进行的三维结构离子植入(例如通过前述低温CVD工艺沉积的层)可以在最小化结构的水平表面的离子植入深度和垂直表面的离子植入深度之间的差异性的同时完成。这一结构可以是,例如晶体管源极-漏极通道上面薄氧化物栅极。这样的三维结构具有一个水平的顶面和四个垂直的侧面。或者这一结构可以是纵横比高达10∶1或者更高的高纵横比的孔(例如深槽)。等离子体浸没离子植入在垂直方向产生离子流,因此入射角和离子植入深度在结构的水平表面最大,在垂直表面最小。可以选择等离子体偏压功率来设定离子植入的深度。通过提高晶片表面附近的离子轨道的角分布,可以减小水平和垂直表面的离子植入深度的差异。从垂直方向离子轨道角度散布(或标准差)越大,在垂直表面离子植入的深度也越大,因此水平表面和垂直表面的离子植入深度之间的差异性也越小。工件表面附近的离子轨道角度分布与等离子体壳层厚度和室压成比例。等离子体壳层厚度随着等离子体射频源功率降低,随着室压和等离子体射频偏压功率升高。因此,可以选择偏压功率实现一个全面的平均离子植入深度,同时调整室压和射频等离子体源功率降低离子轨道角度分布的散布或偏差,来减小水平和垂直表面的离子植入深度之间的差异至期望的阈值值。所以,把射频等离子体源功率和室压的值设置到离子轨道的的角度散布足以达到植入结构的垂直表面所期望的最小离子植入深度,而不会使水平表面的离子植入深度超过某个最大值。
在一个实施例中,垂直表面的离子植入深度至少为100埃,水平表面不超过400埃。射频偏压可以大约4kV,源功率可以是大约500瓦,室压可以是大约25mT。植入剂量由植入时间设定,可以设定在约20-30秒。前面是参照优选实施例对本发明进行的详细说明,但是如所周知,在不偏离本发明的真实精神和范围的前提下,可以作出变更和修改。

Claims (24)

1.一种在工件上沉积含半导体元素、氮、氢或氧中的任何元素的涂层的低温工艺,其特征是上述工艺包括:
将工件放置在反应室中并面对反应室中的工艺区;
向反应室中注入含半导体元素、氮、氢或氧中的任何元素的工艺气体;
通过向在反应室外部并构成再进入路径一部分的再进入管的一部分施加第一频率的射频等离子体源功率,在通过工艺区的再进入路径中产生环形射频等离子体流。
2.根据权利要求1所述的工艺,其特征是进一步包括向工件施加第二频率的射频等离子体偏压。
3.根据权利要求1所述的工艺,其特征是进一步包括:将涂层的保形性设置在保形性和非保形性的范围之间,同时将涂层的应力设置在压应力和拉应力的范围之间。
4.根据权利要求3所述的工艺,其特征是设置保形性的步骤包括在涂层被保形性沉积的最高源功率和涂层被非保形性沉积的最低源功率的范围之间设置任意大小的射频等离子体源功率,
设置应力的步骤包括通过施加与期望应力大小相应的射频偏压来控制应力。
5.根据权利要求4所述的工艺,其特征是通过射频偏压控制应力的步骤包括向上述工件施加射频偏压,该射频偏压与在涂层被压应力沉积的最高偏压功率和涂层被拉应力沉积的最低或0偏压功率的范围之间的任意大小相对应。
6.根据权利要求4所述的工艺,其特征是上述最高源功率对应于超过0.5的保形率,上述最低电源功率对应于不超过0.1的保形率。
7.根据权利要求5所述的工艺,其特征是上述最低偏压功率对应于涂层的应力大小是约+1G帕,所述最高偏压功率对应涂层应力大小是约-1G帕。
8.根据权利要求1所述的工艺,其特征是进一步包括在把工件放入反应室之前,用含硅、氮、氢或氧中的至少一种元素的涂层涂覆反应室的内表面来预处理反应室。
9.根据权利要求1所述的工艺,其特征是进一步包括在涂层的沉积完成后,通过在再进入路径中产生包括要植入离子的环形射频等离子体流,并向工件施加与期望的离子植入深度对应的偏压来执行对工件的后沉积离子植入工艺。
10.根据权利要求9所述的工艺,其特征是上述后沉积离子植入工艺包括用具有同涂层与下方的涂层被沉积的工件层之间的界面距离相当的植入深度相应的动能的离子来对工件进行离子轰击,以便提高涂层的附着力。
11.根据权利要求9所述的工艺,其特征是上述后沉积离子植入工艺包括植入离子到选定物质的涂层中,以提高涂层中所述物质的含量。
12.根据权利要求11所述的工艺,其特征是上述含量被提高至超过化学计量比。
13.根据权利要求11所述的工艺,其特征是上述选定物质包括氮,使得上述后沉积离子植入工艺提高了涂层的介电常数。
14.根据权利要求11所述的工艺,其特征是上述选定物质是一类包括氢或者氦的轻物质,使得上述后沉积离子植入工艺调整了涂层的应力。
15.根据权利要求9所述的工艺,其特征是上述后沉积离子植入工艺包括:
把离子植入与等离子体化学气相沉积不相容的选定物质的涂层中。
16.根据权利要求9所述的工艺,其特征是进一步包括至少具备下列特征之一的瞬间退火步骤:(1)足够低的温度,(2)持续时间足够短,以限制工件里的扩散长度至几十纳米级的特征尺寸以下。
17.根据权利要求1所述的工艺,其特征是上述工件具有要填充涂层的高纵横比孔,上述的工艺进一步包括在沉积工艺开始时使用含氮的工艺气体。
18.根据权利要求17所述的工艺,其特征是进一步包括:当高纵横比孔开始被填充涂层时提高工艺气体中氧的含量,同时降低所述工艺气体中的含氮量,直到当高纵横比的孔至少几乎被填满涂层时,至少几乎所有的氮被氧取代。
19.根据权利要求18所述的工艺,其特征是设置上述等离子体源功率大小以产生非保形性涂层。
20.根据权利要求18所述的工艺,其特征是设置上述等离子体源功率大小以产生至少几乎保形性的涂层。
21.根据权利要求2所述的工艺,其特征是上述第一频率是高频,上述第二频率是低频。
22.根据权利要求2所述的工艺,其特征是上述的第一频率和第二频率相同。
23.根据权利要求2所述的工艺,其特征是进一步包括:在沉积涂层之前,连续遮蔽下列其中之一:(1)工件中的一组N-通道元件结构,(2)工件中的一组P-通道元件结构,同时不遮蔽另外一组,如果未遮蔽的组由P-通道元件组成,将所述的射频偏压大小设置在涂层被压应力沉积的大小,如果未遮蔽的组由N-通道元件组成,将上述的射频偏压大小设置在涂层被拉应力沉积的大小。
24.根据权利要求1所述的工艺,其特征是进一步包括保持工件的温度在100℃附近或低于100℃。
CNA2005100682800A 2004-05-03 2005-05-08 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺 Pending CN1693537A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/838,052 2004-05-03
US10/838,052 US7223676B2 (en) 2002-06-05 2004-05-03 Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer

Publications (1)

Publication Number Publication Date
CN1693537A true CN1693537A (zh) 2005-11-09

Family

ID=34936086

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2005100682798A Pending CN1693536A (zh) 2004-05-03 2005-05-08 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺
CNA2005100682800A Pending CN1693537A (zh) 2004-05-03 2005-05-08 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2005100682798A Pending CN1693536A (zh) 2004-05-03 2005-05-08 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺

Country Status (5)

Country Link
US (2) US7223676B2 (zh)
EP (2) EP1593756A1 (zh)
KR (2) KR101155139B1 (zh)
CN (2) CN1693536A (zh)
TW (2) TWI398907B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法
CN102576655A (zh) * 2009-08-11 2012-07-11 瓦里安半导体设备公司 脉冲沉积与再结晶以及利用结晶化/非晶物质的堆叠式太阳能电池设计
CN103403847A (zh) * 2011-02-24 2013-11-20 东京毅力科创株式会社 氮化硅膜的成膜方法、有机电子器件的制造方法和氮化硅膜的成膜装置
CN110085499A (zh) * 2014-09-01 2019-08-02 恩特格里斯公司 利用增强源技术进行磷或砷离子植入
TWI788238B (zh) * 2019-02-05 2022-12-21 德商卡爾蔡司Smt有限公司 修復微影光罩的裝置和方法以及包括指令的電腦程式

Families Citing this family (439)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR876M (zh) 1960-10-12 1961-10-16
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
DE10360000B4 (de) 2003-12-19 2009-12-10 Advanced Micro Devices, Inc., Sunnyvale Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
US7521653B2 (en) * 2004-08-03 2009-04-21 Exatec Llc Plasma arc coating system
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US20060244074A1 (en) * 2005-04-29 2006-11-02 Chien-Hao Chen Hybrid-strained sidewall spacer for CMOS process
US7232730B2 (en) * 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
US8176871B2 (en) * 2006-03-28 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7504041B2 (en) * 2006-05-03 2009-03-17 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor employing a dynamically adjustable plasma source power applicator
KR101170597B1 (ko) * 2006-05-10 2012-08-02 주성엔지니어링(주) 진폭변조 알에프 전력을 이용한 갭필 방법 및 이를 위한갭필 장치
JP2009538991A (ja) * 2006-05-30 2009-11-12 ホーメット コーポレーション 黒鉛溶解容器を利用した溶解方法
US7687132B1 (en) 2008-03-05 2010-03-30 Hrl Laboratories, Llc Ceramic microtruss
US8197930B1 (en) 2007-05-10 2012-06-12 Hrl Laboratories, Llc Three-dimensional ordered open-cellular structures
US8287895B1 (en) 2008-04-24 2012-10-16 Hrl Laboratories, Llc Three-dimensional biological scaffold compromising polymer waveguides
US7382959B1 (en) 2006-10-13 2008-06-03 Hrl Laboratories, Llc Optically oriented three-dimensional polymer microstructures
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
EP2100485B1 (en) * 2006-12-28 2013-05-29 Exatec, LLC. Apparatus and method for plasma arc coating
US20100323126A1 (en) * 2007-02-26 2010-12-23 Dr. Laure Plasmatechnologie Gmnh Apparatus and Method for Plasma-Assisted Coating and Surface Treatment of Voluminous Parts
CN101688306B (zh) * 2007-05-17 2011-08-17 埃克阿泰克有限责任公司 用于在共用等离子涂覆区沉积多种涂覆材料的装置与方法
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US8264154B2 (en) * 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US8990096B2 (en) * 2008-07-11 2015-03-24 Michael W. Shore Distributing alternatively generated power to a real estate development
US20100021273A1 (en) * 2008-07-28 2010-01-28 Applied Materials, Inc. Concrete vacuum chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100173448A1 (en) * 2009-01-07 2010-07-08 Applied Materials, Inc. High frequency plasma enhanced chemical vapor deposition
US8852523B1 (en) 2009-03-17 2014-10-07 Hrl Laboratories, Llc Ordered open-cellular materials for mass transfer and/or phase separation applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8465825B1 (en) 2009-05-29 2013-06-18 Hrl Laboratories, Llc Micro-truss based composite friction-and-wear apparatus and methods of manufacturing the same
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8578879B2 (en) * 2009-07-29 2013-11-12 Applied Materials, Inc. Apparatus for VHF impedance match tuning
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011024174A1 (en) * 2009-08-27 2011-03-03 Mosaic Crystals Ltd. Penetrating plasma generating apparatus for high vacuum chambers
US8497196B2 (en) * 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same
CN102598131B (zh) * 2009-11-04 2016-04-13 应用材料公司 用于图案化的磁盘媒体应用的等离子体离子注入工艺
US8343860B1 (en) 2010-03-23 2013-01-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude High C content molecules for C implant
CN103026473A (zh) * 2010-07-21 2013-04-03 东京毅力科创株式会社 层间绝缘层形成方法和半导体装置
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5238780B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体とその製造方法及び磁気記録装置
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8429574B2 (en) * 2011-04-14 2013-04-23 Cadence Design Systems, Inc. Dual-pattern coloring technique for mask design
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101629869B1 (ko) 2011-09-16 2016-06-13 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 그래핀 결함의 변경
US8551891B2 (en) * 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9539773B2 (en) 2011-12-06 2017-01-10 Hrl Laboratories, Llc Net-shape structure with micro-truss core
WO2013122986A1 (en) * 2012-02-14 2013-08-22 Advanced Technology Materials, Inc. Carbon dopant gas and co-flow for implant beam and source life performance improvement
US9812291B2 (en) * 2012-02-14 2017-11-07 Entegris, Inc. Alternate materials and mixtures to minimize phosphorus buildup in implant applications
US9017806B2 (en) 2012-03-23 2015-04-28 Hrl Laboratories, Llc High airflow micro-truss structural apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US10319872B2 (en) 2012-05-10 2019-06-11 International Business Machines Corporation Cost-efficient high power PECVD deposition for solar cells
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN105144849B (zh) * 2013-03-15 2019-06-18 普拉斯玛比利提有限责任公司 环形等离子体处理装置
JP6177012B2 (ja) * 2013-06-04 2017-08-09 株式会社ダイヘン インピーダンス整合装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
CN109873621B (zh) 2013-11-14 2023-06-16 鹰港科技有限公司 高压纳秒脉冲发生器
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
US10892140B2 (en) 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
KR101532376B1 (ko) 2013-11-22 2015-07-01 피에스케이 주식회사 상호 유도 결합을 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6492736B2 (ja) * 2015-02-17 2019-04-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR20170129515A (ko) * 2016-05-17 2017-11-27 삼성전자주식회사 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102619012B1 (ko) * 2016-05-27 2023-12-28 주식회사 뉴파워 프라즈마 다중 플라즈마 채널을 갖는 플라즈마 챔버
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10903047B2 (en) * 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110692188B (zh) 2017-02-07 2022-09-09 鹰港科技有限公司 变压器谐振转换器
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN108987227B (zh) * 2017-06-02 2022-02-18 台湾积体电路制造股份有限公司 等离子体处理晶片的方法、等离子体控制方法及反应系统
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN111264032B (zh) 2017-08-25 2022-08-19 鹰港科技有限公司 使用纳秒脉冲的任意波形生成
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR102612989B1 (ko) * 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN112437971A (zh) 2018-07-19 2021-03-02 应用材料公司 低温高品质的介电膜
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20230025034A (ko) 2018-08-10 2023-02-21 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI783203B (zh) 2019-01-08 2022-11-11 美商鷹港科技股份有限公司 奈秒脈波產生器電路
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7261891B2 (ja) * 2019-01-31 2023-04-20 イーグル ハーバー テクノロジーズ,インク. 精密プラズマ制御システム
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20230150396A (ko) 2019-12-24 2023-10-30 이글 하버 테크놀로지스, 인코포레이티드 플라즈마 시스템을 위한 나노초 펄서 rf 절연
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
EP4205515A2 (en) * 2020-08-28 2023-07-05 Plasma Surgical Investments Limited Systems, methods, and devices for generating predominantly radially expanded plasma flow
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI756117B (zh) * 2021-04-23 2022-02-21 財團法人國家實驗研究院 晶圓級二維材料沉積裝置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240087859A1 (en) * 2022-09-08 2024-03-14 Applied Materials, Inc. Methods and apparatus for toroidal plasma generation

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) * 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) * 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (zh) 1976-05-19 1979-06-29 Battelle Memorial Institute
US4176003A (en) 1978-02-22 1979-11-27 Ncr Corporation Method for enhancing the adhesion of photoresist to polysilicon
DE3118785A1 (de) * 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
DE3221180A1 (de) * 1981-06-05 1983-01-05 Mitsubishi Denki K.K., Tokyo Verfahren und vorrichtung zur herstellung einer halbleitervorrichtung
US4385946A (en) * 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) * 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) * 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) * 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60153119A (ja) * 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) * 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) * 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) * 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) * 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) * 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) * 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) * 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) * 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JPH04318168A (ja) * 1991-04-17 1992-11-09 Ishikawajima Harima Heavy Ind Co Ltd イオン複合cvd法及びその装置
JP2626339B2 (ja) * 1991-08-26 1997-07-02 日新電機株式会社 薄膜形成装置
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) * 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5229305A (en) * 1992-02-03 1993-07-20 Motorola, Inc. Method for making intrinsic gettering sites in bonded substrates
US5505780A (en) * 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU5017293A (en) * 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) * 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
JP3430552B2 (ja) * 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
IT1263372B (it) * 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
JP3320392B2 (ja) * 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP3174438B2 (ja) * 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
JPH0790553A (ja) * 1993-09-27 1995-04-04 Shojiro Miyake 摺動部品およびその製造方法
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07130496A (ja) * 1993-11-02 1995-05-19 Hitachi Ltd イオン打込み装置
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) * 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) * 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
JPH09512665A (ja) * 1994-03-25 1997-12-16 アモコ/エンロン・ソーラー 高水素希釈低温プラズマ沈着によって製造される非晶質珪素ベースの器具の向上せしめられた安定化特性
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) * 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH09186337A (ja) * 1996-01-08 1997-07-15 Advanced Display:Kk 薄膜トランジスタの製造方法並びにこの方法によって形成された電気光学表示装置
US5660895A (en) * 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5911832A (en) * 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) * 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) * 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) * 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US5994207A (en) * 1997-05-12 1999-11-30 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US5897752A (en) * 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6063246A (en) * 1997-05-23 2000-05-16 University Of Houston Method for depositing a carbon film on a membrane
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US5935077A (en) * 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
AU9296098A (en) * 1997-08-29 1999-03-16 Sharon N. Farrens In situ plasma wafer bonding method
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) * 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) * 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6020592A (en) * 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) * 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
KR100404778B1 (ko) * 1998-10-29 2003-11-07 동경 엘렉트론 주식회사 진공 처리 장치
WO2000026939A1 (en) * 1998-10-29 2000-05-11 Applied Materials, Inc. Apparatus for coupling power through a workpiece in a semiconductor wafer processing system
US6174743B1 (en) * 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) * 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
WO2000041229A1 (fr) * 1998-12-28 2000-07-13 Tokyo Electron Limited Appareil a plasma et electrode inferieure associee
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
JP3160263B2 (ja) * 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6248642B1 (en) * 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6375790B1 (en) * 1999-07-19 2002-04-23 Epion Corporation Adaptive GCIB for smoothing surfaces
US6237527B1 (en) * 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6182604B1 (en) * 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
DE10024876A1 (de) * 2000-05-16 2001-11-29 Infineon Technologies Ag Vertikaler Transistor
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6541350B2 (en) * 2000-11-08 2003-04-01 Macronix International Co., Ltd. Method for fabricating shallow trench isolation
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6387719B1 (en) * 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
JP2003311966A (ja) * 2002-04-23 2003-11-06 Canon Inc インクジェット記録ヘッド
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
FR2846788B1 (fr) * 2002-10-30 2005-06-17 Procede de fabrication de substrats demontables
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6747243B1 (en) * 2002-12-24 2004-06-08 Novellus Systems, Inc. Spot cleaning of particles after inspection
US20040126993A1 (en) * 2002-12-30 2004-07-01 Chan Kevin K. Low temperature fusion bonding with high surface energy using a wet chemical treatment

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102576655A (zh) * 2009-08-11 2012-07-11 瓦里安半导体设备公司 脉冲沉积与再结晶以及利用结晶化/非晶物质的堆叠式太阳能电池设计
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法
CN103403847A (zh) * 2011-02-24 2013-11-20 东京毅力科创株式会社 氮化硅膜的成膜方法、有机电子器件的制造方法和氮化硅膜的成膜装置
CN110085499A (zh) * 2014-09-01 2019-08-02 恩特格里斯公司 利用增强源技术进行磷或砷离子植入
CN110085499B (zh) * 2014-09-01 2022-03-04 恩特格里斯公司 利用增强源技术进行磷或砷离子植入
TWI788238B (zh) * 2019-02-05 2022-12-21 德商卡爾蔡司Smt有限公司 修復微影光罩的裝置和方法以及包括指令的電腦程式

Also Published As

Publication number Publication date
US20040200417A1 (en) 2004-10-14
US7223676B2 (en) 2007-05-29
TWI398907B (zh) 2013-06-11
EP1593753A3 (en) 2006-01-18
TW200609373A (en) 2006-03-16
US20070212811A1 (en) 2007-09-13
KR101162775B1 (ko) 2012-07-05
KR101155139B1 (ko) 2012-06-13
US7393765B2 (en) 2008-07-01
EP1593756A1 (en) 2005-11-09
EP1593753A2 (en) 2005-11-09
KR20060047699A (ko) 2006-05-18
CN1693536A (zh) 2005-11-09
KR20060045881A (ko) 2006-05-17
TW200610033A (en) 2006-03-16

Similar Documents

Publication Publication Date Title
CN1693537A (zh) 保形性、应力和化学气相沉积层成分独立可变的甚低温化学气相沉积工艺
US7094670B2 (en) Plasma immersion ion implantation process
US7288491B2 (en) Plasma immersion ion implantation process
US7465478B2 (en) Plasma immersion ion implantation process
US20050230047A1 (en) Plasma immersion ion implantation apparatus
US7294563B2 (en) Semiconductor on insulator vertical transistor fabrication and doping process
US11257685B2 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
CN101558183B (zh) 等离子体沉浸离子注入工艺
US8058156B2 (en) Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) Plasma immersion ion implantation reactor having an ion shower grid
US8900405B2 (en) Plasma immersion ion implantation reactor with extended cathode process ring
US20040149217A1 (en) Plasma immersion ion implantation system including a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US20090057269A1 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
WO2010148001A1 (en) Method to synthesize graphene
US7378335B2 (en) Plasma implantation of deuterium for passivation of semiconductor-device interfaces
US8193513B2 (en) Hybrid ion source/multimode ion source
CN1823180A (zh) 具有均匀轴向分布的等离子体的电容耦合等离子体反应器
CN1670913A (zh) 绝缘膜成形方法、绝缘膜成形装置和等离子体膜成形装置
US11264460B2 (en) Vertical transistor fabrication for memory applications
US20040107907A1 (en) Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20220351969A1 (en) Methods and apparatus for curing dielectric material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20051109