CN1754008A - 用于大面积等离子增强化学气相淀积的气体分配板组件 - Google Patents

用于大面积等离子增强化学气相淀积的气体分配板组件 Download PDF

Info

Publication number
CN1754008A
CN1754008A CNA2004800052710A CN200480005271A CN1754008A CN 1754008 A CN1754008 A CN 1754008A CN A2004800052710 A CNA2004800052710 A CN A2004800052710A CN 200480005271 A CN200480005271 A CN 200480005271A CN 1754008 A CN1754008 A CN 1754008A
Authority
CN
China
Prior art keywords
hole
plate assembly
gas distribution
distribution plate
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800052710A
Other languages
English (en)
Other versions
CN1754008B (zh
Inventor
崔寿永
上泉元
罗伯特·I·格林
侯力
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1754008A publication Critical patent/CN1754008A/zh
Application granted granted Critical
Publication of CN1754008B publication Critical patent/CN1754008B/zh
Anticipated expiration legal-status Critical
Active legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges

Abstract

本发明提供一种用于在工艺腔中分配气体的气体分配板组件的装置。在一具体实施例中,一气体分配板组件包括一扩散板,该扩散板具有若干个在该扩散板一上游侧与一下游侧之间通过的气体通道。这些气体通道中的至少一个包括由一节流孔连接的一第一孔和一第二孔。该第一孔从该扩散板的上游侧延伸,而该第二孔从该扩散板的下游侧延伸。该节流孔具有分别小于该第一和第二孔的直径。

Description

用于大面积等离子增强化学气相淀积的气体分配板组件
技术领域
本发明涉及一种在工艺腔中用于分配气体的气体分配板组件及方法。
背景技术
液晶显示器或平板是通常用于有源矩阵显示器(如计算机与电视监视器)。通常,平板包括夹置一层液晶材料于其间的二层玻璃板。至少一玻璃板包括连接至电源供应器的设置于其上的至少一导电膜。从电源供应器供应至该导电膜的电源改变该液晶材料的方向,在显示器上产生可视图象,如文字或图形。一种常常用以生产平板的制程是等离子增强化学气相淀积(PECVD)。
等离子增强化学气相淀积通常是用于在如平板或半导体晶片的基材上淀积薄膜。等离子增强化学气相淀积(PECVD)一般是通过将前体气体(precursor gas)引入含有平板的真空腔而实现。该前体通常是被向下引导通过一位置靠近工艺腔顶部的分配板。在该工艺腔内的前体气体是通过从一个或若干连接至该工艺腔的射频(RF)来源施加的射频电源获得能量(如激发)成为等离子。被激发气体反应后,在位于温度控制基材支撑件上的平板表面上形成一层材料。在平板容置一层低温多晶硅的应用中,该基材支撑件可被加热至超过摄氏400度。在反应中产生的挥发性副产品会从该工艺腔经过排气系统抽吸出去。
由PECVD技术加工的平板代表性地较大,常常超过360毫米×460毫米和1平方米尺寸的范围。可预见在未来大面积基材将达到和超过4平方米。特别是与用于200毫米和300毫米半导体晶片制程的气体分配板相比,用以提供均匀制程气体流过平板的气体分配板在尺寸上成比例地大。
用于平板工艺的大型气体分配板具有一些导致气体分配板制造费用高的制造问题。例如,通过气体分配板形成的气流孔的直径,相对于该气体分配板的厚度较小(例如一通过1.2英时厚板的0.062英时直径孔),导致在孔形成时钻头断裂情况高频率地发生。移走断裂钻头既耗时而且可能造成整个气体分配板损伤。此外,当通过气体分配板而形成的气流孔数目正比于平板的尺寸时,形成于各板内的大量的孔在制造该板时不利地造成故障的可能性高。再者,高数量的孔与最少化钻头断裂需要的关注结合,会导致较长的制造时间,因而提高制造费用。
由于材料与制造气体分配板的费用很高,以可有效率和费用经济的方法制造的配置,来研发气体分配板将是有益的。再者,为配合超过1.2平方米的平板制程,下一代气体分配板的尺寸会增加,上述问题的解决越来越重要。
虽然满足设计大型气体分配板的成本考虑很重要,性能特性必定不能忽略。例如,气流孔的配置、位置与密封直接地影响到淀积性能,如淀积均匀性和清洗特性。例如,如果通过气体分配板形成的气流孔产生太多的背压,用以清洗该板的游离氟会有一再结合的倾向,不利地降低清洗的效果。再者,因为氟通常是一膜污染物,气体分配板的表面积应构成为促进在其间的良好流动,同时提供氟附着于该板的最小面积。
因此,需要经过改进的气体分配板组件。
发明内容
本发明提供一种在工艺腔中用于分配气体的气体分配板组件的装置。在具体实施例中,气体分配板组件包括扩散板,其具有若干个在该扩散板的上游侧与下游侧之间流通的气体通道。该气体通道中的至少一个包括由节流孔连接的第一孔与第二孔。该第一孔从扩散板的上游侧延伸,而该第二孔从下游侧延伸。该节流孔具有分别小于第一孔或第二孔的直径。
附图说明
本发明的特征可以通过参考以下结合附图的详细说明而容易地了解,其中:
图1是具有本发明的气体分配板组件的一具体实施例的工艺腔的剖面示意图;
图2是图1中所示气体分配板组件的部份剖面图;
图3是一气体分配板组件的另一具体实施例的部份剖面图;
图4是图2中所示的气体分配板组件的部份上视图;
图5是包括一扩散板组件的气体分配板组件的另一具体实施例的部份剖面图;及
图6是图5中的气体分配板组件的一具体实施例的另一部份剖面图。
为有助于了,尽可能地使用相同的附图标记表示附图中共有的相同组件。
附图标记说明
100  系统               102  工艺腔
104  气源               106  壁
108  底部               110  盖组件
112  制程容积           114  抽吸加压通气室
116  穿孔区             118  气体分配板组件
120  内侧               122  电源
124  铝本体             126  底侧
128  孔                 130  电源
132  加热器             134  上侧
138  基材支撑组件       140  玻璃基材
142  主轴               146  风箱
148  遮蔽框架           150  提升销
154  提升板             156  轴环
158  扩散板             160  悬挂板
162  气体通道           164  加压通气室
166  孔径               168  上部
170  下部               180  连接口
182  清洗源             202  上游侧
204  下游侧             206  边界
210  第一孔             212  第二孔
214  节流孔             216  喇叭状角
218  底部                220  底部
230  第一深度            232  深度
234  长度                244  定位销
246  槽                  260  第二凸缘
262  主体                264  第一凸缘
266  安装孔              268  孔固定件
270  孔                  280  距离
282  孔边缘              300  气体分配板组件
500  分配板组件          502  扩散板组件
504  调整板              506  扩散板
508  气体通道            510  加压通气室
512  制程区              520  第一孔
522  第二孔              524  节流孔
542  衬套                544  销
546  定位特征            600  固定系统
602  固定件              604  螺帽
606  头部                608  柄部
610  螺纹部份            612  平底扩孔
614  上表面              616  孔
618  孔                  620  颈部
622  槽                  624  孔
624  下游侧              650  扩散板组件
652  调整板              654  扩散板
660  气体通道
具体实施方式
本发明提供一种在工艺腔中用于提供传送气体的气体分配板组件。本发明以下的示范性说明是参考一配置用于处理大面积基材的等离子增强化学气相淀积系统,如来自AKT(美国加州圣塔克拉市应用材料公司的分部)的等离子增强化学气相淀积(PECVD)系统。然而,应了解本发明可应用在其它系统配置中,如刻蚀系统、其它化学气相淀积系统及任何在一工艺腔中需要分配气体的其它系统,包括配置以处理圆形基材的这些系统。
图1是一等离子增强化学气相淀积系统100的具体实施例的剖面图。系统100一般包括一连接至一气源104的工艺腔102。工艺腔102具有部份地限定一制程容积112的壁106与一底部108。制程容积112典型地是经过在壁106上的一通道口(未显示)存取,其有助于一基材140移入与移出工艺腔102。壁106与底部108典型地是从一整块铝或其它与制程能兼容的材料制成。壁106支撑一盖组件110,该盖组件110含有一将制程容积112连接至一排气口(包括各种未显示的抽吸组件)的抽吸加压通气室114。
一温度控制基材支撑组件138是中置于工艺腔102内。支撑组件138在制程中支撑基材104。在一具体实施例中,基材支撑组件138包括一铝本体124,其封装至少一个内嵌式加热器132。
设置于支撑组件138内的加热器132(如一电阻元件)是连接至一电源130且可控制地加热支撑组件138与位于其上的玻璃基材140至一预定温度。典型地在一CVD制程中,根据待淀积材料的淀积制程参数而定,加热器132会维持玻璃基材140在介于约摄氏150到至少约460度之间的均匀温度。
通常,支撑组件138具有一底侧126与一上侧134。上侧134支撑玻璃基材140。上侧134支撑玻璃基材140。底侧126具有一与其连接的主轴142。主轴142连接该支撑组件138至一提升系统(未显示),该提升系统在一升高的制程位置(如图标)和一有助于将基材传送至和自工艺腔102传送的较低位置之间移动支撑组件138。另外主轴142提供一导管,供电线与热电偶在支撑组件138与系统100其它组件之间的导线用。
一风箱146连接在支撑组件138(或主轴142)与工艺腔102的底部108之间。该风箱146提供在制程容积112与工艺腔102外部大气之间的真空密封,而有助于支撑组件138的垂直运动。
支撑组件138通常是接地,使得由一电源122供给位于盖组件110与基材支撑组件138(或位于/接近该腔的盖组件的其它电极)之间的气体分配板组件118的射频电源,可激发出现在支撑组件138与分散板组件118间的制程容积112内的气体。来自电源122的射频电源通常是经过选择与该基材的尺寸相称,以驱动该化学气相淀积制程。
另外支撑组件138支撑一限制周边的遮蔽框架148。通常遮蔽框架148防止在基材140与支撑组件138的边缘淀积,使得基材不会粘到支撑组件138。
支撑组件138具有若干个穿通设置的孔128,以容纳若干个提升销150。提升销150通常是由陶瓷或经电镀的铝构成。通常当提升销是在一正常位置(即相对支撑组件138抽回)时,提升销150具有实质上与支撑组件138的一上侧134齐平或稍为凹下的第一端。该第一端典型地呈喇叭状以防止提升销150掉下通过孔128。此外,提升销150具有一延伸至支撑组件138底侧126之上的第二端。提升销150可由一提升板154相对支撑组件138加以致动,以从支撑面130突出,因而将基材置放在一与支撑组件138分开的位置。
提升板154是置于基材支撑组件138的底侧126,与工艺腔102的底部108之间。提升板154是借助一环绕部份主轴142的轴环156连接至一致动器(未显示)。风箱146包括一上部168与一下部170,允许主轴142与轴环156独立移动,同时维持制程容积112与工艺腔102外部的环境隔离。通常,当支撑组件138与提升板154彼此相对移近时,提升板154会被致动以造成提升销150从上侧134伸出。
盖组件110为制程容积112提供一上部边界。盖组件110通常可移除或开启,以维修工艺腔102。在一具体实施例中,该盖组件110是由铝制造。
盖组件110包括一连接至外部泵系统(未显示)的抽吸通气室114形成于其内。抽吸通气室114是用以均匀地从制程容积112和工艺腔102中将气体和制程副产物引导出去。
盖组件110典型地包括一进入口180,由气源104提供的制程气体是经过该进入口180导入工艺腔102。进入口180也连接至一清洗源182。清洗源182通常提供一清洁剂(如游离氟)导入工艺腔102,以从工艺腔硬件(包括气体分配板组件118)移除淀积副产品及薄膜。
气体分配板组件118是连接至盖组件110的内侧120。气体分配板组件118通常是经配置以充分跟随玻璃基材140的轮廓,例如用于大面积基材的多边形与晶片的圆形。气体分配板组件118包括一穿孔区域116,经过该区域由气源104供应的制程与其它气体被传送至制程容积112。气体分配板组件118的穿孔区域116经配置以提供通过气体分配板组件118进入工艺腔102的气体的均匀分配。可采用受益于本发明的一气体分配板组件,在2001年8月8日由Keller等申请的09/922,219号美国专利;由Blonigan等于2002年5月6日申请的10/140,324号;2003年1月7日申请的第10/337,483号;及2002年11月12日授予White等的6,477,980号美国专利中记载,因此通过引用全部被合并进本发明。
气体分配板组件118典型地包括由一悬挂板160悬挂的扩散板158。扩散板158与悬挂板160可选择性地至少包含一单一构件(如图3中所示的气体分配板组件300)。若干个气体通道162是通过扩散板158而形成,以允许一预定分散的气体通过气体分配板组件118进入制程容积112。悬挂板160维持扩散板158与盖组件110的内表面120为空间隔离的关系,因而限定一加压通气室164于其间。加压通气室164允许气体流经盖组件110以均匀地分布在扩散板158整个宽度,使得气体均匀地供应至中央穿孔区域116之上,而且以一均匀分配方式流过气体通道162。
悬挂板160典型地由不锈钢、铝或镍或其它可传导射频的材料制造。悬挂板160包括一中央孔径166,其有助于使气体无障碍地从形成于盖组件110中的气体进入口180,经过扩散板158的气体通道162流过悬挂板160。悬挂板160通常提供一安装面,用于连接扩散板158至盖组件110或腔壁106。
扩散板158典型地由不锈钢、铝或镍或其它射频传导材料制造。扩散板158被配置成一厚度,可维持孔径166二侧的足够平坦度而不会相反地影响基材制程。在一具体实施例中,扩散板158具有约1.2英时的厚度。
图2是扩散板158的部份剖面图。扩散板158包括一面对盖组件110的第一或上游侧202,及一面对支撑组件138的相对的第二或下游侧204。在一具体实施例中,扩散板158由铝制造而且在至少该下游侧204上经电镀。已发现下游侧204的电镀可提高等离子的均匀性。上游侧202可视需要不电镀以限制在清洗时氟的吸收,氟随后在制程中会被释出而且成为一污染源。
在一具体实施例中,各气体通道162由一接流孔214连接至一第二孔212的第一孔210限定,节流孔214、第二孔212与第一孔210经组合以形成一通过扩散板158的流体路径。第一孔210上从扩散板158的上游侧202延伸一第一深度230至一底部218。第一孔210的底部218可为渐缩、成斜面、切角或成圆角,以使气体从第一孔流进节流孔210时的流动限制最小。第一孔210通常具有一约0.093英时至约0.218英时的直径,而且在一具体实施例中为约0.156英时。
第二孔212是形成于扩散板158中,且从下游侧204延伸一约0.250英时至约0.375英时的深度232。第二孔212的直径通常约0.187英时至0.375英时,而且可呈约22到至少35度的角度216的喇叭状。在一具体实施例中,第二孔212具有0.320英时的直径,该喇叭状角度216约35度。在另一具体实施例中,相邻第二孔212的孔边缘282间的距离280约25到85毫时。第一孔210的直径通常(但不限于)至少等于或小于第二孔212的直径。第二孔212的底部220可为渐缩、成斜面、切角或成圆角,以使气体从节流孔214流出,进入第二孔212时的气体压力损失最小。再者,当节流孔214接近使用时的下游侧204,以使第二孔212和面对基材的下游侧的暴露表面积最小时,扩散板158暴露于腔清洁过程中提供的氟中的下游侧面积会减少,因而减少淀积膜的氟污染。
节流孔214通常连接第一孔210的底部218和第二孔212的底部220。该节流孔通常具有约0.25毫米至约0.76毫米的直径(约0.02至0.3英时),而且典型地具有约0.040至约0.085英时的长度234。节流孔214的长度234与直径(或其它几何形状特性)是加压通气室164的背压的主要来源,其提高通过扩散板158的上游侧的气体的均匀分散。节流孔214在若干个通道162中典型地均匀配置,然而,通过节流孔214的限制在气体通道162中可被不同地配置,以提高扩散板158的一区域相对于另一区域更多的气体流过。例如,在靠近扩散板158的周边206的这些气体通道262中,节流孔214可具有一较大的直径及/或一较短的长度234,使得较多气体流过穿孔区116的边缘,以增加玻璃基材周边的淀积率。
当节流孔214的长度234相当短,而且位于二较大直径孔210、212之间时,节流孔214可有效地以最小钻头断裂可能性在本发明的扩散板158内制造。因此,相对于常规的有在穿孔区域形成上千气体通道而经常发生钻头断裂且须将其抽出而产生费用的扩散板,本发明的扩散板158能以一减低的费用制造。再者,因为扩散板158直接暴露于经由盖组件110进入清洁剂的上游侧202的表面积,比常规具有直接形成于该板上游侧的气流节流孔的扩散板相当小,经电镀的扩散板158在经过清洁循环的过程时具有减少氟停留的倾向,因而减少在制程中可能释放的氟量。
节流孔214提供的整体限制直接影响扩散板158的上游背压,而且因此应配置以防止所在清洗中使用的游离氟再结合。就这点而言,节流孔直径应与孔的数量平衡。当增加节流孔直径以允许使用较少的孔而减低制造费用时,相邻第二孔212的边缘282之间的间隔可在25至50毫时的较低范围中选择,以达到比常规具有较大气流孔密度的扩散板更均匀的淀积性能。
在图2的具体实施例中,悬挂板160与扩散板158是以一有助于扩散板158的热膨胀与收缩的方式连接,而没有翘曲、变形或以影响气流通过气体分配板组件118的均匀性的方式相反地对扩散板158施加力。在一具体实施例中,悬挂板160是一多边形框架,其包括一从主体262向外延伸的第一凸缘264,与一在第一凸缘264相反的方向往内延伸的第二凸缘260。另一选择是,悬挂板160可为一有凸缘的圆柱。第一凸缘264包括若干个安装孔266,各对准一形成于盖组件110内的螺孔278。孔固定件268分别通过安装孔266,且螺旋入螺孔278以固设悬挂板160至盖组件110。
第二凸缘260包括若干个分别维持置一定位销244的孔270于其内。定位销244(其中之一显示于图2中)从第二凸缘260朝第一凸缘264与盖组件110的内表面120向上延伸。通过扩散板158形成的孔或槽246适于分别容置一定位销244。
此外请参考图4中所示的悬挂板160的部份俯视剖面图,在扩散板158中的槽246相对于定位销244足够大,以允许扩散板158相对于定位销244移动,以有助于补偿在扩散板158、悬挂板160与盖组件110间的热膨胀差异。如图4所示,槽246典型地在正交方向沿扩散板158的各侧定位,以配合板组件118沿二轴向的膨胀。或者是,槽246可径向地配置用于圆形气体分配板。因此,当气体分配板组件118加热及冷却时,扩散板158相对盖组件110自由地移动,因而维持不致有造成气体分配板组件118曲或改变通过气体分配板组件118的气流模式的扭曲或其它受力情形。另一选择是,槽可形成在悬挂板160中,以容置从扩散板158延伸的销。
图5是气体分配板组件500的另一具体实施例的部份剖面图。气体分配板组件500包括设置于与上述类似的盖组件110的一悬挂板160与一扩散板组件502。扩散板组件502包括一连接至扩散板506的调整板504。若干个气体通道508是形成通过调整板504与扩散板506,以从一界定于气体分配板组件500与盖组件110之间的加压通气室510,分配气体至-工艺腔的制程区域512。
气体通道508是经配置成与上述的气体通道162类似,除了各气体通道508的上游部份形成通过调整板504,而下游部份形成于扩散板506中。例如,至少一部份第一孔520形成于调整板504内,而至少一部份第二孔形成于扩散板506中。一流动地连接第一与第二孔520、522的节流孔524,可至少部份地形成于调整板504或扩散板506中的至少一个。
在图5所示具体实施例中,第一孔520形成通过调整板504且部份在扩散板506中。第二孔522与节流孔524是形成于扩散板506内。因为节流孔524的孔长度与深度(即,在一板内的位置)最小化,在各板504、506中分别制造孔与节流孔520、522、524允许更有效率的制造,进一步减少钻头段断裂的发生,因而更减少制造费用。
若干个定位特征546被设置于调整板504与扩散板506间,以确保形成于调整板504的气体通道508的部份,与扩散板506之间的配合与对准。在一具体实施例中,定位特征546是若干个定位销544(已显示其中之一),是置于调整板504与扩散板506间。在图5所示的具体实施例中,定位销544从扩散板506延伸且啮合一经压配通过调整板504的配合衬套542。销544可加以定位,使得气体通道508的对准,及在调整板504与扩散板506相对于盖组件110的预定方位得以确保。调整板504与扩散板506可以任何种方式固设在一起,包括固定件、铆钉、丝、软焊、焊接、粘着、夹具与其类似方式。
图6包括若干个气体通道660的气体分配板组件650的另一具体实施例的部份剖面图,这些若干个气体通道650是形成通过一调整板652与一扩散板654,其中调整板652是可调整地固定于扩散板654。在图6的具体实施例中,调整板652与扩散板654是借助一分离式固定系统600(图6中显示其一)以正常间隙连接。气体通道660是以类似上述气体通道508的方式配置。
各分离式固定件系统600包括一固定件602与一配合螺帽604,二者通常均由铝或其它适合材料制造。在使用铝固定件,以使最小化固定件材料对制程的影响是有利的应用中,分离式固定件系统600允许调整板652与扩散板654分开,而传统铝固定件将会卡住而需要移除和再螺入组件。此允许更换调整板652以改变气体通道660的流动特征,因而允许气体分配板组件650适合为一特定制程修改而无须更换整个组件。此特征在先前组合的由Blonigan等于2003年1月7日申请的10/337,483号美国专利申请(事务所档号7651号)中进行详述讨论。
在一具体实施例中,固定件602具有一头部606、一柄部608及一螺纹部份610。头部606典型地置于一形成在调整板652上表面614内的平底扩孔612。一孔616是通过调整板652形成,与平底扩孔612同心,以容置固定件602的柄部608。柄部608通过一经由扩散板654形成而与孔616同心地对准的孔618。柄部608通常包括一当固定件602承受一超过一预定量的扭矩时可适于切变的颈部620。
螺帽604典型地置于一形成在与调整板652相对的扩散板654下游侧624的槽622。槽622是与一通过扩散板654形成的孔618连通。柄部608通过孔616、618,以露出螺纹部份610于槽622中。置于槽622中的螺帽604是与固定件602的螺纹部份610配合。槽622经配置以当固定件602被螺紧而迫使板652、654彼此靠紧时,防止螺帽604旋转。此外,扩散板组件650的双板配置进一步有利于经济地制造气体通道660,是借助充分降低在制造时形成节流孔694所需的距离,因而进一步减少制造时钻头断裂的发生。
因此,本发明已提供一制造费用经济的气体分配板组件。再者,该气体分配板组件通过改变横跨该板宽度的节流孔配置及/或通过更换该组件之一的板,而有利地允许调整气体流动特征。
虽然结合现有技术已经示出和详细说明本发明的几个较佳实施例,本领域技术人员可容易地想出很多其他变化的具体实施例,而仍不脱离以上的说明。

Claims (23)

1.一种用于工艺腔的气体分配板组件,包括:
扩散板,具有上游侧与下游侧;及
若干个在该扩散板的该上游侧与下游侧之间通过的气体通道,其中这些气体通道中的至少一个,包括:
第一孔,是从该上游侧延伸且具有第一直径;
第二孔,是与该第一孔同心地从该下游侧延伸,且具有第二直径;及
流动地连接该第一孔与该第二孔的节流孔,且具有比该第一孔与该第二孔小的直径。
2.如权利要求1所述的气体分配板组件,其特征在于,所述的第二孔程喇叭状。
3.如权利要求2所述的气体分配板组件,其特征在于,所述的第二孔呈约22到至少约35度的喇叭状角。
4.如权利要求1所述的气体分配板组件,其特征在于,所述的上游侧表面未电镀铝而下游侧表面经过电镀。
5.如权利要求1所述的气体分配板组件,其特征在于,所述的扩散板进一步包括:
第一板,具有该气体通道的该第一孔的至少一部份形成于其内;及
第二板,连接至该第一板,且具有该气体通道的该第二孔的至少一部份形成于其内。
6.如权利要求1所述的气体分配板组件,其特征在于,进一步包括:
悬挂板,具有实质多边形孔径,且适于支撑该扩散板于工艺腔中。
7.如权利要求6所述的气体分配板组件,其特征在于,进一步包括:
若干个在该悬挂板与扩散板间延伸的销,这些销中至少一个与形成在该悬挂板或扩散板之一中的槽的配合,是可容纳热膨胀之差。
8.如权利要求1所述的气体分配板组件,其特征在于,所述的扩散板是多边形。
9.如权利要求1所述的气体分配板组件,其特征在于,通过该扩散板形成的这些节流孔中的至少一个具有与其它节流孔中至少一个不同的流动限制特性。
10.一种用于工艺腔的气体分配板组件,包括:
扩散板组件,具有铝上游侧与下游侧;及
若干个在该扩散板组件的该上游侧与该下游侧之间通过的气体通道,其中这些气体通道中至少一个,包括:
从该上游侧延伸的第一孔;
流动地连接至该第一孔底部的节流孔;及
喇叭状第二孔,是从该节流孔延伸至该下游侧,其中该节流孔的直径小于该第一孔与该第二孔。
11.如权利要求10所述的气体分配板组件,其特征在于,第一孔的该底部是渐缩、斜面、圆角或切角中至少一个。
12.如权利要求10所述的气体分配板组件,其特征在于所述的第二孔呈约22到至少约35度的喇叭状角。
13.如权利要求10所述的气体分配板组件,其特征在于,所述的下游侧表面具有电镀涂层且该上游侧表面未电镀铝。
14.如权利要求10所述的气体分配板组件,其特征在于,所述的下游与上游侧表面具有电镀涂层。
15.如权利要求10所述的气体分配板组件,其特征在于,所述的扩散板组件进一步包括:
第一板,具有该气体通道的该第一孔的至少一部份形成于其内;及
第二板,连接至该第一板,且具有该气体通道的该第二孔的至少一部份形成于其内。
16.如权利要求10所述的气体分配板组件,其特征在于,进一步包括:
悬挂板,具有限定一实质多边形孔径的向内延伸凸缘,其中该悬挂板的该凸缘适于支撑该扩散板组件。
17.如权利要求16所述的气体分配板组件,进一步包括:
若干个在该悬挂板与扩散板之间延伸的销,这些销中的至少一个定位于形成在该悬挂板或扩散板中的一个的槽内。
18.如权利要求10所述的气体分配板组件,其特征在于,所述的扩散板是多边形。
19.如权利要求18所述的气体分配板组件,其特征在于,通过该扩散板形成的这些节流孔中的至少一个,具有与其它节流孔中的至少一个不同的流动限制特性。
20.一种用于工艺腔的气体分配板组件,包括:
多边形铝质扩散板组件,具有对着上板设置的下板,该扩散板组件的上游侧限定于该上板中,该扩散板组件的下游侧限定于该下板中;及
若干个在该扩散板的中央区域的该上游侧与下游侧之间通过的气体通道,其中这些气体通道中的至少一个,包括:
第一孔,是从该上游侧延伸;
喇叭状第二孔,是与该第一孔同心地从该下游侧延伸,而且具有的直径至少约等于或大于该第一孔的直径;及
节流孔,流动地连接该第一孔与第二孔,而且具有小于该第一孔的直径。
21.如权利要求20所述的气体分配板组件,其特征在于,所述的介于相邻第二孔的喇叭状边缘的间隔约25毫时。
22.如权利要求20所述的气体分配板组件,其特征在于,所述的扩散板组件的该上游侧与该下游侧限定至少约1.2时的厚度。
23.如权利要求20所述的气体分配板组件,其特征在于,所述的从该扩散板组件的该上游侧延伸的该第一孔具有约0.093至约0.218时的直径。
CN2004800052710A 2003-04-16 2004-04-14 用于大面积等离子增强化学气相淀积的气体分配板组件 Active CN1754008B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/417,592 2003-04-16
US10/417,592 US6942753B2 (en) 2003-04-16 2003-04-16 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
PCT/US2004/011477 WO2004094693A2 (en) 2003-04-16 2004-04-14 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201110394067.4A Division CN102443783B (zh) 2003-04-16 2004-04-14 用于大面积等离子增强化学气相淀积的气体分配板组件

Publications (2)

Publication Number Publication Date
CN1754008A true CN1754008A (zh) 2006-03-29
CN1754008B CN1754008B (zh) 2012-01-11

Family

ID=33158943

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2004800052710A Active CN1754008B (zh) 2003-04-16 2004-04-14 用于大面积等离子增强化学气相淀积的气体分配板组件
CN201110394067.4A Active CN102443783B (zh) 2003-04-16 2004-04-14 用于大面积等离子增强化学气相淀积的气体分配板组件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201110394067.4A Active CN102443783B (zh) 2003-04-16 2004-04-14 用于大面积等离子增强化学气相淀积的气体分配板组件

Country Status (6)

Country Link
US (1) US6942753B2 (zh)
JP (2) JP2006515039A (zh)
KR (1) KR100696021B1 (zh)
CN (2) CN1754008B (zh)
TW (1) TWI276701B (zh)
WO (1) WO2004094693A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
CN102762764A (zh) * 2010-02-08 2012-10-31 德国罗特·劳股份有限公司 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器
CN102770945A (zh) * 2010-02-11 2012-11-07 应用材料公司 用于半导体处理的具有涂覆材料的气体分配喷洒头
CN102918180A (zh) * 2010-05-21 2013-02-06 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
CN102064082B (zh) * 2009-11-13 2014-11-05 世界中心科技股份有限公司 扩散板结构及其制作方法
US9196460B2 (en) 2008-06-11 2015-11-24 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Families Citing this family (546)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
KR20060014495A (ko) * 2004-08-11 2006-02-16 주식회사 유진테크 화학기상증착장치의 샤워헤드
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
JP4654738B2 (ja) * 2005-04-05 2011-03-23 パナソニック株式会社 プラズマ処理装置
JP4746620B2 (ja) * 2005-04-05 2011-08-10 パナソニック株式会社 プラズマ処理装置用のガスシャワープレート
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20100264117A1 (en) * 2007-10-31 2010-10-21 Tohoku University Plasma processing system and plasma processing method
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
US20090194021A1 (en) * 2008-02-01 2009-08-06 Scott Snodgrass Dispenser for a coating apparatus having reduced sag
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
US20100071098A1 (en) 2008-05-13 2010-03-18 Northwestern University Scanning probe epitaxy
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008044024A1 (de) * 2008-11-24 2010-05-27 Robert Bosch Gmbh Beschichtungsverfahren sowie Beschichtungsvorrichtung
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
TWI394986B (zh) * 2009-11-09 2013-05-01 Global Material Science Co Ltd 擴散板結構及其製作方法
TWI417984B (zh) * 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
KR101361711B1 (ko) * 2011-12-30 2014-02-12 엘아이지에이디피 주식회사 공정챔버의 지지유닛 및 이를 포함하는 기판처리장치
US20130273239A1 (en) 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
KR101441478B1 (ko) 2012-07-09 2014-09-17 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR101488878B1 (ko) * 2012-12-28 2015-02-04 주식회사 에스에프에이 가스 분배 조립체
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104099583B (zh) * 2013-04-09 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置、反应腔室及等离子体加工设备
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TW201517112A (zh) * 2013-10-09 2015-05-01 Applied Materials Inc 具有同軸和方位角對稱和具有一致中央觸發的多區中空陰極放電系統
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
WO2015116245A1 (en) * 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
CN104952760A (zh) * 2014-03-24 2015-09-30 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及半导体加工设备
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102015110440A1 (de) * 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN108138320B (zh) * 2015-10-19 2020-11-03 东芝三菱电机产业系统株式会社 成膜装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102589743B1 (ko) * 2016-06-10 2023-10-17 주식회사 뉴파워 프라즈마 균일한 가스 분배를 위한 가스 분배 플레이트를 포함하는 플라즈마 챔버
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11357093B2 (en) * 2016-12-23 2022-06-07 Plasmatreat Gmbh Nozzle assembly, device for generating an atmospheric plasma jet, use thereof, method for plasma treatment of a material, in particular of a fabric or film, plasma treated nonwoven fabric and use thereof
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023043453A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. One side anodization of diffuser

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US594186A (en) * 1897-11-23 walter
US129769A (en) * 1872-07-23 Improvement in wagon-beds
US553461A (en) * 1896-01-21 Chain geae case for cycles
US579819A (en) * 1897-03-30 Automatic feeding device for cigarette-machines
US370371A (en) * 1887-09-27 Device for bending metal beams or bars
US594187A (en) * 1897-11-23 Belt-shipper
US670A (en) * 1838-04-02 Improved mode of forming raised surfaces for printing on paper, calico
US17243A (en) * 1857-05-05 Blind-fastening
US465233A (en) * 1891-12-15 Commutator connection for dynamo-electric machines
US580505A (en) * 1897-04-13 potter
US45361A (en) * 1864-12-06 Improvement in magazine or self-loading fire-arms
US463601A (en) * 1891-11-17 Brick-kiln
US679843A (en) * 1901-06-06 1901-08-06 Benjamin Holland Means for holding loose members of machines upon ends of studs or shafts.
US957681A (en) * 1909-10-11 1910-05-10 Andrew Theodore John Keyed finger-board for violins and similar stringed musical instruments.
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPH07111957B2 (ja) 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPS6213573A (ja) * 1985-07-10 1987-01-22 Fujitsu Ltd Cvd装置
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8907007D0 (en) * 1989-03-28 1989-05-10 Tate & Lyle Plc Sucralose compositions
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH04115531A (ja) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp 化学気相成長装置
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
JPH0766138A (ja) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd プラズマcvd装置
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP2726005B2 (ja) * 1994-07-20 1998-03-11 株式会社ジーティシー 成膜装置および成膜方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JPH10226885A (ja) * 1997-02-17 1998-08-25 Ebara Corp ガス噴射ヘッド
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
JPH10195661A (ja) * 1997-01-08 1998-07-28 Ebara Corp 気相成長装置
JP3710587B2 (ja) * 1997-02-17 2005-10-26 株式会社荏原製作所 ガス噴射ヘッド
JPH10226887A (ja) * 1997-02-17 1998-08-25 Ebara Corp ガス噴射ヘッド
JP3702068B2 (ja) 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
USRE39969E1 (en) * 1997-04-11 2008-01-01 Tokyo Electron Limited Processing system
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6366450B1 (en) * 1999-12-09 2002-04-02 Gateway, Inc. Hideaway integrated docking cradle
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
JP4669137B2 (ja) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 分割可能な電極及びこの電極を用いたプラズマ処理装置
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9196460B2 (en) 2008-06-11 2015-11-24 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
CN102754190A (zh) * 2009-07-15 2012-10-24 应用材料公司 Cvd腔室的流体控制特征结构
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
CN102064082B (zh) * 2009-11-13 2014-11-05 世界中心科技股份有限公司 扩散板结构及其制作方法
CN102762764A (zh) * 2010-02-08 2012-10-31 德国罗特·劳股份有限公司 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器
CN102762764B (zh) * 2010-02-08 2014-07-16 德国罗特·劳股份有限公司 具有减少的工具痕迹的用于均匀薄膜沉积的平行板反应器
US9224581B2 (en) 2010-02-08 2015-12-29 Roth & Rau Ag Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
CN102770945A (zh) * 2010-02-11 2012-11-07 应用材料公司 用于半导体处理的具有涂覆材料的气体分配喷洒头
CN102918180A (zh) * 2010-05-21 2013-02-06 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体
CN102918180B (zh) * 2010-05-21 2014-12-17 应用材料公司 大面积电极上的紧密安装的陶瓷绝缘体

Also Published As

Publication number Publication date
CN102443783B (zh) 2014-04-30
WO2004094693A3 (en) 2005-02-10
WO2004094693A2 (en) 2004-11-04
JP2006515039A (ja) 2006-05-18
CN102443783A (zh) 2012-05-09
TWI276701B (en) 2007-03-21
TW200500492A (en) 2005-01-01
JP5302865B2 (ja) 2013-10-02
CN1754008B (zh) 2012-01-11
US20040206305A1 (en) 2004-10-21
KR100696021B1 (ko) 2007-03-16
JP2010077537A (ja) 2010-04-08
US6942753B2 (en) 2005-09-13
KR20050096111A (ko) 2005-10-05

Similar Documents

Publication Publication Date Title
CN1754008A (zh) 用于大面积等离子增强化学气相淀积的气体分配板组件
CN1735956A (zh) 可调式气体分配板组件
US10087524B2 (en) Showerhead support structure for improved gas flow
CN1227390C (zh) 表面处理装置
CN1277951C (zh) 表面处理装置
CN1904131A (zh) 可控靶冷却
US20170178867A1 (en) Gas diffuser having grooved hollow cathodes
CN1919768A (zh) 可主动冷却的基板支撑件
CN1881555A (zh) 基板载置台、基板处理装置和基板载置台的制造方法
CN1830072A (zh) 气体处理装置和散热方法
CN1885488A (zh) 上部电极、等离子体处理装置和等离子体处理方法
CN1726589A (zh) 用来支撑基材的末端受动器组件
US9822449B2 (en) Showerhead support structures
CN105308211B (zh) 用于改善边缘一致性的腔室气体扩散器孔设计
US20070040041A1 (en) Substrate temperature regulating support pins
CN102234791A (zh) 气体分布喷洒模块与镀膜设备
WO2021126172A1 (en) High density plasma enhanced chemical vapor deposition chamber
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
TWI723473B (zh) 電漿沉積腔室及用於其之噴頭
KR20090013958A (ko) 가스분배판 고정용 결합부재 및 이를 포함하는박막처리장치
US11211306B2 (en) Synthetic diamond plates
KR102224586B1 (ko) 처리 챔버들을 위한 코팅 재료
KR20220032608A (ko) 평판 디스플레이들을 위한 대면적 고밀도 플라즈마 프로세싱 챔버
KR20210146100A (ko) 이중소재 이체형 플라즈마 에칭 플레이트

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant