CN201436680U - 具有密封组件的可拆卸的静电吸座 - Google Patents

具有密封组件的可拆卸的静电吸座 Download PDF

Info

Publication number
CN201436680U
CN201436680U CN2007900000965U CN200790000096U CN201436680U CN 201436680 U CN201436680 U CN 201436680U CN 2007900000965 U CN2007900000965 U CN 2007900000965U CN 200790000096 U CN200790000096 U CN 200790000096U CN 201436680 U CN201436680 U CN 201436680U
Authority
CN
China
Prior art keywords
suction base
sealing
platform
static suction
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2007900000965U
Other languages
English (en)
Inventor
V·D·帕科
C-H·蔡
S·V·杉索尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of CN201436680U publication Critical patent/CN201436680U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Abstract

提供一种可拆卸的静电吸座其可被附接到处理腔室内的平台上。该吸座包含一静电盘,该静电盘具有一陶瓷主体(具有一电极嵌埋于内)。该吸座还具有一底板在该静电盘下方,其下表面连接到一密封组件上,该密封组件包含一密封板和一密封环。该密封板及环被研磨以于该吸座与平台间形成气密式密封,以防止气体从此区域中渗露出去或进入此区域。

Description

具有密封组件的可拆卸的静电吸座
技术领域
本发明涉及一种用来将基板固持在处理腔室中的静电吸座(electrostaticcchuck),以及其相关的制造方法。
背景技术
在诸如半导体晶圆或显示器的类的基板处理期间,基板是被放置在处理腔室内的支撑座上,同时将处理腔室维持在适当的处理条件下。在一典型处理中,以激发气体来处理基板(例如,蚀刻或沉积材料于基板上),或执行其它工作(例如,清洁腔室内表面)。处理期间,将一处理气体引入到处理腔室内,此时处理腔室一般维持在低压甚至真空状态。以RF或微波能量将处理气体激发,被激发的气体一般含有高度腐蚀性的物种,例如化学蚀刻剂以及已激发的离子性或自由基物种。同时,这些激发气体在高温时,通常会形成等离子。
腔室内的支撑座上一般包括有静电盘(electrostaticc puck),其中嵌埋有电极,用以偏压固持于支撑座上的基板。陶瓷材料制成的静电盘具有良好、可耐激发工艺气体腐蚀性的特性,且即使在超过摄氏数百度高温的工艺温度下仍可维持其结构完整性。也可透过RF偏压电力来偏压静电盘中的电极,以激发腔室内的处理气体使其可对基板进行处理。上述的支撑座也包括一平台,用以支撑腔室内的静电盘,并能用以升高或下降静电盘和基板的高度。此平台也提供可保护连接电线、气体管道、和其它与支撑座相连的结构所需的保护性外壳。此平台典型是由金属制成,使得可在平台上轻易地做出连接端口及结构。静电盘与平台间的接口可包括一热交换板,其一般维持在比腔室环境更高的气压下。
静电盘被连接到一包含有金属渗露性多孔陶瓷材料的复合底板上。此复合底板经由设置在底板周围的孔,而可机械性地将静电盘固定在复合底板上。静电盘被连接到复合底板上形成一可拆卸的结构,使其可轻易地从平台上拆下以进行清洁或更换。此底板也具有良好的导热性以便能迅速有效的移除因等离子所产生的热。同时,底板也提供静电盘整体面积的温度控制,从大面积的平台到小面积的静电盘的温度控制。
但是,由于在复合底板下表面进行的预处理本身多变性的原因,因此很难在拆下的静电盘与平台间维持气密式密封(gas-tight seal)。由于其金属渗露性结构的孔充填性、多孔性或表面的金属涂层本身多变,使其表面粗糙度也随的具有多变性。因为热交换板接口所处的气压较腔室本体来得高,因此需要良好的气密式密封。此接口上有空气或氮气用以进行良好的热交换,且最好是不让这些气体逸散进入腔室的周围环境。形成静电盘下表面的复合底板包含一复合的陶瓷与金属材料,其具有会造成表面粗糙且即使加上O形环仍无法提供充分气密效果的随机的多孔性。此外,当施加过量高转矩力到固定件上以将静电盘组件夹钳在平台上好维持充分的真空密封在接口间时,会导致底板的陶瓷结构上出现细微裂缝,也会导致由O形环所提供的真空密封随着加热和冷却循环而逐渐被破坏。
因此,需要一种可在静电盘与平台间提供良好气密式密封的系统,同时也需要在盘组件与平台间达到良好、均匀的热传速率。
发明内容
一种可连接到一处理腔室内的可拆卸的静电吸座,包含一静电盘;一底板,位在该静电盘下方;和一密封组件,连接到该底板的底表面上。该静电盘包含一陶瓷主体,该陶瓷主体包含一嵌埋于其中的电极、一基板承接表面和一环形凸缘。该底板具有一周围凸架(peripheral ledge),延伸超过该陶瓷主体的环形凸缘。该密封组件包含一密封板和同心密封环。
一种制造一静电吸座的方法,包含以下步骤:形成一包含有一嵌埋电极的陶瓷主体的静电盘,该陶瓷主体具有一基板承接表面、一底表面和一环形凸缘;形成一包含有多孔陶瓷的底板,该多孔陶瓷具有一周围凸架、顶表面和底表面;形成一密封组件,其包含一密封板和一密封环。该底板的顶表面被固持抵靠在该静电盘底表面上,使得该底板的周围凸架延伸超过该静电盘陶瓷主体的环形凸缘,且该密封组件的位置抵靠在该底板的底表面上,且一熔融金属可渗入到该底板的多孔陶瓷内和陶瓷主体、底板和密封组件的间隙之间。此可透过金属键结而将陶瓷主体结合至底板上,并以金属渗入该底板的多孔陶瓷内且将密封组件结合至底板的底表面上。
一种用来在处理腔室的静电吸座与平台间形成气密式密封的密封组件,该密封组件包含一D形的密封板,其具有一平坦边缘连接到一半圆形(semicircular)周边;和一密封环,包含一与该密封板同心的圆形环,其中每一该密封板与该密封环的表面平坦值都低于约200微米。
附图说明】
通过参照附图来详细描述优选的实施方案,本发明的上述目的和其它优点将会变得更加显而易见,其中:
图1为静电吸座与平台的实施方式的放大图,示出其间的一密封组件;
图2该组装的静电吸座与密封组件的截面示意图;
图3是图1的静电吸座的示意图,示出该吸座的底表面;
图4是一密封组件板底部的平面图,该密封组件板包含一密封板及一密封环;及
图5是一腔室的实施方式的部份截面图,该腔室具有一支撑件其包含一静电吸座与平台(有一密封板位于其间)。
主要组件符号说明:
20  基板支撑件            22 基板
23  密封板                24 静电吸座
25  密封组件              26 平台
27  静电盘                28 密封环
29  环形凸缘              30 介电质
33  可充电的电极          33 热耦
34  承接表面              35 顶表面
36  楔形平台              37 气体凹槽
38  气体埠口              39a 内圆形凹槽
39b 外圆形凹槽            40  凸缘
41  洞                    42  底板
43  顶表面                44a、44b 连接件
45      基板升降销        46  周围凸架
47      背侧表面          48  结合层
49      电极接线柱        50  有螺纹的洞
51a     O形环             51b O形环
52      中央突出物        53  环形凹槽
54a~c  孔                55  空穴
56      传热板            57  流体供应源
72      气体管            74  气体连接器
80      外壳              82  马达驱动柱
84      电子接点或折管    86  热导体
92      孔                100 基板处理设备
102     处理腔室          104 封围墙
106     天花板            108 多个侧墙
110     底墙              112 处理区域
120     气体供应源        122 处理气体源
124     气体分配器        140 排气口
144     排出埠口          146 排气信道
148     节流阀            150 抽气泵
154     气体激发器        160 电极电源
164     诱导线圈          170 标靶
174     L-型挡板          176 沉积环
178     覆盖环            180 基板传输器
200     腔室控制器
具体实施方式
如图1、2所示,一种能够固持基板22的基板支撑座20包含:一静电吸座24、一密封组件25和一平台26。一例示性的密封组件25包含一密封板23和一密封环28,其示于例示的静电吸座24与平台26的实施例中,须知密封组件25也可包含有其它构件、其它形状或大小,均为习知技艺人士所能推及的。密封组件25也可和其它版本的静电吸座、真空吸座或机械式吸座一起使用,或与其它版本的平台一起使用。因此,本发明并不限用于所示的静电吸座和平台实例。
静电吸座24包含一静电盘27,其为碟形,且其形状与固持在吸座上的基板形状及大小相符,并具有一往外延伸的环形凸缘29。该静电盘27包含一介电质30其至少部份覆盖一可充电的电极32,如图2所示,该电极32可嵌埋在介电质30中或被介电质30所覆盖。此介电质30较好是包含一种可让电磁能量穿透的材料,例如,至少为以下的一种材料:氮化铝、氧化铝、氧化钛,且较佳是包含有氮化铝。但是,此介电质30也可包含有其它层,例如聚亚醯胺(polyimide)的类的聚合物层。
一般来说,嵌埋在该静电盘27的介电质30内的电极32包含有一金属层。此电极金属可以是不锈钢、铝、铜或诸如钼或钨之类的高温金属。在图2中,该静电盘27的陶瓷主体包含嵌埋有一电极32的氮化铝,该电极32包含有由钼制成的金属网或金属板。该电极32可被电偏压以静电固持基板22于该吸座的承接表面34上。举例来说,如图5所示,电极32可经由一或多个电子接点84而连接到可传输双极DC电压的电极电源160上。此双极电极32具有两面,每一面均维持在不同电位上以于基板22中产生静电电荷而将基板夹钳在吸座上。
该静电盘27更包含一基板承接表面34,用来在静电吸座24上承接一基板22。在图1中,该承接表面34包含多个高起的楔形平台36,其切割气体凹槽37而形成的。该些气体凹槽37用以固持诸如氦或氩的类的热传气体,该热传气体经由气体埠口38而被供应到承接表面34上。在图中,该些气体凹槽37呈放射线状,彼此相隔约5~10度,并终止在内圆形凹槽39a与外圆形凹槽39b处。虽然在此例示出该静电盘27的基板承接表面34,但需知也可采用其它方式,且本发明并不限于所示实施方式。
静电吸座24更包含一连接到该静电盘27的底板42,其用来将该静电盘27附接到腔室中的平台26上。该底板42包含一顶表面43,位于该静电盘27的下方;和一周围凸架46,其往外延伸超过该顶表面43。该周围凸架46延伸超过该静电盘27的环形凸缘29,以提供未受该介电质30覆盖的一露出的底板部分,且可被附接到腔室内一下方平台26上。
在一实施方式中,底板42包含一种热性质与该静电盘27的覆盖的介电质30相符的材料。例如,底板42可包含由陶瓷和金属组成的复合底部,其可提供较单独使用陶瓷优异的强度和耐用性,且具有良好的热传性质。此复合底部的热膨胀系数与介电质30的热膨胀系数相符,因此可降低热膨胀不符的现象。在一实施方式中,此复合底部包含具有多数孔的陶瓷,该些孔中已渗有一金属,其至少可部份充填该些孔来形成一复合材料。此陶瓷至少包含以下一者:碳化硅、氮化铝、氧化铝或堇青石(cordierite),且较佳是碳化硅。此陶瓷的多孔性部分体积约占总体积的20~80%(体积%),剩余的体积则为渗入金属的体积。在另一实施方式中,底板42包含可包含不同组成的陶瓷和金属,例如,具有陶瓷颗粒分散于其中的金属,或是该底板42可只由金属来形成,如不锈钢或铝。此渗入的金属可包含铝,且其中具有添加的硅和铜。在一实施方式中,此底板42包括的复合底部由陶瓷与金属组成,例如渗有金属合金(其包含铝、硅和微量的铜)的碳化硅。
静电吸座24是透过将静电盘27连接到该底板42的表面43上来形成的。在一实施方式中,用来渗入底板43的多数孔内的金属也可用来经由一结合层48而将静电盘27连接到该底板42上,例如图2所示。在另一实施方式中,静电盘27经由金属箔(例如,铝箔,其可扩散底板42与介电质30间的键结)制成的结合层48而连接到该底板42上。静电吸座24为可拆卸的,且当预备替换或翻新一或多个静电盘27或其的底板42时,可轻易地自平台26上卸下此静电吸座24。
静电吸座24的底板42的背侧表面47包含一高起的中央突出物52,其被一环形凹槽53所环绕,如图3所示。此高起的中央突出物52的形状为D形,其外观呈现一半圆形轮廓,其两端再由一平边所连接。在所示实施方式中,该半圆形轮廓包含3/4个圆,但其也可包含半圆型或其它不对称形状。此突出物52的形状和大小必须与平台26内对应的空穴55相符,如图1所示。在此实施方式中,此空穴55同样也是D形,以便符合D形的突出物52,而形成一对准键(alignment key)。如此一来,当静电吸座24被放在腔室内的平台26上时,就可让突出物52与空穴55一起作为一对准键。此对准键可降低静电吸座24因为位置不正确而受到伤害的风险或是在制造厂中欲从腔室内移出或更换静电吸座24时,可轻易地从其下方的平台26上找到静电吸座24的位置。
除此之外,此高起的中央突出物52也有3个孔54a-c,彼此间隔设置。孔54可承接多个电极接线柱(terminal post)49,该些接线柱架设在平台26的空穴55中。该些孔54a-c也可作为二次对准导引件,以更确认静电吸座24被正确地放在平台26上。该些不对称偏移的孔54a-c确保静电吸座24只能被以一种方向放在平台26上。在一种实施方式中,此偏移角度α大约为115°到135°,例如约125°。两个孔54a、54b被用来连接可供应电位偏压到双极电极32的电极接线柱49,以维持该些电极被静电充电。第三个孔54c则用来连接另一接线柱(未示出),其可接触该吸座24至一浮动电势(floating potential)(其用来调整经由接线柱49所施加的电压)。
除此之外,中央突出物52还有一设在中央位置处的气体连接器74,用来承接一气体管72,其往外延伸离开空穴55。此气体管72提供一种热传气体(例如氩气或氦气)到气体埠口38,其可再供应气体凹槽37、39a、39b,以维持处理期间持续供应热传气体于基板22下方。此热传气体可帮助在其下方基板22与吸座24间进行热交换,如图5所示。另一孔92是用来插入一热耦33(一般为一k-型热耦),以接触静电盘27。
密封组件25包含一密封板23和与该密封板23同心的密封环28,如图4所示。此密封组件25被连接到底板42的背侧表面47上,以环绕该热传气体接点来提供密封使其与腔室内的真空环境隔离。密封板23和周边密封环28一起与位在平台26的上表面71的一组O形环51a、51b共同作用来提供一密封的圆周。吸座24被固定在支撑平台26的凸缘40且可通过将插入至沿着底板周围凸架46的边缘上的孔50内的连接件44a、44b转紧,而可在静电吸座24与平台26间形成紧密的密封。当连接件44a、44b包含一组具有24道螺纹的螺丝时,且其接口以此新颖方式建构时,可观察到每一螺丝上形成可接受真空度所需的转矩将从90lbf-in降低为50lbf-in,此相较于没有用密封板23和密封环28时所建构出来的接口的转矩而言。
密封板23附接在底板42的背侧表面47上高起的凸出物52上。在一种实施方式中,此密封板23由厚度小于约4毫米,或介于约0.2毫米至2毫米间,且直径在约2~6厘米间的圆形碟状板所形成。在所示实施方式中,密封板23为具有半圆轮廓且两端由一平边所接合的D形形状,且其形状与附接的背侧表面上高起的凸出物52形状相对应。该半圆轮廓可包含3/4圆,也可为1/2圆或其它不对称形状。密封板23的形状和大小也符合平台26的上表面71中的相应空穴55。D形密封板23平坦边缘可作为一可符合平台中相应平坦边缘空穴55的对准键。在所示实施方式中,在该密封板23上有5个孔54,使得该板可围住并让气体连接器74、热耦33及3个电极柱(post)可通过其中,如图5所示。该些孔54的排列方式与做在底板42的D形突出物52相对应。
在一种实施方式中,密封环28为圆形环,大小约等于静电吸座24的周长,以作为一周围环。此密封环28的大小比密封板23来得大,因此可围绕密封板23且与其同心。举例来说,在一种实施方式中,此圆形环包含一至少约10厘米的内径与一低于约18厘米的外径。密封环28也可以是其它形状,例如矩形环,用在平板处理腔室内。密封环28的厚度低于约4毫米,或甚至在约0.2毫米至约2毫米间。
在一种实施方式中,至少一部份的密封板23与密封环28的表面被抛光使其表面平坦度低于200微米,或在25微米至约125微米间,以确保可达欲求的真空密封程度。所谓表面平坦度代表表面上的局部不规则性的最高峰-至-凹谷分离度小于所规范长度。表面平坦度低于200微米的表面会包含最高峰-至-凹谷分离度小于约200微米的局部不规则性。可将一探针拉过表面并以激光干扰仪测量其的偏移度,藉此可测量出表面平坦度。详言之,这些平坦的区域包括与平台26上围绕气体连接器74的O形环51a互动的表面面积,以及位在环形凹槽53外部的平台凸缘40的O形环凹槽内的O形环51b互动的表面面积。
可透过研磨垫以圆形运动方式,同时施加研磨浆到研磨表面上,来抛光密封组件25的表面。可使用习知的研磨技术,例如以连续精细级(网目大小)的研磨粉末进行干磨或湿磨。举例来说,适当顺序的研磨浆的网目大小可为125、225、325或更小。须将密封板23、密封环28抛光至该表面可提供在以习知氦气渗漏测试进行氦气渗漏测试时,其氦气渗漏速率低于10-9毫巴·公升/秒的真空程度为止。在一种实施方式中,密封组件25的密封表面以一同心机械研磨范型进行机械研磨。如果该表面被机械研磨至约32RA的表面粗糙度时,即不再需要进一步抛光该表面。
包含密封板23和密封环28的密封组件25可由金属或陶瓷压制出具有欲求尺寸的D形或圆形环。该金属可以是镍、钼、不锈钢、钛、锆或其的合金,且该陶瓷可为氮化铝。在一种实施方式中,使用包含有钼的密封板23、密封环28以承受腔室内的高温及环境。但是,也可由其它材料来制造密封板23和密封环28,这些材料的选择属于习知技艺人士可轻易推知的范围。在一种实施方式中,为了获得厚度低于4毫米的密封板23和密封环28,可使用厚度低于4毫米的薄板(sheet)来进行制造。
将抛光后的密封环28连接到底板42的背侧表面47上,以提供一用来压靠着O形环51b的平滑表面。此密封环28的垂直厚度小于约4毫米,甚至在0.2毫米至2毫米间。可由基板承接表面34的尺寸来决定内、外直径的大小,使环的内边缘可与底板42底面的最内凸架大约齐平,且环的最外边缘位在基板承接表面34的最外边缘下方并与的齐平。因此,环的内径典型至少为10厘米,外径则典型小于18厘米。已抛光的密封环28包括多数个洞41,其经对准以容许基板升降销45通过其中。
在有助于将静电吸座24安装到密封组件25上的一种实施方式中,密封板23和密封环28利用金属渗入(metal infiltration)、铜锌合金焊接(brazing)、焊接(soldering)或扩散结合(diffusion bonding)等方式而结合到底板42上。也可在结合期间使用热压或热等静电压来施加压力到组件上,以移除气室并创造出具有均匀热阻抗的良好接口。用来结合的金属材料可为1000℃、或600℃以下、或更低温的铝系或铜-银系或其它材料的焊料。也可以聚合物黏合或其它低温黏合方法(相较于铜锌合金焊接或AlN-AlSiC的黏合温度),例如铟,来形成上述的结合。
可使用上述用来渗入金属至底板42的多孔结构的相同方法将密封组件25结合到到底板42上。在此方式中,密封组件25被固持到底板42上,同时间熔融金属会渗入密封组件25的顶表面35与底板42的背侧表面47间的间隙中。可将整个结构固持在一模中且工艺期间可施加压力于其上,以形成一优异的结合。优点是,静电吸座24也可同时被结合到底板42上,只要在密封组件25接触底板42的背侧表面47的同时,将静电吸座24固持住使其可接触底板42的顶表面43即可。一适当的渗入金属包含铝与硅及铜所形成的合金。
在另一连接方法中,利用施加一铜锌合金焊接化合物到该密封组件25的至少一连接表面上,而将密封板23和密封环28结合到底板42上,且该密封板23和密封环28彼此对齐才能让其的多个馈入孔54与多个洞41可彼此形成一连续的信道。可在底板42的背侧47或密封板23和密封环28的顶表面35的任一者上施用铜锌合金焊接化合物。适当的铜锌合金焊接化合物的熔解温度(fluxing temperature)应该低于底板42与密封板23和密封环28的融点(melting point)。举例来说,当底板42由陶瓷制成且密封板23由不锈钢制成时,则所挑选的铜锌合金焊接化合物的熔解温度应低于不锈钢的融点,例如至少低约200℃。制造时,可在密封组件25与底板42间施加一铜锌合金焊接化合物浆或一薄层的铜锌合金焊接化合物箔。此铜锌合金焊接化合物典型包含一合金,其含有以下至少一者:铝、铜、铟、铅、镁、镍、锡、硅及其的合金。举例来说,一种适当的铜锌合金焊接化合物包含Cusin-1-ABATM,一铜锌合金焊接合金泥(其内主要含银及铜,可购自WESGO公司(Belmont,CA),可在775℃下熔解)。一种适当的铜锌合金焊接化合物箔包括MBF-20铜锌合金焊接化合物箔,METGLASTM(其为一种镍铜锌合金焊接化合物箔,内含硼、铁、硅、铬及钴,且熔点为约为1000℃)。
在密封组件25被涂布了铜锌合金焊接化合物后或具有铜锌合金焊接化合物箔于其间之后,将其与底板42的背侧表面47对齐来形成组件,使得该些孔54与该些洞41间可行成连续信道。之后将组件加热好让铜锌合金焊接化合物软化并与密封板23和密封环28及底板42反应来形成一铜锌合金焊接结合。此密封组件25与底板42可于一边施加适当压力到组件上,一边在火炉中加热或被热压。将组件加热到足以使铜锌合金焊接化合物熔化并将组件25连接到底板42上的温度。之后,将组件冷却至室温。适当的焊接方法及材料已揭示在美国专利第6,503,368号(Kholendenko等人,2000年6月29日申请)、美国专利第6,583,980号(Wang等人,2000年8月18日申请)、美国专利第6,490,146号(Wang等人,2001年8月13日申请)中,其全部内容并入本文作为参考。
因为底板42外露的周围凸架46并未被介电质30所覆盖,因此此相当强壮的凸缘能以可拆卸的方式连接到平台凸缘40上,使得静电吸座24(包含静电盘27和底板42)可轻易地从腔室中的平台上拆卸下来。可将连接件44插入并穿过凸架46的复合材料而进入平台26的凸缘40来达成此连接。当静电盘27或底板42被腐蚀得太严重或因沾满工艺残余物而变脏时,可利用移除连接件44的方式而从平台26上将可拆卸的静电吸座24卸下。此「可拆卸的(detachable)」静电吸座24可透过更换或翻新静电盘27和/或底板42,而不必更换整个静电吸座24,来减少与处理具有静电吸座24的基板相关的成本支出费用。
为了将底板42连接到平台26上,包含多个洞50的周围凸架46的大小及形状可容许连接件44贯穿其中并连接到平台凸缘40上(图1)。举例来说,该些洞50可垂直延伸穿过周围凸架46的整个厚度,从上表面59到下表面60为止。该些洞50可也可延伸穿过至少一部份的平台凸缘40以便将凸架46固定在凸缘40上。适合将底板凸架46经由该些洞50而连接到平台凸缘40上的连接件44可包含,例如,以下至少一者:销(pin)、托架(bracket)、螺栓(bolt)和螺丝(screw)。举例来说,该连接件44可包含一有螺纹的销,其具有一头部62(可固定在周围凸架46的顶表面59上)以及一有螺纹的下端63(其恰可放入该有螺纹的洞50中),以将底板42固定在平台26上。该底板42较佳是包含一种足够强的材料使其可被磨制成能经由该些洞50而被固定到平台26上的形状,且不会出现龟裂或破损。
一种基板处理设备100包含一腔室102,其内配有一基板支撑件20,支撑件上有一架设在一平台26上的可拆卸的静电吸座24,如图5所示。该平台26包含一外壳80,其适以保护一部份的静电吸座24使不受工艺环境的影响。该外壳80可保护其内的组件,例如电子连接器、气体管、及流体信道。平台26更包含一平台凸缘40,其自外壳80的顶部往外延伸以提供该静电吸座24一突出的支撑。该平台外壳80可包含一种可耐基板处理的腐蚀性环境的金属,例如其可为不锈钢或钛。该平台26也可包含在外壳80与腔室102之间延伸的平台管柱。在所示实施方式中,此平台管柱包含一折管(bellow)84和一马达驱动的可移动柱82。平台外壳80安装至可移动柱82,而马达(图中未示)用来升高或降下该可移动柱82,并因而升高或降下处理腔室102中的基板支撑件20。在一实施方式中,该折管84包含一边缘焊接的不锈钢折管。该折管84的上方边缘被焊接到平台外壳80且折管84的下方边缘被固定到腔室的底墙110上。在底墙110与折管84的接口间安装有一O形环。电子连接器、气体管、及流体信道等可通过折管84以保护其不受工艺环境的影响。
静电吸座24可更包含其它能改善基板处理的组件,例如,热耦33(其的温度感应端被嵌埋在静电盘27内),如图2所示。热耦33可连接到温度监测器上,例如腔室控制器200上,以监控工艺期间静电吸座24与基板22的温度。静电吸座24也可包含一热传气体埠口38,其位在静电盘27的承接表面34上以传送一热传气体(例如,氩气)到基板22的背侧。热传气体埠口38可馈送气体到形成在承接表面34内的馈送信道内,并可经由气体管72而连接至热传气体供应源(未示出)。气体连接器74可插入至静电盘27内来界定出热传气体通过静电盘27内的气体路径,并提供具有欲求流速的该热传气体至支撑表面34。
在一实施方式中,该平台26更包含一传热板56,其可接触静电吸座24的背侧表面47以来回静电吸座24与下方基板22间来传送热能,藉以维持欲求的温度。举例来说,传热板56可包含一加热或冷却板。在一实施方式中,传热板56可包含至少一流体信道58,热传流体可经由此信道流动来控制传热板56的温度。热传流体由流体供应源57来供应,该流体供应源57经由一或多绕道平台26的管柱51的管道61而连接到流体信道58。传热板56较佳是在大部分的基板承接表面34下方延伸(例如,基板承接表面34的至少约25%至85%),以提供基板22良好的热交换。传热板56较好是由诸如金属的类的导热材料制成,例如至少以下一种材料:铜、不锈钢或铝。可在底板42与传热板56之间设置一热导体86,以提高其间的传热效果。热导体86的形状与传热板56的顶表面65及底板42的底表面47一致。在一实施方式中,热导体86包含一层间材料层,例如石墨。在一实施方式中,传热板56经由弹簧83而安装在平台26上,其可将传热板56压靠向底板42的底表面47,藉以确保热接触良好。
设备100的一种实施方式包含一适合用来处理基板22的处理腔室102,如图5所示。所示设备100的一种特定实施方式适合处理诸如半导体晶圆的类的基板22,也可被习知技艺人士用来处理其它类型的基板,例如,平板显示器、聚合物面板或其它电子电路承接结构。设备100特别适合用来处理层,例如基板22上的耐蚀刻、含硅、含金属、介电性和/或导电层。设备100也可连接到含有且提供电、管路及设备100的其它支撑功能的平台(未示出)上,且可以是一种多腔室系统(未示出)的一部份。
一般来说,处理腔室102包含一封围墙104,其包含一天花板106、多个侧墙108及一底墙110,包围出一处理区域112。操作时,经由气体供应源120(其包括一处理气体源122和一气体分配器124)将工艺气体引入到腔室102中。气体分配器124可包括一或多信道126(其具有一或多气流阀128和一或多气体出口130)环绕在基板22周边,基板22被固持在静电吸座24的处理区域112内。或者,气体分配器124可包括一喷头式的气体分配器(未示出)。用过的工艺气体和工艺副产物可从排气口140被排出处理腔室102的外,该排气口140可包括一排出埠口144(用以自处理区域112接收已用过的工艺气体并将气体传送至排气信道146),一节流阀148可控制处理腔室102内的气体压力,以及一或多抽气泵150。
工艺气体可被气体激发器154(其可耦接能量至处理腔室102内的处理区域112的工艺气体)所激发,而用以处里基板22。举例来说,气体激发器154可包括处理电极,其可被电偏压而能激发工艺气体。该些处理电极可包括本身为一道墙104(例如,腔室102的侧墙108)的电极,其可被电容耦接至另一电极,例如天花板106或是腔室102中的标靶170。标靶170可相对于墙104而被电偏压以激发腔室102内的工艺气体,使能从标靶170上将材料溅镀到基板22上。该些电极可被一直流电压、高频电压(例如,射频(RF)电压)或其的组合,所偏压。
或者或除此外,气体激发器154也可包括一天线,其包含诱导线圈164(其绕着腔室102中心而为环形对称)。诱导线圈164由凸架166所支撑,以使线圈164能与腔室侧墙108彼此分开。在另一种实施方式中,气体激发器154可包括一微波源和波导,以通过微波能量在腔室102上游的远程区将工艺气体活化。也可环绕腔室102(例如于腔室的天花板上方,或是围绕侧墙108)设置额外的诱导线圈或电磁线圈(未示出)。
在一种实施方式中,腔室102包含一种能将材料溅镀至基板22上的物理气相沉积室。在此实施方式中,该腔室包含一天花板106,其具有一溅镀标靶170(包含有材料可被溅镀至基板22上)。溅镀标靶170可相对于腔室中另一组件(例如,工艺挡板)而被气体激发器154(其可激发工艺气体)施以电偏压,并从标靶170上溅镀材料至基板22上。当使用一溅镀标靶170时,该腔室也包含一L-型挡板174围绕基板22的周围,以捕捉工艺沉积物。此外,也可使用一沉积环176和覆盖环178来保护吸座24的边缘及平台凸缘40。
为了处理基板22,将处理腔室102抽空并将其压力维持在预定的次大气压(sub-atmospheric pressure)。之后,以一基板传输器180(其可操作一机器手臂184使通过腔室侧墙108上的一狭缝186)将一基板22放置在基板支撑件20的静电吸座24上。将一升降销系统190(包含升降销45)举起穿过基板支撑件20上的多个洞41,来承接基板22。将该些升降销45降下,穿过相同的该些洞41而将基板22停放在基板支撑承接表面34上。气体分配器124可提供一处理气体到腔室102中且气体激发器154可耦接能量到处理气体上以激发该气体并处理基板22,例如,透过蚀刻基板22上的材料或以PVD或CVD来沉积材料到基板22上。如果需要的话,在处理完基板22之后,可清洁该腔室,该气体分配器124可提供一内含清洁气体的处理气体到该腔室102中并以气体激发器154激发该清洁气体。
腔室102由一控制器200所控制,该控制器200包含可操作腔室102的组件来处理腔室102内的基板22的指令集的程序代码。举例来说,该控制器200包含一基板位置指令集,用以操作以下一或多者:静电吸座24、升降销45、和基板传输器180,以便将基板22放置在腔室102内并设定一由电极电源160所供应的吸附电压来将基板22固持在静电吸座24上;一气流控制指令集,以操作流量控制阀134来设定腔室102内的气体流量;一气压控制指令集,以操作排气节流阀148来维持腔室102内的压力;一气体激发器控制指令集,以操作该气体激发器154来设定一气体激发电位;一温度控制指令集,以控制腔室102内的温度,例如控制供应至传热板56的热传流体的供应量,以及供应至支撑承接表面34上的热传气体量;和一工艺监控指令集,以监控腔室102内的工艺,例如经由热耦33来监控温度。
虽然已参考前述实施态样对本发明进行了描述,但是,很明显的,根据前面的描述,许多替代性变化和变体对于本领域技术人员来说是显而易见的。因此,本发明包含所有落入所附权利要求的精神和范畴的内的这样的替代性变化和变体。

Claims (15)

1.一种在一处理腔室内用以附接至一平台的可拆卸的静电吸座,该可拆卸的静电吸座包括:
(a)一静电盘,其包含一陶瓷主体,该陶瓷主体包含有一嵌埋于其中的电极、一基板承接表面、及一环形凸缘;
(b)一底板,位在该静电盘下方,该底板具有一周围凸架以及一底表面,且该周围凸架延伸超过该陶瓷主体的该环形凸缘;及
(c)一密封组件,其包含一密封板和一同心密封环,该密封组件结合至该底板的该底表面。
2.如权利要求1所述的可拆卸的静电吸座,其中该密封环包含以下至少一者:
一圆形环;或
一至少为约10厘米的内径及一低于约18厘米的外径。
3.如权利要求1所述的可拆卸的静电吸座,其中该密封板是D形,且其中该D形包含一连接到一半圆形周长的平坦边。
4.如权利要求3所述的可拆卸的静电吸座,其中该静电吸座支撑在一平台上,且其中该密封板的该D形的该平坦边可作为能与该平台内一相应的平坦边空穴相符的一对准键。
5.如权利要求3所述的可拆卸的静电吸座,其中该D形密封板包含5个孔,该些5个孔的形状与位置可容许一或多个的气体连接器、热耦及电极柱通过。
6.如权利要求1所述的可拆卸的静电吸座,其中每一该密封板和该密封环包含至少以下一者:
厚度低于约4毫米;
表面平坦度值低于约200微米;或
平均粗糙度小于63。
7.如权利要求1所述的可拆卸的静电吸座,其中每一该密封板和该密封环包含至少以下一者:
表面平坦度在约25微米至约125微米间;或
平均粗糙度小于32。
8.如权利要求1所述的可拆卸的静电吸座,其中该底板包括一背侧表面,且该背侧表面具有一高起的中央突出物。
9.如权利要求8所述的可拆卸的静电吸座,其中该高起的中央突出物包括至少以下一种特性:
由一环形凹槽所环绕;或
该高起的中央突出物为D形。
10.一种基板支撑件,包含:
(a)如权利要求1所述的该可拆卸的静电吸座;
(b)一平台,具有一上表面、一外壳及一往外延伸的平台凸缘,该平台凸缘可被附接至该静电吸座的该底板的该周围凸架上;及
(c)一组O形环,设置在该密封组件与该平台的该上表面之间。
11.一种基板处理腔室,包含如权利要求10所述的该基板支撑件,且更包含一气体供应源以提供一处理气体到该腔室内、一气体激发器以激发该气体、和一排气埠口以将该气体排出至该腔室外。
12.一种用来在一处理腔室内的一静电吸座与一平台间形成一气密式密封的密封组件,该密封组件包含:
(a)一密封板,其为D形且具有一连接到一半圆形周长的平坦边;及
(b)一密封环,包含一圆形环,且该圆形环与该密封板为同心设置;
其中每一该密封板与该密封环的表面平坦度低于约200微米。
13.如权利要求12所述的密封组件,其中该密封环的该圆形环包含一至少为约10厘米的内径及一少于约18厘米的外径。
14.如权利要求12所述的密封组件,其中该D形的密封板的该平坦边可作为能与该平台内相应的一平坦边空穴相符的一对准键。
15.如权利要求12所述的密封组件,其中每一该密封板与该密封环的厚度小于约4毫米。
CN2007900000965U 2006-10-13 2007-10-12 具有密封组件的可拆卸的静电吸座 Expired - Lifetime CN201436680U (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/549,594 2006-10-13
US11/549,594 US7589950B2 (en) 2006-10-13 2006-10-13 Detachable electrostatic chuck having sealing assembly
PCT/US2007/021925 WO2008048518A1 (en) 2006-10-13 2007-10-12 Detachable electrostatic chuck having sealing assembly

Publications (1)

Publication Number Publication Date
CN201436680U true CN201436680U (zh) 2010-04-07

Family

ID=39111835

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007900000965U Expired - Lifetime CN201436680U (zh) 2006-10-13 2007-10-12 具有密封组件的可拆卸的静电吸座

Country Status (6)

Country Link
US (1) US7589950B2 (zh)
JP (1) JP3159306U (zh)
KR (1) KR20090011307U (zh)
CN (1) CN201436680U (zh)
TW (1) TWI373092B (zh)
WO (1) WO2008048518A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104626039A (zh) * 2013-11-13 2015-05-20 旺宏电子股份有限公司 装卸治具
CN105299023A (zh) * 2015-12-10 2016-02-03 北京中电科电子装备有限公司 一种真空陶瓷吸盘
CN110450426A (zh) * 2018-05-07 2019-11-15 恩格尔奥地利有限公司 用于操纵和局部地固定的设备
CN111128845A (zh) * 2019-12-16 2020-05-08 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100365795C (zh) * 2003-06-17 2008-01-30 创意科技股份有限公司 双极型静电卡盘
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2010021510A (ja) * 2008-06-13 2010-01-28 Canon Anelva Corp 基板保持装置およびプラズマ処理装置
KR101036605B1 (ko) 2008-06-30 2011-05-24 세메스 주식회사 기판 지지 유닛 및 이를 이용한 매엽식 기판 연마 장치
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
KR101573962B1 (ko) * 2008-08-19 2015-12-02 램 리써치 코포레이션 정전척용 에지 링
WO2010073514A1 (ja) * 2008-12-25 2010-07-01 株式会社アルバック 静電チャック用のチャックプレートの製造方法
JP5262878B2 (ja) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 載置台構造及びプラズマ成膜装置
US8597448B2 (en) * 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
US9969022B2 (en) * 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
JP5303054B2 (ja) * 2011-09-14 2013-10-02 住友精密工業株式会社 金属充填装置
KR101286724B1 (ko) * 2011-10-17 2013-07-18 (주)제니스월드 분할 엠보싱 구조 정전척
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9556074B2 (en) * 2011-11-30 2017-01-31 Component Re-Engineering Company, Inc. Method for manufacture of a multi-layer plate device
JP2013171901A (ja) * 2012-02-20 2013-09-02 Ulvac Japan Ltd 静電チャック付き基板保持装置
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9613783B2 (en) * 2014-07-24 2017-04-04 Applied Materials, Inc. Method and apparatus for controlling a magnetic field in a plasma chamber
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI613753B (zh) * 2015-02-16 2018-02-01 靜電吸附承盤側壁之改良密封件
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
JP6778553B2 (ja) * 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6664298B2 (ja) 2016-09-09 2020-03-13 株式会社バルカー シール材
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180122679A1 (en) * 2016-10-28 2018-05-03 Applied Materials, Inc. Stress balanced electrostatic substrate carrier with contacts
US11621180B2 (en) 2016-10-31 2023-04-04 Nissin Ion Equipment Co., Ltd. Heating device
JP6296189B1 (ja) * 2016-10-31 2018-03-20 日新イオン機器株式会社 加熱装置、半導体製造装置
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6960737B2 (ja) * 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11955362B2 (en) 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10654147B2 (en) * 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US11149345B2 (en) * 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11915850B2 (en) 2017-12-20 2024-02-27 Applied Materials, Inc. Two channel cosine-theta coil assembly
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
DE112019002575T5 (de) * 2018-05-22 2021-03-11 Watlow Electric Manufacturing Company Faseroptische Sonde mit dualem Dichtungs- und Kompressionselement
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10411448B1 (en) * 2018-08-20 2019-09-10 Siemens Industry, Inc. Ring assembly of radially-concentric rings with quick fastening mechanism to detachably connect such rings to one another
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11293551B2 (en) 2018-09-30 2022-04-05 ColdQuanta, Inc. Break-seal system with breakable-membrane bridging rings
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
USD893441S1 (en) 2019-06-28 2020-08-18 Applied Materials, Inc. Base plate for a processing chamber substrate support
US11887878B2 (en) 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
US20220262657A1 (en) * 2019-08-02 2022-08-18 Applied Materials, Inc. Pedestal with multi-zone heating
CN110767578A (zh) * 2019-10-31 2020-02-07 上海华力集成电路制造有限公司 半导体刻蚀设备预防保养方法和工具
JP7341043B2 (ja) * 2019-12-06 2023-09-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2021118249A (ja) * 2020-01-24 2021-08-10 東京エレクトロン株式会社 プラズマ処理装置
KR20230004790A (ko) 2020-04-29 2023-01-06 어플라이드 머티어리얼스, 인코포레이티드 균일성 개선을 위한 히터 커버 플레이트
JP2022068644A (ja) * 2020-10-22 2022-05-10 東京エレクトロン株式会社 リフトピンのコンタクト位置調整方法、リフトピンのコンタクト位置検知方法、および基板載置機構
USD947914S1 (en) 2020-11-23 2022-04-05 Applied Materials, Inc. Base plate for a processing chamber substrate support
CN115241114B (zh) * 2022-08-17 2023-10-10 常熟市兆恒众力精密机械有限公司 一种晶圆盘夹具

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3586343A (en) * 1969-04-21 1971-06-22 Giddings & Lewis Tool-orienting arrangement
US4180723A (en) * 1977-03-28 1979-12-25 Corning Glass Works Electrical contacts for electrically conductive carbon glasses
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
AU570439B2 (en) 1983-03-28 1988-03-17 Compression Labs, Inc. A combined intraframe and interframe transform coding system
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
DE3744589C1 (de) * 1987-12-31 1988-12-29 Albrecht Josef Bohrfutter Nachspannendes Bohrfutter
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
JPH04238882A (ja) 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk 高温絶縁物品
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
US5700342A (en) * 1993-06-30 1997-12-23 Simmonds Precision Products Inc. Composite enclosure for electronic hardware
TW277139B (zh) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5527584A (en) * 1993-10-19 1996-06-18 Hexcel Corporation High thermal conductivity triaxial non-metallic honeycomb
JPH07307377A (ja) * 1993-12-27 1995-11-21 Shin Etsu Chem Co Ltd 静電チャック付セラミックスヒーター
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JPH08507196A (ja) * 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
US5729423A (en) * 1994-01-31 1998-03-17 Applied Materials, Inc. Puncture resistant electrostatic chuck
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3485390B2 (ja) 1995-07-28 2004-01-13 京セラ株式会社 静電チャック
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5870100A (en) * 1995-11-22 1999-02-09 Compaq Computer Corporation Filling of graphical regions
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5958813A (en) * 1996-11-26 1999-09-28 Kyocera Corporation Semi-insulating aluminum nitride sintered body
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5879100A (en) * 1996-12-11 1999-03-09 Winkler; John Coupling element for hand lever mechanism
JP3347742B2 (ja) * 1997-01-02 2002-11-20 シーヴイシー・プロダクツ・インコーポレーテッド 真空処理装置のための熱伝導性チャック、熱伝達装置及びチャック本体と基材との間で熱を伝達させる方法
US5818693A (en) * 1997-01-09 1998-10-06 Thermal Corp. Heat dissipating computer case having oriented fibers and heat pipe
JP4022954B2 (ja) * 1997-01-29 2007-12-19 ソニー株式会社 複合材料及びその製造方法、基体処理装置及びその作製方法、基体載置ステージ及びその作製方法、並びに基体処理方法
US5737178A (en) * 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
JPH10270540A (ja) 1997-03-26 1998-10-09 Nippon Cement Co Ltd 静電チャックデバイスおよび静電チャック用基台
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
JPH11163109A (ja) 1997-12-01 1999-06-18 Kyocera Corp ウエハ保持装置
JPH11176919A (ja) 1997-12-08 1999-07-02 Sony Corp 静電チャック
US5968747A (en) * 1997-12-12 1999-10-19 Incyte Pharmaceuticals, Inc. Ubiquitin-like conjugating protein
EP0948042A1 (de) * 1998-03-06 1999-10-06 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Elektrostatische Vorrichtung zum Halten von Wafern und anderen Bauteilen
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) * 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
US6159055A (en) * 1998-07-31 2000-12-12 Applied Materials, Inc. RF electrode contact assembly for a detachable electrostatic chuck
US5949650A (en) * 1998-09-02 1999-09-07 Hughes Electronics Corporation Composite heat sink/support structure
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6181049B1 (en) * 1999-02-12 2001-01-30 General Atomics Multiple cell thermionic converter having apertured tubular intercell connectors
US6094334A (en) * 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6490146B2 (en) * 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6462928B1 (en) * 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6503572B1 (en) * 1999-07-23 2003-01-07 M Cubed Technologies, Inc. Silicon carbide composites and methods for making same
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
EP1356499A2 (en) * 2000-07-10 2003-10-29 Temptronic Corporation Wafer chuck with interleaved heating and cooling elements
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6503131B1 (en) * 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3989254B2 (ja) * 2002-01-25 2007-10-10 日本碍子株式会社 異種材料接合体及びその製造方法
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US7029529B2 (en) * 2002-09-19 2006-04-18 Applied Materials, Inc. Method and apparatus for metallization of large area substrates
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104626039A (zh) * 2013-11-13 2015-05-20 旺宏电子股份有限公司 装卸治具
CN105299023A (zh) * 2015-12-10 2016-02-03 北京中电科电子装备有限公司 一种真空陶瓷吸盘
CN105299023B (zh) * 2015-12-10 2017-09-29 北京中电科电子装备有限公司 一种真空陶瓷吸盘
CN110450426A (zh) * 2018-05-07 2019-11-15 恩格尔奥地利有限公司 用于操纵和局部地固定的设备
CN111128845A (zh) * 2019-12-16 2020-05-08 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘
CN111128845B (zh) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘

Also Published As

Publication number Publication date
KR20090011307U (ko) 2009-11-04
JP3159306U (ja) 2010-05-20
TWI373092B (en) 2012-09-21
US20080089001A1 (en) 2008-04-17
TW200824031A (en) 2008-06-01
US7589950B2 (en) 2009-09-15
WO2008048518A1 (en) 2008-04-24

Similar Documents

Publication Publication Date Title
CN201436680U (zh) 具有密封组件的可拆卸的静电吸座
JP6689937B2 (ja) 真空プロセス・チャンバの構成部品及び製造方法
US7697260B2 (en) Detachable electrostatic chuck
CN101243542B (zh) 具有焊接板和加热器的基材支撑件
CN101553900B (zh) 包括用于减少聚合物沉积的rf吸收材料的等离子体限制环
CN101787519B (zh) 用于衬底处理腔室的工艺配件
CN101730921B (zh) 基板清洁腔室与其部件
CN102160167B (zh) 静电吸盘组件
CN105359265B (zh) 原位可移除式静电夹盘
CN103403843B (zh) 混合型陶瓷喷淋头
CN101325169B (zh) 载置台和使用该载置台的等离子体处理装置
JP7064895B2 (ja) プラズマ処理装置
JP6968120B2 (ja) 薄い基板をハンドリングするための静電キャリア
CN104205321A (zh) 具有实时热区调节能力的高温静电夹具
KR20100127200A (ko) 배치대 구조 및 처리 장치
CN105408993A (zh) 局部加热的多区域基板支撑件
CN102782831A (zh) 静电吸盘
KR20160045614A (ko) Esc 어셈블리를 통한 균일한 rf 전력 전달을 위한 전기적으로 전도성 개스킷을 포함하는 esc 어셈블리
CN110226222A (zh) 具有射频隔离式加热器的静电吸盘
US6510888B1 (en) Substrate support and method of fabricating the same
JP2004349666A (ja) 静電チャック
JP2011515854A (ja) ロール成形表面を有するサセプター、及び同サセプターを形成する方法
TWI604560B (zh) 利用膜印刷技術形成靜電夾盤的方法
CN117832036A (zh) 一种热熔铝电极层静电吸盘及制作工艺

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20100407