CN2786784Y - 可拆卸静电吸盘 - Google Patents
可拆卸静电吸盘 Download PDFInfo
- Publication number
- CN2786784Y CN2786784Y CNU2004201157295U CN200420115729U CN2786784Y CN 2786784 Y CN2786784 Y CN 2786784Y CN U2004201157295 U CNU2004201157295 U CN U2004201157295U CN 200420115729 U CN200420115729 U CN 200420115729U CN 2786784 Y CN2786784 Y CN 2786784Y
- Authority
- CN
- China
- Prior art keywords
- substrate
- heat transfer
- sucker
- ceramic body
- transfer plate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 230000003068 static effect Effects 0.000 title description 8
- 239000000758 substrate Substances 0.000 claims abstract description 125
- 238000012546 transfer Methods 0.000 claims abstract description 51
- 239000000919 ceramic Substances 0.000 claims abstract description 34
- 229910052751 metal Inorganic materials 0.000 claims abstract description 21
- 239000002184 metal Substances 0.000 claims abstract description 21
- 229910010293 ceramic material Inorganic materials 0.000 claims abstract description 14
- 150000001875 compounds Chemical class 0.000 claims abstract description 12
- 239000000463 material Substances 0.000 claims description 27
- 239000012530 fluid Substances 0.000 claims description 21
- 238000000034 method Methods 0.000 claims description 15
- 230000008569 process Effects 0.000 claims description 14
- 239000013529 heat transfer fluid Substances 0.000 claims description 10
- 239000000853 adhesive Substances 0.000 claims description 7
- 230000001070 adhesive effect Effects 0.000 claims description 7
- 239000004411 aluminium Substances 0.000 claims description 7
- 229910052782 aluminium Inorganic materials 0.000 claims description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 7
- 230000008595 infiltration Effects 0.000 claims description 6
- 238000001764 infiltration Methods 0.000 claims description 6
- 230000002687 intercalation Effects 0.000 claims description 5
- 238000009830 intercalation Methods 0.000 claims description 5
- 229910001220 stainless steel Inorganic materials 0.000 claims description 5
- 239000010935 stainless steel Substances 0.000 claims description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 4
- 239000010949 copper Substances 0.000 claims description 4
- 229910052802 copper Inorganic materials 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 238000012545 processing Methods 0.000 abstract description 18
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 9
- 239000007788 liquid Substances 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 54
- 239000002131 composite material Substances 0.000 description 10
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 229910017083 AlN Inorganic materials 0.000 description 5
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 5
- 230000007797 corrosion Effects 0.000 description 4
- 238000005260 corrosion Methods 0.000 description 4
- 230000005284 excitation Effects 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000005219 brazing Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000001771 impaired effect Effects 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 239000012466 permeate Substances 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000000087 stabilizing effect Effects 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000004087 circulation Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052878 cordierite Inorganic materials 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- JSKIRARMQDRGJZ-UHFFFAOYSA-N dimagnesium dioxido-bis[(1-oxido-3-oxo-2,4,6,8,9-pentaoxa-1,3-disila-5,7-dialuminabicyclo[3.3.1]nonan-7-yl)oxy]silane Chemical compound [Mg++].[Mg++].[O-][Si]([O-])(O[Al]1O[Al]2O[Si](=O)O[Si]([O-])(O1)O2)O[Al]1O[Al]2O[Si](=O)O[Si]([O-])(O1)O2 JSKIRARMQDRGJZ-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 239000002905 metal composite material Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 229910000679 solder Inorganic materials 0.000 description 1
- 238000005476 soldering Methods 0.000 description 1
- 238000005477 sputtering target Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000036413 temperature sense Effects 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T279/00—Chucks or sockets
- Y10T279/23—Chucks or sockets with magnetic or electrostatic means
Abstract
本实用新型提供了一种能够安装到处理室中底座上的静电吸盘。所述吸盘具有包括带嵌入电极的陶瓷体的静电圆盘。所述陶瓷体具有带环形外缘的衬底支撑表面。所述吸盘还具有在所述静电圆盘之下的基板,所述基板是陶瓷材料和金属的复合物。所述基板具有延伸到所述陶瓷体的外缘之外的环形凸缘。所述基板和静电圆盘可以由支撑底座来支撑,该支撑底座具有壳体和环形壁架,所述环形壁架从所述壳体向外延伸以安装到所述基板的所述环形凸缘。还可以设置具有嵌入热传递流体通道的热传递板。
Description
技术领域
本实用新型涉及在处理室中固定衬底的静电吸盘。
背景技术
在对例如半导体晶片和显示器的衬底的处理中,衬底被放置在处理室中的支撑上并在该室中维持合适的处理条件。支撑可以包括静电吸盘,其具有能够被电偏压的电极以在支撑上固定衬底。该电极也可以用例如RF偏压电源电偏压来激励室中的处理气体以处理衬底。支撑还可以包括支撑室中的静电吸盘的底座,并且能够升高或降低静电吸盘和衬底的高度。底座还可以为连接到支撑的各部分的连接导线、气体管等提供保护外壳。
在典型的室处理中,受激励的气体被用来通过例如在衬底上刻蚀或沉积材料,或者清洁室中的表面来处理衬底。这些受激励的气体可以包括例如化学刻蚀剂的高腐蚀性种类,以及可以腐蚀掉部分支撑(例如由氮化铝构成的静电吸盘)的受激励的离子和自由基种类。被腐蚀的支撑可能是有问题的,因为受损的支撑不能提供处理衬底或在支撑上固定衬底所需的电特性。而且,从支撑腐蚀掉的微粒可能污染被固定在支撑上的衬底。
具有改善的抗腐蚀性的传统支撑的一个例子包括由例如氮化铝的陶瓷制成的静电吸盘,该吸盘具有被钎焊到其下的不锈钢底座的嵌入电极,如同2001年3月19日由Tsai等人递交并共同转让给Applied Materials的美国专利No.6,563,686中所描述的,这里通过全文引用而包括了该专利。由陶瓷制成的静电吸盘是所期望的,因为其通过受激励的处理气体而具有改善的抗腐蚀性,并且即使在超过几百摄氏度的高衬底处理温度下也可以保持其结构完整。但是,传统支撑的一个问题是在陶瓷静电吸盘和支撑底座之间可能出现热膨胀不匹配,尤其是在高温下进行的衬底处理中。陶瓷材料和金属底座的热膨胀系数的不同会产生可能导致陶瓷断裂或破裂的热和机械应力。
1999年5月7日由Shamouilian等人递交并共同转让给AppliedMaterials的、题为“Electrostatic chuck bonded to base with a bond layer andmethod”的美国专利No.6,490,146中描述了对热膨胀不匹配问题的一种解决方案,这里通过全文引用而包括了该专利。Shamouilian等人描述的支撑具有陶瓷静电构件,其具有被安装到该静电构件之下的基座上的电极。基座由陶瓷和金属的复合物制成,该复合物具有充分接近静电构件的热膨胀系数以降低热膨胀应力,例如降低到小于10%的不同。陶瓷静电构件和基座通常被钎焊到一起,以提供静电构件和基座之间的强的粘接。通过经由金属粘接层将基座安装到下面的支撑,可以将基座紧固在室中。
但是,即使这些高级衬底支撑,当其暴露多个等离子处理循环之后具有需要大量清洁的累积处理沉积物或者腐蚀时,也可能最终需要更换或磨光。有时,不得不更换整个支撑,以使得衬底不会被处理残留物或者由支撑的受损部分产生的剥落微粒所污染,并且确保支撑所需的电特性维持一致。更换整个支撑可能既贵又浪费。例如,更换的衬底支撑可能耗费数万美元,并且支撑可能在平均处理50,000到100,000个衬底之后就需要更换,这增加了处理的成本。如果误用或偶然的操作损坏了支撑表面或边,那支撑也可能需要被更换。
因此,所期望的是有一种减少热膨胀不匹配问题的衬底支撑。另外还期望有一种不需要像传统支撑那样频繁更换整个支撑的衬底支撑。还期望有一种不会导致像传统支撑那样高的更换成本的衬底支撑。
实用新型内容
本实用新型的目的在于提供一种静电吸盘,该静电吸盘提供降低的热膨胀不匹配应力。本实用新型的另一个目的在于提供一种静电吸盘,其容易地连接到室上以及从室上拆卸。
一种静电吸盘能够安装到处理室中的底座上。该吸盘具有包括带嵌入电极的陶瓷体的静电圆盘。所述陶瓷体具有带环形外缘的衬底支撑表面。在所述静电圆盘之下的基板包括陶瓷材料和金属的复合物,并具有延伸到所述陶瓷体的外缘之外的环形凸缘。所述环形凸缘延伸使得所述吸盘能够更容易地安装并从所述室拆下。
在一种方案中,所述基板是渗透有金属的陶瓷材料的复合物并具有环形凸缘,所述环形凸缘带有多个形状和大小使得连接器可以从其穿过的孔。所述吸盘具有包括壳体和环形壁架的支撑底座,所述环形壁架从所述壳体向外延伸。所述环形壁架能够通过所述连接器被安装到所述基板的所述环形凸缘。
在另一种方案中,所述静电吸盘具有在所述基板之下并至少部分由所述底座壳体所围绕的热传递板。所述热传递板具有嵌入的热传递流体通道。所述吸盘还具有至少部分由所述底座壳体围绕的弹簧组件,所述弹簧组件可以被偏置来将所述热传递板压在所述基板上。
因此,本实用新型的静电吸盘能够提供更容易的拆卸操作以及降低的热膨胀不匹配应力。
附图说明
相对于以下说明本实用新型的示例的说明、所附权利要求和附图,本实用新型的这些特征、方面和优点将变得更好理解。但是,应该理解到可以在本实用新型中一般地使用这些特征中的每一个,而不仅仅是在具体附图的上下文中,并且本实用新型包括这些特征的任何组合,所述附图中:
图1是静电吸盘的一个实施例的侧视剖视图,该静电吸盘包括具有被安装到底座壁架上的环形凸缘的基板;
图2是具有热传递流体通道的热传递板的实施例的俯视剖视图;和
图3是具有静电吸盘的室的一种方案的示意的侧视部分剖视图。
具体实施方式
如图1的示例室实施例所示,静电吸盘20包括具有可充电电极24的静电圆盘22,该电极24可以通过静电将衬底104固定在衬底处理室106中。静电圆盘22包括其中嵌有电极24的陶瓷体26。陶瓷体26包括将衬底104固定在吸盘20上的衬底支撑表面28,并且可以具有带环形外缘48的盘形。电极24能够被电偏压来将衬底104用静电固定在表面28上。例如,如图3所示,电极24可以经由电连接器30而被连接到提供DC夹持电压的电极电源81。电极24还可被电偏压来激励室中的处理气体,以处理衬底104或清洁室106。例如,电极电源81可以能够向电极24提供RF偏压,以将能量电容性地耦合到处理气体。陶瓷体26理想地包括可被电磁能透过的材料,例如氮化铝、氧化铝和氧化钛中的至少一种,优选地包括氮化铝。
静电吸盘20还可以包括底座32以在室106中支撑静电圆盘22和衬底104。底座32包括壳体34来保护静电吸盘20的各部分不受处理环境的影响。壳体34包括侧壁38和底壁66,这两者环绕内部空间35以保护该空间内的部件,例如电连接器、气体管和流体管道。底座32还包括从壳体侧壁38的顶部向外伸出的壁架40,以提供对静电圆盘22的突出的支撑。底座壳体34可以包括可以抵抗衬底处理环境中的腐蚀的金属,例如不锈钢和钛中的至少一种。底座32还可以包括在壳体34和室106之间延伸的底座柱33。可以使电连接器、气体管和流体管道穿过柱33以保护其不受处理环境的影响。还可以设置波纹管组件(bellows assembly)36以在室106中升高或降低静电圆盘22和衬底104。
静电吸盘20还包括在静电圆盘22之下的基板42,其将静电圆盘22连接到底座32。基板42包括在陶瓷体26之下的盘形主体部分43,以及从主体部分43沿着底座32的壁架40向外伸出的环形凸缘46。环形凸缘46伸到陶瓷体26的外缘48之外,以提供基板中不被陶瓷体26覆盖并且可以被直接安装到底座32上的暴露部分。为了将基板42连接到底座32,环形凸缘46包括多个孔50,这些孔50的大小和形状使得连接器44可以从其穿过而连接到底座壁架40。例如,孔50可以从凸缘上表面41到凸缘下表面51,垂直延伸通过环形凸缘46的厚度。孔50还可以延伸通过底座壁架40的至少一部分以将凸缘46紧固到壁架40。适于经由孔50来将基板42连接到壁架40的连接器44可以包括例如销钉、卡钉、螺栓、螺钉、钉子以及其他类似物体中的至少一种。例如,连接器44可以包括带螺纹的销钉,其具有被固定在环形凸缘46的顶表面41上的头部45,以及与形成于壁架40中的螺纹孔50配合的带螺纹下端47以将基板42和底座32紧固到一起。
基板42理想地包括具有足够强度的材料,以允许其经由孔50牢固地紧固到底座32而不会裂开或折断。在一种方案中,基板42包括由陶瓷材料和金属复合的材料。该复合材料提供了相对于单有陶瓷材料(例如AIN)更高的强度和耐久性,并且还具有好的热传递特性,以向和从陶瓷体26和衬底104传递热。该复合材料还具有与陶瓷体26十分匹配的热膨胀系数,以减少陶瓷体26和基板42之间的热膨胀不匹配。在一种方案中,复合材料包括有微孔的陶瓷材料,这些微孔渗透有金属。所渗透的金属至少部分地填充陶瓷中的微孔而形成具有陶瓷和金属两种属性的复合材料,以提供大幅提高的材料强度而不牺牲好的热匹配特性。陶瓷材料可以包括例如碳化硅、氮化铝、氧化铝和堇青石,优选地是碳化硅。陶瓷材料可以包括占整个陶瓷体积从约20%到约80%的未渗透金属时的微孔体积。渗透金属可以包括铝、硅和铜中的一种或者混合物,优选地包括铝。渗透金属可以包括占整个复合材料从约20%到约80%的体积百分比。在另一种方案中,复合材料可以包括陶瓷和金属的不同复合,例如包括其中分散有陶瓷颗粒的金属的复合物。
在一种方案中,基板42可以通过粘接层54被安装到静电圆盘22的陶瓷体26。在一种方案中,粘接层54包括合适的钎焊材料,其形成在基板42的顶表面49和陶瓷体26的底表面25之间。基板42、粘接层54和陶瓷体26随后被加热到足够高的温度并处于足够高的压力下,以使得粘接层钎焊材料扩散到基板42和陶瓷体26两者之中来形成这些材料之间的粘接。粘接层54理想地包括具有合适地与陶瓷体26和基板42匹配的热特性的材料。例如,粘接层54可以包括诸如铝的金属材料。
具有包括复合材料的基板42并具有环形凸缘46的静电吸盘20是对传统衬底支撑的改进,因为在需要更换或磨光静电圆盘22和基板42中的一个或多个时,该静电吸盘20允许静电圆盘22和基板42从底座32上容易拆下来。因为基板42的暴露环形凸缘部分不被相对较脆的陶瓷体26所覆盖,所以相对更高强度的复合材料的环形凸缘46可以被可拆卸地直接连接到底座32,以允许轻易地拆下圆盘22和基板42。例如,通过插入连接器44穿过凸缘46的复合材料并进入底座32,可以将静电圆盘22和基板42可拆卸地连接到底座32。当圆盘22和基板42中的一个或多个已被处理残留物过分侵蚀或污染时,随后可以通过从基板凸缘46和底座壁架40中的至少一个上拆下连接器44,来从底座32上拆下圆盘22和基板42。
可拆卸的静电吸盘20由于允许静电圆盘22和/或基板42在需要时可以更换或磨光,而无须更换整个吸盘20,就降低了与用吸盘20来处理衬底相关联的成本。具有环形凸缘46的基板42提供了显著的优点,因为允许静电吸盘20直接安装到室106,同时仍然可从室106上容易地拆卸。基板42和环形凸缘46还可以由比静电圆盘22的陶瓷材料更有韧性的材料制成,以降低吸盘20和下面的底座32之间的热膨胀不匹配的影响。而且,因为环形凸缘46从基板42向外伸出,所以操作者可以更容易地看见并操作位于环形凸缘46上的螺栓,这使得在吸盘需要清洁、维修或磨光时操作者可以更容易地从室106上拆下吸盘20。在现有技术中,吸盘20通过粘接或金属钎焊而被结合到底座32,使得必须从室106上拆下包括底座32的整个组件。而且,更加难以伸到室的底部以操作下面的安装部件来拆下整个组件。从室106上拆下整个现有技术组件还会导致更大表面或体积的部件在室106之外可能增加的污染。相反,本吸盘20提供了更容易的拆除操作、降低的热膨胀不匹配应力、以及从室106拆下的更小体积的部件。
静电吸盘20还可以包括改进衬底处理的其他元件,例如具有嵌入在静电圆盘22中的温度感应端的热电偶80。热电偶80可以连接到诸如室控制器194的温度监控器,以监控在处理期间吸盘20和衬底104的温度。静电吸盘20还可以包括静电圆盘22的表面28上的热传递气体出口76,以将例如氮气的热传递气体传送到衬底104的后侧。热传递气体出口76可以通向形成于支撑表面28上的通道(未示出),并且可以经由管道74被连接到热传递气体供应器75。气体管72可以被插入到静电圆盘22中,以限定热传递气体穿过圆盘22的通路并向支撑表面28提供所需的热传递气体流。
在一种方案中,静电吸盘20还包括热传递板56,其能够向或从静电圆盘22和衬底104传递热以提供所需的传递处理温度条件。例如,热传递板56可以包括将衬底104冷却到所需温度、或在处理期间维持所需要的衬底温度的冷却板。热传递板56可以包括至少一个流体通道58,可以使热传递流体通过这些流体通道58来控制热传递板56的温度。热传递流体由经由一个或多个管道61连接到流体通道58的流体供应器57供应,管道61的路线可以穿过底座32的柱33。热传递板56理想地延伸在衬底容纳表面28的主要部分的下面,例如从衬底容纳表面28的至少约25%到约85%,以提供与衬底104的良好热交换。热传递板56可以由诸如金属之类的导热材料制成,例如铜、不锈钢和铝中的至少一种。
在一种方案中,热传递板56包括改进的流体通道58,其提供对热传递板56的更好的冷却/加热。在图2所示的方案中,流体通道58被嵌入在热传递板中以提供对板56的更好的冷却/加热。流体通道58包括位于接近板56的中心73的内部区域59处的流体入口64a,该流体入口64a接收来自连接管道61的热传递流体。流体入口64a通向从流体入口64a向着热传递板56的外缘63螺旋出去的第一螺旋通道58a。第一螺旋通道58a理想地不止一次地环绕内部区域59和中心73,例如至少约3次。第二螺旋通道58b在靠近板56的外缘处连接到第一螺旋通道58a,并紧邻着第一螺旋通道58a延伸而沿着第二螺旋流路回到接近板56的中心73的流体出口64b。这样,螺旋通道58a、58b就提供了通过热传递板56的相反的螺旋热传递流体流。螺旋通道58a、58b还提供了通过热传递板56的基本水平的流体流以延伸到板56的更大区域。改进的流体流通道构形通过热接触热传递板56的较大区域而提供了对热传递板56的改进的温度控制。
在一种方案中,通过安装第一和第二板部分71a、71b来形成热传递板56。例如,热传递板56可以包括包含第一材料的第一板部分71a、以及在第一板部分71a之下包含第二材料的第二板部分71b。第一板部分71a可以包含具有将热传递到衬底104的良好热传递特性的第一材料,例如铜。第二板部分71b可以是具有高强度的材料,例如不锈钢。第一和第二板部分71a、71b可以通过传统的粘接方法被结合到一起,例如通过加热板部分71a、71b来将这两部分钎焊到一起。流体流动通道58理想地被嵌入到板部分71a、71b中的一个或多个,并优选地至少部分嵌入第一板部分71a。在一种方案中,通过机加工来形成流体流动通道58,或者在第一板部分71a中形成开口通道并在该开口通道上钎焊第二板部分71b来形成封闭的流体流动通道58。
可以在基板42和热传递板56之间设置导热层60以提高其间的热交换。导热层60与热传递板56的顶表面65和基板42的底表面67共形。在一种方案中,导热层60包括例如石墨的孔隙材料层,如同2001年3月19日由Tsai等人递交并共同转让给Applied Materials的美国专利No.6,563,686中所描述的,这里通过全文引用而包括了该专利。
在一种方案中,静电吸盘20还可以包括用于对热传递板56施加压力的弹簧组件62,以将板56压在基板42上并提高其间的热传递。在图1所示的方案中,弹簧组件62包括多个垂直调整(aligned)的弹簧23,这些弹簧23被压缩在底座壳体34的底壁66和热传递板56之间。可以沿着底壁66间隔布置弹簧23来形成一个或多个环形弹簧分组,其绕热传递板56的所需半径施加压力。弹簧组件62还可以包括压缩环68,其位于弹簧23顶部并具有压住热传递板56的加压表面69。弹簧组件62理想地向热传递板56施加从至少约890N(200lbs)到约1780N(400lbs)的力,以提高基板42和静电圆盘22的热传递。
静电吸盘20还可以在组件中期望施加压力的其他区域中包括弹簧。例如,静电吸盘20可以包括多个气体管弹簧70以在形成于静电圆盘22和基板42中的气体管道74的各部分中支撑和稳定气体管72。多个弹簧70可以位于热传递板56的顶部,并压在气体管72的下表面78上来在气体管道74中紧固气体管。弹簧70在处理温度和处理条件变化时帮助稳定气体管72,否则这些变化可能导致气体管72的不对准。
在图3中示出了包括处理室106的装置102的实施例,该处理室106适于用静电吸盘20处理衬底104。这里所示出的装置102的具体实施例适于处理例如半导体晶片的衬底104,并且可以被本领域技术人员采用来处理其他衬底104,例如平板显示器、聚合物板、或其他电子电路容纳结构。装置102尤其对处理诸如衬底104上的抗刻蚀、含硅、含金属、电介质和/或导体层的层有用。装置102还可以被安装到主机单元(未示出)并且可以是多室系统(未示出)的一部分,所述主机单元包含并向装置102提供电气、管道以及其他支撑功能。
一般而言,处理室106包括例如封闭壁103的壁107,其可以包括封闭出处理区108的顶壁118、侧壁114和底壁116。在工作时,处理气体通过气体供应器130被引入室106中,该气体供应器130包括处理气体源138和气体分配器137。气体分配器137可以包括一个或多个管道136,该管道136具有围绕衬底104外缘的一个或多个气体出口142和一个或多个气体流动阀134,衬底104被固定在静电吸盘20上的处理区108中。或者,气体分配器130可以包括淋浴头式的气体分配器(未示出)。所消耗的处理气体和处理副产品从室106通过排气装置144排出,该排气装置144可以包括从处理区108接收消耗处理气体并将气体传送到排气管道177的排气口170、控制室106中处理气体压力的节流阀135、以及一个或多个排气泵152。
处理气体可以被气体激励器154激励来处理衬底104,所述气体激励器154将能量耦合到室106的处理区108中的处理气体。例如,气体激励器154可以包括处理电极,其可以由电源供电来激励处理气体。处理电极可以包括作为壁或在壁中的电极,所述壁例如室106的侧壁114或顶壁118,所述电极可以被电容性耦合到另一个电极,例如在衬底104之下的静电吸盘20中的电极24。作为替换或附加,气体激励器154可以包括具有一个或多个感应线圈的天线,这些线圈可以相对于室106的中心环形对称。在另一种方案中,气体激励器154可以包括微波源和波导,以通过在室106上游的远程区(未示出)中的微波能量来激活处理气体。
在一种方案中,室106可以包括能够在衬底104上溅射沉积材料的物理气相沉积室。在这种方案中,该室包括具有要沉积在衬底上的材料的溅射靶155。靶155可以被相对于室中的另一个部件(例如处理护罩)电偏压,以用作气体激励器154的一部分,所述气体激励器154激励处理气体并将来自靶155的材料溅射到衬底104上。
为了处理衬底104,处理室106被抽气并维持在预定的亚大气压下。随后通过例如机器手和升降系统的衬底传输器101使衬底104位于静电吸盘20上。气体供应器130向室106供应处理气体,并且气体激励器154将能量耦合到处理气体以激励气体并例如通过刻蚀衬底上的材料或者在衬底104上沉积材料而来处理衬底104。类似地,为了在处理衬底104之后清洁室,气体供应器130向室106提供包括清洁气体的处理气体,并且气体激励器154激励该清洁气体来清洁室106。
通过包括程序代码的控制器194来控制室106,所述程序代码具有指令集来操作室106的部件以处理室106中的衬底104。例如,控制器194可以包括:衬底定位指令集,用于操作静电吸盘20和衬底传输器中的一个或多个来在室106中定位衬底104,以及设定由电极电源81所施加的夹持电压来将衬底104固定在静电吸盘20上;气流控制指令集,用于操作流动控制阀134来设定到室106的气体流;气压控制指令集,用于操作排气节流阀135来维持室106中的压力;气体激励器控制指令集,用于操作气体激励器154来设定气体激励电源电压;温度控制指令集,用于例如通过控制热传递流体到热传递板56的供应以及热传递气体到支撑表面28的供应,来控制室106中的温度;以及处理监控指令集,用于例如通过经由热电偶80监控温度来监控室106中的处理。
虽然示出并描述了本实用新型的示例实施例,但是本领域技术人员可以设计组成本实用新型并且也在本实用新型范围内的其他实施例。例如,环形凸缘46可以通过除具体描述之外的其他方式被连接到底座的壁架40。另外,针对示例实施例的关系或位置有关项可以互换。因此,所附权利要求不应限于这里为解释实用新型而描述的对优选方案、材料或空间布置的说明。
Claims (14)
1.一种能够安装到处理室中底座上的静电吸盘,其特征在于包括:
(a)包括带嵌入电极的陶瓷体的静电圆盘,所述陶瓷体具有带环形外缘的衬底支撑表面;和
(b)在所述静电圆盘之下的基板,所述基板具有延伸到所述陶瓷体的外缘之外的环形凸缘,其中所述基板包括陶瓷材料和金属的复合物。
2.如权利要求1所述的吸盘,其中所述环形凸缘包括多个孔以允许连接器从其穿过。
3.如权利要求1所述的吸盘,其中所述复合物包括具有渗透金属的陶瓷材料。
4.如权利要求1所述的吸盘,其中所述复合物包括渗透有铝的碳化硅。
5.一种能够使得处理室中的热膨胀不匹配减少的静电吸盘,其特征在于包括:
(a)包括带嵌入电极的陶瓷体的静电圆盘,所述陶瓷体具有衬底支撑表面和环形外缘;
(b)在所述静电圆盘之下的基板,所述基板具有延伸到所述陶瓷体的所述环形外缘之外的环形凸缘,所述环形凸缘包括多个形状和大小使得连接器可以从其穿过的孔,其中所述基板包括包含渗透有金属的陶瓷材料的复合物;和
(c)具有壳体和环形壁架的支撑底座,所述环形壁架从所述壳体向外延伸,其中所述环形壁架能够通过所述连接器被安装到所述基板的所述环形凸缘。
6.如权利要求5所述的吸盘,其中所述基板包括包含渗透有铝的碳化硅的复合物。
7.如权利要求5所述的吸盘,还包括在所述基板之下的热传递板,所述热传递板具有嵌入在其中的热传递流体通道。
8.如权利要求7所述的吸盘,其中所述热传递板包括包含第一材料的上部部分和包含第二材料的下部部分,并且所述热传递流体通道被嵌入在其间。
9.如权利要求8所述的吸盘,其中所述第一材料包括铜,所述第二材料包括不锈钢。
10.如权利要求9所述的吸盘,其中所述热传递板包括嵌入在其中的第一和第二螺旋通道,所述第一螺旋通道被用来提供通过其的、与通过所述第二螺旋通道的流体流基本相反的流体流。
11.如权利要求7所述的吸盘,还包括弹簧组件,用于向所述热传递板施加压力。
12.如权利要求7所述的吸盘,还包括在所述热传递板和所述基板之间的导热层。
13.如权利要求5所述的吸盘,还包括在所述静电圆盘层和所述基板之间的铝粘接层。
14.一种能够使得处理室中的热膨胀不匹配减少的静电吸盘,其特征在于包括:
(a)包括带嵌入电极的陶瓷体的静电圆盘,所述陶瓷体具有衬底支撑表面和环形外缘;
(b)在所述静电圆盘之下的基板,所述基板具有延伸到所述陶瓷体的所述外缘之外的环形凸缘,其中所述基板包括陶瓷材料和金属的复合物;
(c)具有壳体和环形壁架的支撑底座,其中所述环形壁架从所述壳体向外延伸,以安装到所述基板的所述环形凸缘,由此支撑所述基板和所述静电圆盘;
(d)在所述基板之下并至少部分由所述底座壳体所围绕的热传递板,所述热传递板包括嵌入的热传递流体通道;和
(e)至少部分由所述底座壳体围绕的弹簧组件,所述弹簧组件被偏置来将所述热传递板压在所述基板上。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/816,152 US7697260B2 (en) | 2004-03-31 | 2004-03-31 | Detachable electrostatic chuck |
US10/816,152 | 2004-03-31 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN2786784Y true CN2786784Y (zh) | 2006-06-07 |
Family
ID=35054033
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNU2004201157295U Expired - Lifetime CN2786784Y (zh) | 2004-03-31 | 2004-11-16 | 可拆卸静电吸盘 |
Country Status (4)
Country | Link |
---|---|
US (3) | US7697260B2 (zh) |
KR (1) | KR200372083Y1 (zh) |
CN (1) | CN2786784Y (zh) |
TW (1) | TWM263619U (zh) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102270558A (zh) * | 2010-06-04 | 2011-12-07 | 中微半导体设备(上海)有限公司 | 一种用于真空处理系统的安装装置 |
CN102696101A (zh) * | 2009-12-29 | 2012-09-26 | 诺发系统有限公司 | 静电夹盘及其整修方法 |
CN102934196A (zh) * | 2010-06-04 | 2013-02-13 | 艾克塞利斯科技公司 | 用于使用于冷离子注入的扭转轴线升温的有效方法 |
CN103733327A (zh) * | 2011-08-08 | 2014-04-16 | 应用材料公司 | 具有加热器的基板支撑件 |
CN104988472A (zh) * | 2015-06-25 | 2015-10-21 | 沈阳拓荆科技有限公司 | 半导体镀膜设备控温系统 |
CN106716608A (zh) * | 2014-08-01 | 2017-05-24 | 应用材料公司 | 具有独立隔离的加热器区域的晶片载体 |
CN109427642A (zh) * | 2017-08-31 | 2019-03-05 | 上海微电子装备(集团)股份有限公司 | 一种吸盘装置及吸盘转运保护装置及基底运输方法 |
CN109962030A (zh) * | 2017-12-22 | 2019-07-02 | 中微半导体设备(上海)股份有限公司 | 一种静电吸盘 |
CN110085546A (zh) * | 2013-08-05 | 2019-08-02 | 应用材料公司 | 用于薄基板搬运的静电载体 |
CN111604590A (zh) * | 2020-04-21 | 2020-09-01 | 朱波 | 一种焊接用定点铺粉装置 |
US10971389B2 (en) | 2014-07-02 | 2021-04-06 | Applied Materials, Inc. | Multi-zone pedestal for plasma processing |
CN114094386A (zh) * | 2021-11-28 | 2022-02-25 | 盛吉盛(宁波)半导体科技有限公司 | 一种用于薄膜生长设备的偏置射频和直流电源的输送装置 |
TWI813143B (zh) * | 2021-02-08 | 2023-08-21 | 大陸商中微半導體設備(上海)股份有限公司 | 下電極組件和等離子體處理裝置 |
Families Citing this family (212)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7697260B2 (en) * | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
US20080314320A1 (en) * | 2005-02-04 | 2008-12-25 | Component Re-Engineering Company, Inc. | Chamber Mount for High Temperature Application of AIN Heaters |
JP5085534B2 (ja) | 2005-04-27 | 2012-11-28 | エイアー テスト システムズ | 電子デバイスを試験するための装置 |
WO2007022471A2 (en) * | 2005-08-17 | 2007-02-22 | Applied Materials, Inc. | Substrate support having brazed plates and heater |
KR101153118B1 (ko) * | 2005-10-12 | 2012-06-07 | 파나소닉 주식회사 | 플라즈마 처리장치 및 플라즈마 처리방법 |
US20070169703A1 (en) * | 2006-01-23 | 2007-07-26 | Brent Elliot | Advanced ceramic heater for substrate processing |
US20070217119A1 (en) * | 2006-03-17 | 2007-09-20 | David Johnson | Apparatus and Method for Carrying Substrates |
JP5069452B2 (ja) * | 2006-04-27 | 2012-11-07 | アプライド マテリアルズ インコーポレイテッド | 二重温度帯を有する静電チャックをもつ基板支持体 |
US8226769B2 (en) * | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
US9275887B2 (en) | 2006-07-20 | 2016-03-01 | Applied Materials, Inc. | Substrate processing with rapid temperature gradient control |
US20080029032A1 (en) * | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
DE102006042026B4 (de) | 2006-09-07 | 2016-08-04 | Infineon Technologies Ag | Vorrichtung zum Halten eines Substrats und Verfahren zur Behandlung eines Substrats |
US7589950B2 (en) * | 2006-10-13 | 2009-09-15 | Applied Materials, Inc. | Detachable electrostatic chuck having sealing assembly |
US7528392B2 (en) * | 2006-11-27 | 2009-05-05 | Varian Semiconductor Equipment Associates, Inc. | Techniques for low-temperature ion implantation |
US8422193B2 (en) * | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
KR101440656B1 (ko) | 2007-04-05 | 2014-11-03 | 에어 테스트 시스템즈 | 휴대용 팩 및 휴대용 팩을 포함하는 테스터 장치 |
US7800382B2 (en) | 2007-12-19 | 2010-09-21 | AEHR Test Ststems | System for testing an integrated circuit of a device and its method of use |
KR101041069B1 (ko) | 2008-01-14 | 2011-06-13 | 주식회사 코미코 | 세라믹 히터 및 이를 포함하는 기판 처리 장치 |
JP4450106B1 (ja) * | 2008-03-11 | 2010-04-14 | 東京エレクトロン株式会社 | 載置台構造及び処理装置 |
US9558980B2 (en) | 2008-04-30 | 2017-01-31 | Axcelis Technologies, Inc. | Vapor compression refrigeration chuck for ion implanters |
US9036326B2 (en) * | 2008-04-30 | 2015-05-19 | Axcelis Technologies, Inc. | Gas bearing electrostatic chuck |
US8194384B2 (en) * | 2008-07-23 | 2012-06-05 | Tokyo Electron Limited | High temperature electrostatic chuck and method of using |
KR101573962B1 (ko) * | 2008-08-19 | 2015-12-02 | 램 리써치 코포레이션 | 정전척용 에지 링 |
US9064911B2 (en) * | 2008-10-24 | 2015-06-23 | Applied Materials, Inc. | Heated cooling plate for E-chucks and pedestals |
US8030957B2 (en) | 2009-03-25 | 2011-10-04 | Aehr Test Systems | System for testing an integrated circuit of a device and its method of use |
CN101872713B (zh) * | 2009-04-24 | 2012-03-28 | 中微半导体设备(上海)有限公司 | 静电夹盘装置、等离子处理装置和制造静电夹盘装置的方法 |
US8637794B2 (en) | 2009-10-21 | 2014-01-28 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
KR101841378B1 (ko) | 2009-12-15 | 2018-03-22 | 램 리써치 코포레이션 | Cd 균일성을 향상시키기 위한 기판 온도의 조절 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
CN102947916B (zh) | 2010-05-28 | 2016-05-04 | 艾克塞利斯科技公司 | 用于冷冻离子植入系统的加热转动密封件及轴承 |
US9969022B2 (en) | 2010-09-28 | 2018-05-15 | Applied Materials, Inc. | Vacuum process chamber component and methods of making |
US8791392B2 (en) | 2010-10-22 | 2014-07-29 | Lam Research Corporation | Methods of fault detection for multiplexed heater array |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
US9719169B2 (en) * | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120196242A1 (en) * | 2011-01-27 | 2012-08-02 | Applied Materials, Inc. | Substrate support with heater and rapid temperature change |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9337067B2 (en) | 2011-05-13 | 2016-05-10 | Novellus Systems, Inc. | High temperature electrostatic chuck with radial thermal chokes |
JP2014522572A (ja) | 2011-06-02 | 2014-09-04 | アプライド マテリアルズ インコーポレイテッド | 静電チャックの窒化アルミ誘電体の修復方法 |
US9307578B2 (en) | 2011-08-17 | 2016-04-05 | Lam Research Corporation | System and method for monitoring temperatures of and controlling multiplexed heater array |
CA2847429C (en) * | 2011-08-30 | 2018-07-31 | Watlow Electric Manufacturing Company | Thermal array system |
US10388493B2 (en) | 2011-09-16 | 2019-08-20 | Lam Research Corporation | Component of a substrate support assembly producing localized magnetic fields |
TWI584403B (zh) * | 2011-09-30 | 2017-05-21 | 應用材料股份有限公司 | 靜電夾具 |
KR102110108B1 (ko) * | 2011-09-30 | 2020-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 정전 척 |
JP6223983B2 (ja) * | 2011-09-30 | 2017-11-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 温度制御付き静電チャック |
TWI560801B (en) * | 2011-09-30 | 2016-12-01 | Applied Materials Inc | Electrostatic chuck with temperature control |
US9859142B2 (en) | 2011-10-20 | 2018-01-02 | Lam Research Corporation | Edge seal for lower electrode assembly |
US9869392B2 (en) | 2011-10-20 | 2018-01-16 | Lam Research Corporation | Edge seal for lower electrode assembly |
KR101590082B1 (ko) | 2011-11-23 | 2016-01-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들 |
US9556074B2 (en) * | 2011-11-30 | 2017-01-31 | Component Re-Engineering Company, Inc. | Method for manufacture of a multi-layer plate device |
US9324589B2 (en) | 2012-02-28 | 2016-04-26 | Lam Research Corporation | Multiplexed heater array using AC drive for semiconductor processing |
US8809747B2 (en) | 2012-04-13 | 2014-08-19 | Lam Research Corporation | Current peak spreading schemes for multiplexed heated array |
US20130276980A1 (en) * | 2012-04-23 | 2013-10-24 | Dmitry Lubomirsky | Esc with cooling base |
US10537013B2 (en) | 2012-04-23 | 2020-01-14 | Applied Materials, Inc. | Distributed electro-static chuck cooling |
US20130284372A1 (en) * | 2012-04-25 | 2013-10-31 | Hamid Tavassoli | Esc cooling base for large diameter subsrates |
CN104247003B (zh) * | 2012-04-26 | 2018-06-15 | 应用材料公司 | 针对防止静电夹盘的黏接粘合剂侵蚀的方法及设备 |
JP5984504B2 (ja) * | 2012-05-21 | 2016-09-06 | 新光電気工業株式会社 | 静電チャック、静電チャックの製造方法 |
US9711324B2 (en) | 2012-05-31 | 2017-07-18 | Axcelis Technologies, Inc. | Inert atmospheric pressure pre-chill and post-heat |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
US9016675B2 (en) * | 2012-07-06 | 2015-04-28 | Asm Technology Singapore Pte Ltd | Apparatus and method for supporting a workpiece during processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
WO2014083965A1 (ja) * | 2012-11-27 | 2014-06-05 | 株式会社クリエイティブ テクノロジー | 静電チャック,ガラス基板処理方法及びそのガラス基板 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP2015053384A (ja) * | 2013-09-06 | 2015-03-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10391526B2 (en) * | 2013-12-12 | 2019-08-27 | Lam Research Corporation | Electrostatic chuck cleaning fixture |
US10090211B2 (en) | 2013-12-26 | 2018-10-02 | Lam Research Corporation | Edge seal for lower electrode assembly |
CN104752130A (zh) * | 2013-12-30 | 2015-07-01 | 中微半导体设备(上海)有限公司 | 等离子体处理装置及其静电卡盘 |
US9622375B2 (en) * | 2013-12-31 | 2017-04-11 | Applied Materials, Inc. | Electrostatic chuck with external flow adjustments for improved temperature distribution |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US20150332942A1 (en) * | 2014-05-16 | 2015-11-19 | Eng Sheng Peh | Pedestal fluid-based thermal control |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
JP6306722B2 (ja) * | 2014-08-29 | 2018-04-04 | 京セラ株式会社 | ヒータ |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US10008404B2 (en) | 2014-10-17 | 2018-06-26 | Applied Materials, Inc. | Electrostatic chuck assembly for high temperature processes |
JP6349228B2 (ja) | 2014-10-22 | 2018-06-27 | 新光電気工業株式会社 | 静電チャック及びその静電チャックに使用されるベース部材 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10781518B2 (en) * | 2014-12-11 | 2020-09-22 | Applied Materials, Inc. | Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel |
US9909197B2 (en) * | 2014-12-22 | 2018-03-06 | Semes Co., Ltd. | Supporting unit and substrate treating apparatus including the same |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) * | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) * | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160230269A1 (en) * | 2015-02-06 | 2016-08-11 | Applied Materials, Inc. | Radially outward pad design for electrostatic chuck surface |
US10497606B2 (en) * | 2015-02-09 | 2019-12-03 | Applied Materials, Inc. | Dual-zone heater for plasma processing |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10186444B2 (en) * | 2015-03-20 | 2019-01-22 | Applied Materials, Inc. | Gas flow for condensation reduction with a substrate processing chuck |
US10008399B2 (en) | 2015-05-19 | 2018-06-26 | Applied Materials, Inc. | Electrostatic puck assembly with metal bonded backing plate for high temperature processes |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
JP1549880S (zh) * | 2015-08-06 | 2016-05-23 | ||
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
JP1550115S (zh) * | 2015-08-18 | 2016-05-23 | ||
JP1549882S (zh) * | 2015-08-18 | 2016-05-23 | ||
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9805963B2 (en) | 2015-10-05 | 2017-10-31 | Lam Research Corporation | Electrostatic chuck with thermal choke |
WO2017066311A1 (en) * | 2015-10-12 | 2017-04-20 | Applied Materials, Inc. | Substrate carrier for active/passive bonding and de-bonding of a substrate |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US10020218B2 (en) | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
CN108352297B (zh) * | 2015-12-07 | 2023-04-28 | 应用材料公司 | 合并式盖环 |
WO2017100132A1 (en) * | 2015-12-10 | 2017-06-15 | Ioneer, Llc | Apparatus and method for determining parameters of process operation |
US10249526B2 (en) | 2016-03-04 | 2019-04-02 | Applied Materials, Inc. | Substrate support assembly for high temperature processes |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US11069553B2 (en) * | 2016-07-07 | 2021-07-20 | Lam Research Corporation | Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity |
KR20180129976A (ko) * | 2016-07-13 | 2018-12-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 기판 지지부 |
KR101758347B1 (ko) * | 2016-08-01 | 2017-07-18 | 주식회사 엘케이엔지니어링 | 정전 척 및 리페어 방법 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6307730B1 (ja) * | 2016-09-29 | 2018-04-11 | 株式会社新川 | 半導体装置の製造方法、及び実装装置 |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10784139B2 (en) * | 2016-12-16 | 2020-09-22 | Applied Materials, Inc. | Rotatable electrostatic chuck having backside gas supply |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10910195B2 (en) | 2017-01-05 | 2021-02-02 | Lam Research Corporation | Substrate support with improved process uniformity |
CN106872547A (zh) * | 2017-01-11 | 2017-06-20 | 厦门海旭东方智能科技有限公司 | 一种用于氟离子检测的恒温检测器 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
CN110383092B (zh) | 2017-03-03 | 2022-04-01 | 雅赫测试系统公司 | 电子测试器 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10147610B1 (en) | 2017-05-30 | 2018-12-04 | Lam Research Corporation | Substrate pedestal module including metallized ceramic tubes for RF and gas delivery |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US11955362B2 (en) | 2017-09-13 | 2024-04-09 | Applied Materials, Inc. | Substrate support for reduced damage substrate backside |
US20190115241A1 (en) * | 2017-10-12 | 2019-04-18 | Applied Materials, Inc. | Hydrophobic electrostatic chuck |
US10654147B2 (en) * | 2017-10-17 | 2020-05-19 | Applied Materials, Inc. | Polishing of electrostatic substrate support geometries |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
CN107808848A (zh) * | 2017-11-28 | 2018-03-16 | 北京北方华创微电子装备有限公司 | 静电卡盘以及半导体设备 |
US10306776B1 (en) * | 2017-11-29 | 2019-05-28 | Lam Research Corporation | Substrate processing system printed-circuit control board assembly with one or more heater layers |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
KR102140725B1 (ko) * | 2018-01-22 | 2020-08-04 | 상구정공(주) | 기판 지지장치 및 이의 제작방법 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
JP6994981B2 (ja) * | 2018-02-26 | 2022-01-14 | 東京エレクトロン株式会社 | プラズマ処理装置及び載置台の製造方法 |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
JP6504532B1 (ja) * | 2018-03-14 | 2019-04-24 | Toto株式会社 | 静電チャック |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
KR101907171B1 (ko) | 2018-04-23 | 2018-10-12 | 주식회사 셀빛 | 정전 척 |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10957572B2 (en) | 2018-05-02 | 2021-03-23 | Applied Materials, Inc. | Multi-zone gasket for substrate support assembly |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US20210375658A1 (en) * | 2018-10-24 | 2021-12-02 | Applied Materials, Inc. | Substrate support designs for a deposition chamber |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11056372B2 (en) * | 2019-04-30 | 2021-07-06 | Applied Materials, Inc. | Low temperature biasable substrate support |
US11887878B2 (en) | 2019-06-28 | 2024-01-30 | Applied Materials, Inc. | Detachable biasable electrostatic chuck for high temperature applications |
USD893441S1 (en) | 2019-06-28 | 2020-08-18 | Applied Materials, Inc. | Base plate for a processing chamber substrate support |
JP2021118249A (ja) * | 2020-01-24 | 2021-08-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
USD947802S1 (en) * | 2020-05-20 | 2022-04-05 | Applied Materials, Inc. | Replaceable substrate carrier interfacing film |
CN116457670A (zh) | 2020-10-07 | 2023-07-18 | 雅赫测试系统公司 | 电子测试器 |
USD947914S1 (en) | 2020-11-23 | 2022-04-05 | Applied Materials, Inc. | Base plate for a processing chamber substrate support |
TWD223375S (zh) * | 2021-03-29 | 2023-02-01 | 大陸商北京北方華創微電子裝備有限公司 | 靜電卡盤 |
CN117280437A (zh) * | 2021-05-14 | 2023-12-22 | 应用材料公司 | 具有快速排热能力的高温基座 |
Family Cites Families (101)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3586343A (en) | 1969-04-21 | 1971-06-22 | Giddings & Lewis | Tool-orienting arrangement |
US4090851A (en) | 1976-10-15 | 1978-05-23 | Rca Corporation | Si3 N4 Coated crucible and die means for growing single crystalline silicon sheets |
US4180723A (en) | 1977-03-28 | 1979-12-25 | Corning Glass Works | Electrical contacts for electrically conductive carbon glasses |
US4184188A (en) | 1978-01-16 | 1980-01-15 | Veeco Instruments Inc. | Substrate clamping technique in IC fabrication processes |
US4384918A (en) | 1980-09-30 | 1983-05-24 | Fujitsu Limited | Method and apparatus for dry etching and electrostatic chucking device used therein |
AU570439B2 (en) | 1983-03-28 | 1988-03-17 | Compression Labs, Inc. | A combined intraframe and interframe transform coding system |
JPS6131636U (ja) | 1984-07-31 | 1986-02-26 | 株式会社 徳田製作所 | 静電チヤツク |
DE3744589C1 (de) | 1987-12-31 | 1988-12-29 | Albrecht Josef Bohrfutter | Nachspannendes Bohrfutter |
EP0493089B1 (en) | 1990-12-25 | 1998-09-16 | Ngk Insulators, Ltd. | Wafer heating apparatus and method for producing the same |
JPH04238882A (ja) | 1991-01-10 | 1992-08-26 | Denki Kagaku Kogyo Kk | 高温絶縁物品 |
US5155652A (en) | 1991-05-02 | 1992-10-13 | International Business Machines Corporation | Temperature cycling ceramic electrostatic chuck |
US5191506A (en) | 1991-05-02 | 1993-03-02 | International Business Machines Corporation | Ceramic electrostatic chuck |
US6074512A (en) | 1991-06-27 | 2000-06-13 | Applied Materials, Inc. | Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners |
US5257653A (en) * | 1991-11-05 | 1993-11-02 | Precision General Inc. | Ejector pull away system and apparatus |
US5213349A (en) | 1991-12-18 | 1993-05-25 | Elliott Joe C | Electrostatic chuck |
US5376213A (en) | 1992-07-28 | 1994-12-27 | Tokyo Electron Limited | Plasma processing apparatus |
US5600530A (en) | 1992-08-04 | 1997-02-04 | The Morgan Crucible Company Plc | Electrostatic chuck |
US5460684A (en) | 1992-12-04 | 1995-10-24 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5436790A (en) * | 1993-01-15 | 1995-07-25 | Eaton Corporation | Wafer sensing and clamping monitor |
US5478429A (en) | 1993-01-20 | 1995-12-26 | Tokyo Electron Limited | Plasma process apparatus |
KR100260587B1 (ko) | 1993-06-01 | 2000-08-01 | 히가시 데쓰로 | 정전척 및 그의 제조방법 |
JP2749759B2 (ja) | 1993-06-23 | 1998-05-13 | 信越化学工業株式会社 | 静電チャック付セラミックスヒーター |
US5700342A (en) | 1993-06-30 | 1997-12-23 | Simmonds Precision Products Inc. | Composite enclosure for electronic hardware |
TW277139B (zh) | 1993-09-16 | 1996-06-01 | Hitachi Seisakusyo Kk | |
KR100264445B1 (ko) | 1993-10-04 | 2000-11-01 | 히가시 데쓰로 | 플라즈마처리장치 |
US5527584A (en) | 1993-10-19 | 1996-06-18 | Hexcel Corporation | High thermal conductivity triaxial non-metallic honeycomb |
JPH07307377A (ja) | 1993-12-27 | 1995-11-21 | Shin Etsu Chem Co Ltd | 静電チャック付セラミックスヒーター |
US5729423A (en) | 1994-01-31 | 1998-03-17 | Applied Materials, Inc. | Puncture resistant electrostatic chuck |
US5822171A (en) | 1994-02-22 | 1998-10-13 | Applied Materials, Inc. | Electrostatic chuck with improved erosion resistance |
EP0692156A1 (en) | 1994-01-31 | 1996-01-17 | Applied Materials, Inc. | Electrostatic chuck with conformal insulator film |
US5581874A (en) | 1994-03-28 | 1996-12-10 | Tokyo Electron Limited | Method of forming a bonding portion |
JP2720420B2 (ja) | 1994-04-06 | 1998-03-04 | キヤノン販売株式会社 | 成膜/エッチング装置 |
US5928427A (en) | 1994-12-16 | 1999-07-27 | Hwang; Chul-Ju | Apparatus for low pressure chemical vapor deposition |
US5671116A (en) | 1995-03-10 | 1997-09-23 | Lam Research Corporation | Multilayered electrostatic chuck and method of manufacture thereof |
JP3485390B2 (ja) | 1995-07-28 | 2004-01-13 | 京セラ株式会社 | 静電チャック |
US5886863A (en) | 1995-05-09 | 1999-03-23 | Kyocera Corporation | Wafer support member |
US5870100A (en) | 1995-11-22 | 1999-02-09 | Compaq Computer Corporation | Filling of graphical regions |
US5796074A (en) * | 1995-11-28 | 1998-08-18 | Applied Materials, Inc. | Wafer heater assembly |
JPH09213781A (ja) | 1996-02-01 | 1997-08-15 | Tokyo Electron Ltd | 載置台構造及びそれを用いた処理装置 |
US5810933A (en) | 1996-02-16 | 1998-09-22 | Novellus Systems, Inc. | Wafer cooling device |
US5844205A (en) | 1996-04-19 | 1998-12-01 | Applied Komatsu Technology, Inc. | Heated substrate support structure |
US6108189A (en) * | 1996-04-26 | 2000-08-22 | Applied Materials, Inc. | Electrostatic chuck having improved gas conduits |
US5720818A (en) | 1996-04-26 | 1998-02-24 | Applied Materials, Inc. | Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck |
US5958813A (en) | 1996-11-26 | 1999-09-28 | Kyocera Corporation | Semi-insulating aluminum nitride sintered body |
US5886864A (en) | 1996-12-02 | 1999-03-23 | Applied Materials, Inc. | Substrate support member for uniform heating of a substrate |
US5879100A (en) | 1996-12-11 | 1999-03-09 | Winkler; John | Coupling element for hand lever mechanism |
DE19781631T1 (de) * | 1997-01-02 | 1999-04-01 | Cvc Products Inc | Wärmeleitendes Spannfutter für Vakuumbearbeitungsvorrichtung |
US5818693A (en) | 1997-01-09 | 1998-10-06 | Thermal Corp. | Heat dissipating computer case having oriented fibers and heat pipe |
JP4022954B2 (ja) | 1997-01-29 | 2007-12-19 | ソニー株式会社 | 複合材料及びその製造方法、基体処理装置及びその作製方法、基体載置ステージ及びその作製方法、並びに基体処理方法 |
US5737178A (en) | 1997-03-06 | 1998-04-07 | Applied Materials, Inc. | Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks |
JPH10270540A (ja) | 1997-03-26 | 1998-10-09 | Nippon Cement Co Ltd | 静電チャックデバイスおよび静電チャック用基台 |
US5994662A (en) | 1997-05-29 | 1999-11-30 | Applied Materials, Inc. | Unique baffle to deflect remote plasma clean gases |
US6077357A (en) * | 1997-05-29 | 2000-06-20 | Applied Materials, Inc. | Orientless wafer processing on an electrostatic chuck |
US5978202A (en) | 1997-06-27 | 1999-11-02 | Applied Materials, Inc. | Electrostatic chuck having a thermal transfer regulator pad |
US5903428A (en) | 1997-09-25 | 1999-05-11 | Applied Materials, Inc. | Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same |
US6034863A (en) | 1997-11-12 | 2000-03-07 | Applied Materials, Inc. | Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system |
JPH11163109A (ja) | 1997-12-01 | 1999-06-18 | Kyocera Corp | ウエハ保持装置 |
JPH11176919A (ja) | 1997-12-08 | 1999-07-02 | Sony Corp | 静電チャック |
US5968747A (en) * | 1997-12-12 | 1999-10-19 | Incyte Pharmaceuticals, Inc. | Ubiquitin-like conjugating protein |
EP0948042A1 (de) | 1998-03-06 | 1999-10-06 | VenTec Gesellschaft für Venturekapital und Unternehmensberatung | Elektrostatische Vorrichtung zum Halten von Wafern und anderen Bauteilen |
WO1999046128A1 (en) | 1998-03-10 | 1999-09-16 | Diamonex, Incorporated | Highly wear-resistant thermal print heads with silicon-doped diamond-like carbon protective coatings |
US6081414A (en) * | 1998-05-01 | 2000-06-27 | Applied Materials, Inc. | Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system |
US6072685A (en) | 1998-05-22 | 2000-06-06 | Applied Materials, Inc. | Electrostatic chuck having an electrical connector with housing |
JPH11354504A (ja) | 1998-06-08 | 1999-12-24 | Sony Corp | ガラス基板処理装置 |
US5916370A (en) | 1998-06-12 | 1999-06-29 | Applied Materials, Inc. | Semiconductor processing chamber having diamond coated components |
US6159055A (en) | 1998-07-31 | 2000-12-12 | Applied Materials, Inc. | RF electrode contact assembly for a detachable electrostatic chuck |
US5949650A (en) | 1998-09-02 | 1999-09-07 | Hughes Electronics Corporation | Composite heat sink/support structure |
US5986747A (en) | 1998-09-24 | 1999-11-16 | Applied Materials, Inc. | Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments |
US6219219B1 (en) | 1998-09-30 | 2001-04-17 | Applied Materials, Inc. | Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system |
US6228173B1 (en) | 1998-10-12 | 2001-05-08 | Tokyo Electron Limited | Single-substrate-heat-treating apparatus for semiconductor process system |
US6181049B1 (en) | 1999-02-12 | 2001-01-30 | General Atomics | Multiple cell thermionic converter having apertured tubular intercell connectors |
US6094334A (en) | 1999-03-02 | 2000-07-25 | Applied Materials, Inc. | Polymer chuck with heater and method of manufacture |
US6469283B1 (en) | 1999-03-04 | 2002-10-22 | Applied Materials, Inc. | Method and apparatus for reducing thermal gradients within a substrate support |
US6490146B2 (en) | 1999-05-07 | 2002-12-03 | Applied Materials Inc. | Electrostatic chuck bonded to base with a bond layer and method |
US6462928B1 (en) | 1999-05-07 | 2002-10-08 | Applied Materials, Inc. | Electrostatic chuck having improved electrical connector and method |
US6310755B1 (en) | 1999-05-07 | 2001-10-30 | Applied Materials, Inc. | Electrostatic chuck having gas cavity and method |
US20020036881A1 (en) | 1999-05-07 | 2002-03-28 | Shamouil Shamouilian | Electrostatic chuck having composite base and method |
US6423949B1 (en) | 1999-05-19 | 2002-07-23 | Applied Materials, Inc. | Multi-zone resistive heater |
US6503572B1 (en) * | 1999-07-23 | 2003-01-07 | M Cubed Technologies, Inc. | Silicon carbide composites and methods for making same |
US6740853B1 (en) * | 1999-09-29 | 2004-05-25 | Tokyo Electron Limited | Multi-zone resistance heater |
US6490144B1 (en) | 1999-11-29 | 2002-12-03 | Applied Materials, Inc. | Support for supporting a substrate in a process chamber |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
JP4398064B2 (ja) | 2000-05-12 | 2010-01-13 | 日本発條株式会社 | 加熱装置 |
KR20010111058A (ko) | 2000-06-09 | 2001-12-15 | 조셉 제이. 스위니 | 전체 영역 온도 제어 정전기 척 및 그 제조방법 |
US6503368B1 (en) | 2000-06-29 | 2003-01-07 | Applied Materials Inc. | Substrate support having bonded sections and method |
US6700099B2 (en) * | 2000-07-10 | 2004-03-02 | Temptronic Corporation | Wafer chuck having thermal plate with interleaved heating and cooling elements, interchangeable top surface assemblies and hard coated layer surfaces |
US6583980B1 (en) | 2000-08-18 | 2003-06-24 | Applied Materials Inc. | Substrate support tolerant to thermal expansion stresses |
US6563686B2 (en) | 2001-03-19 | 2003-05-13 | Applied Materials, Inc. | Pedestal assembly with enhanced thermal conductivity |
US6506291B2 (en) | 2001-06-14 | 2003-01-14 | Applied Materials, Inc. | Substrate support with multilevel heat transfer mechanism |
US20030010292A1 (en) | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Electrostatic chuck with dielectric coating |
US6503131B1 (en) | 2001-08-16 | 2003-01-07 | Applied Materials, Inc. | Integrated platen assembly for a chemical mechanical planarization system |
US6552905B2 (en) * | 2001-09-13 | 2003-04-22 | International Business Machines Corporation | Heat sink retention apparatus |
US6538872B1 (en) * | 2001-11-05 | 2003-03-25 | Applied Materials, Inc. | Electrostatic chuck having heater and method |
JP3989254B2 (ja) * | 2002-01-25 | 2007-10-10 | 日本碍子株式会社 | 異種材料接合体及びその製造方法 |
US6646233B2 (en) | 2002-03-05 | 2003-11-11 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus and wafer processing method |
JP3962661B2 (ja) * | 2002-08-30 | 2007-08-22 | 三菱重工業株式会社 | 静電チャック支持機構及び支持台装置及びプラズマ処理装置 |
US7029529B2 (en) * | 2002-09-19 | 2006-04-18 | Applied Materials, Inc. | Method and apparatus for metallization of large area substrates |
US20050194374A1 (en) | 2004-03-02 | 2005-09-08 | Applied Materials, Inc. | Heated ceramic substrate support with protective coating |
US7697260B2 (en) * | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
TW200612512A (en) * | 2004-06-28 | 2006-04-16 | Ngk Insulators Ltd | Substrate heating sapparatus |
US7589950B2 (en) | 2006-10-13 | 2009-09-15 | Applied Materials, Inc. | Detachable electrostatic chuck having sealing assembly |
-
2004
- 2004-03-31 US US10/816,152 patent/US7697260B2/en active Active
- 2004-10-13 TW TW093216278U patent/TWM263619U/zh not_active IP Right Cessation
- 2004-10-19 KR KR20-2004-0029517U patent/KR200372083Y1/ko not_active IP Right Cessation
- 2004-11-16 CN CNU2004201157295U patent/CN2786784Y/zh not_active Expired - Lifetime
-
2005
- 2005-09-07 US US11/221,169 patent/US7480129B2/en active Active
-
2008
- 2008-12-04 US US12/315,679 patent/US7907384B2/en active Active
Cited By (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102696101A (zh) * | 2009-12-29 | 2012-09-26 | 诺发系统有限公司 | 静电夹盘及其整修方法 |
CN102696101B (zh) * | 2009-12-29 | 2016-04-06 | 诺发系统有限公司 | 静电夹盘及其整修方法 |
US8968503B2 (en) | 2009-12-29 | 2015-03-03 | Novellus Systems, Inc. | Electrostatic chucks and methods for refurbishing same |
CN102934196B (zh) * | 2010-06-04 | 2015-11-25 | 艾克塞利斯科技公司 | 用于使用于冷离子注入的扭转轴线升温的有效方法 |
CN102934196A (zh) * | 2010-06-04 | 2013-02-13 | 艾克塞利斯科技公司 | 用于使用于冷离子注入的扭转轴线升温的有效方法 |
CN102270558A (zh) * | 2010-06-04 | 2011-12-07 | 中微半导体设备(上海)有限公司 | 一种用于真空处理系统的安装装置 |
CN103733327A (zh) * | 2011-08-08 | 2014-04-16 | 应用材料公司 | 具有加热器的基板支撑件 |
CN103733327B (zh) * | 2011-08-08 | 2017-06-06 | 应用材料公司 | 具有加热器的基板支撑件 |
CN110085546A (zh) * | 2013-08-05 | 2019-08-02 | 应用材料公司 | 用于薄基板搬运的静电载体 |
CN110085546B (zh) * | 2013-08-05 | 2023-05-16 | 应用材料公司 | 用于薄基板搬运的静电载体 |
US10971389B2 (en) | 2014-07-02 | 2021-04-06 | Applied Materials, Inc. | Multi-zone pedestal for plasma processing |
CN106716608A (zh) * | 2014-08-01 | 2017-05-24 | 应用材料公司 | 具有独立隔离的加热器区域的晶片载体 |
US11322337B2 (en) | 2014-08-01 | 2022-05-03 | Applied Materials, Inc. | Plasma processing system workpiece carrier with thermally isolated heater plate blocks |
CN106716608B (zh) * | 2014-08-01 | 2020-10-02 | 应用材料公司 | 具有独立隔离的加热器区域的晶片载体 |
CN104988472A (zh) * | 2015-06-25 | 2015-10-21 | 沈阳拓荆科技有限公司 | 半导体镀膜设备控温系统 |
CN104988472B (zh) * | 2015-06-25 | 2018-06-26 | 沈阳拓荆科技有限公司 | 半导体镀膜设备控温系统 |
WO2016206542A1 (zh) * | 2015-06-25 | 2016-12-29 | 沈阳拓荆科技有限公司 | 半导体镀膜设备控温系统 |
CN109427642A (zh) * | 2017-08-31 | 2019-03-05 | 上海微电子装备(集团)股份有限公司 | 一种吸盘装置及吸盘转运保护装置及基底运输方法 |
CN109962030A (zh) * | 2017-12-22 | 2019-07-02 | 中微半导体设备(上海)股份有限公司 | 一种静电吸盘 |
CN111604590A (zh) * | 2020-04-21 | 2020-09-01 | 朱波 | 一种焊接用定点铺粉装置 |
TWI813143B (zh) * | 2021-02-08 | 2023-08-21 | 大陸商中微半導體設備(上海)股份有限公司 | 下電極組件和等離子體處理裝置 |
CN114094386A (zh) * | 2021-11-28 | 2022-02-25 | 盛吉盛(宁波)半导体科技有限公司 | 一种用于薄膜生长设备的偏置射频和直流电源的输送装置 |
Also Published As
Publication number | Publication date |
---|---|
US20050219786A1 (en) | 2005-10-06 |
KR200372083Y1 (ko) | 2005-01-14 |
US7697260B2 (en) | 2010-04-13 |
US7907384B2 (en) | 2011-03-15 |
US20060002053A1 (en) | 2006-01-05 |
US7480129B2 (en) | 2009-01-20 |
TWM263619U (en) | 2005-05-01 |
US20090201622A1 (en) | 2009-08-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN2786784Y (zh) | 可拆卸静电吸盘 | |
US7589950B2 (en) | Detachable electrostatic chuck having sealing assembly | |
KR101541202B1 (ko) | 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리 | |
CN1293620C (zh) | 高温静电夹盘 | |
CN201025611Y (zh) | 用于衬底处理室的带状屏蔽 | |
JP4808467B2 (ja) | 基板リングアセンブリ及び基板処理チャンバ | |
CN1251294C (zh) | 等离子体加工装置的温度控制系统 | |
KR101445416B1 (ko) | 구성가능한 베벨 에처 | |
JP6660971B2 (ja) | シャドーフレームサポート | |
US6223447B1 (en) | Fastening device for a purge ring | |
TW202114041A (zh) | 用於高溫製程之基板支撐組件 | |
CN1574211A (zh) | 更换等离子体反应室的电极组合的方法 | |
KR100884873B1 (ko) | 범용 백플레인 조립체 및 방법 | |
KR20140006037U (ko) | 플라즈마 처리 장치의 교체가능한 상부 체임버 부품 | |
WO2003060188A1 (en) | Showerhead assembly for a processing chamber | |
JP2006513323A (ja) | 調整可能なガス分配プレートアセンブリ | |
TW322592B (zh) | ||
CN2768200Y (zh) | 衬底支撑组件 | |
US5942038A (en) | Cooling element for a semiconductor fabrication chamber | |
US20230390680A1 (en) | Filtration in a vapor delivery system | |
TW202406002A (zh) | 半導體製造裝置用構件 | |
JP6060524B2 (ja) | ウエハ洗浄用ヒータ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CX01 | Expiry of patent term |
Expiration termination date: 20141116 Granted publication date: 20060607 |