DE60214506D1 - Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern - Google Patents

Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern

Info

Publication number
DE60214506D1
DE60214506D1 DE60214506T DE60214506T DE60214506D1 DE 60214506 D1 DE60214506 D1 DE 60214506D1 DE 60214506 T DE60214506 T DE 60214506T DE 60214506 T DE60214506 T DE 60214506T DE 60214506 D1 DE60214506 D1 DE 60214506D1
Authority
DE
Germany
Prior art keywords
calibration
optimization
patterns
dimensional modeling
modeling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60214506T
Other languages
English (en)
Other versions
DE60214506T2 (de
Inventor
Thomas Laidig
Jang Fung Chen
Xuelong Shi
Uwe Hollerbach
Kurt E Wampler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML MaskTools Netherlands BV
Original Assignee
ASML MaskTools Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML MaskTools Netherlands BV filed Critical ASML MaskTools Netherlands BV
Publication of DE60214506D1 publication Critical patent/DE60214506D1/de
Application granted granted Critical
Publication of DE60214506T2 publication Critical patent/DE60214506T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
DE60214506T 2001-10-09 2002-10-09 Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern Expired - Fee Related DE60214506T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32730201P 2001-10-09 2001-10-09
US327302P 2010-04-23

Publications (2)

Publication Number Publication Date
DE60214506D1 true DE60214506D1 (de) 2006-10-19
DE60214506T2 DE60214506T2 (de) 2007-05-16

Family

ID=23275995

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60214506T Expired - Fee Related DE60214506T2 (de) 2001-10-09 2002-10-09 Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern

Country Status (6)

Country Link
US (2) US7175940B2 (de)
EP (1) EP1329771B1 (de)
JP (1) JP3706364B2 (de)
KR (1) KR100576752B1 (de)
DE (1) DE60214506T2 (de)
TW (1) TWI228642B (de)

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030192015A1 (en) * 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
TWI292850B (en) * 2002-07-26 2008-01-21 Asml Masktools Bv Method of automatically applying optical proximity correction, method and computer readable medium for generating a rule set utilized for automatically applying optical proxmity correction, and device manufacturing method
SG137657A1 (en) * 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
US6768958B2 (en) 2002-11-26 2004-07-27 Lsi Logic Corporation Automatic calibration of a masking process simulator
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
US7180576B2 (en) * 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US6839125B2 (en) * 2003-02-11 2005-01-04 Asml Netherlands B.V. Method for optimizing an illumination source using full resist simulation and process window response metric
US7471375B2 (en) * 2003-02-11 2008-12-30 Asml Netherlands B.V. Correction of optical proximity effects by intensity modulation of an illumination arrangement
US7149999B2 (en) * 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
DE10316821A1 (de) * 2003-04-03 2004-10-21 Infineon Technologies Ag Verfahren und Vorrichtung zur Korrektur von Abbildungsfehlern eines optischen Systems sowie eine Verwendung der Vorrichtung
TWI244590B (en) * 2003-06-30 2005-12-01 Taiwan Semiconductor Mfg System and method for reticle field layout design advanced features are not supported in freeware version
US7550235B2 (en) * 2003-09-05 2009-06-23 Asml Masktools B.V. Method and apparatus for performing model based placement of phase-balanced scattering bars for sub-wavelength optical lithography
KR100927454B1 (ko) 2003-10-31 2009-11-19 에이에스엠엘 마스크툴즈 비.브이. 개선된 간섭 매핑 리소그래피를 이용하는 피처 최적화
EP1530083A3 (de) * 2003-11-05 2006-03-01 ASML MaskTools B.V. Korrektur optischer Naheffekte basiert auf Zerlegung in Eigenfunktionen
SG125970A1 (en) * 2003-12-19 2006-10-30 Asml Masktools Bv Feature optimization using interference mapping lithography
US7506299B2 (en) * 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
US8422568B2 (en) 2004-01-28 2013-04-16 Rambus Inc. Communication channel calibration for drift conditions
US7400670B2 (en) 2004-01-28 2008-07-15 Rambus, Inc. Periodic calibration for communication channels by drift tracking
US7095789B2 (en) * 2004-01-28 2006-08-22 Rambus, Inc. Communication channel calibration for drift conditions
US7242459B2 (en) * 2004-01-30 2007-07-10 Asml Masktools B.V. Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated Eigen decomposition model
US7342646B2 (en) * 2004-01-30 2008-03-11 Asml Masktools B.V. Method of manufacturing reliability checking and verification for lithography process using a calibrated eigen decomposition model
US6961862B2 (en) 2004-03-17 2005-11-01 Rambus, Inc. Drift tracking feedback for communication channels
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
US7148496B2 (en) 2004-04-13 2006-12-12 Massachusetts Institute Of Technology System and method for proximity effect correction in imaging systems
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7418693B1 (en) 2004-08-18 2008-08-26 Cadence Design Systems, Inc. System and method for analysis and transformation of layouts using situations
TWI362568B (en) 2004-09-14 2012-04-21 Asml Masktools Bv A method for performing full-chip manufacturing reliability checking and correction
JP4593236B2 (ja) * 2004-10-29 2010-12-08 株式会社日立ハイテクノロジーズ 寸法計測走査型電子顕微鏡システム並びに回路パターン形状の評価システム及びその方法
US7713667B2 (en) 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US9070623B2 (en) * 2004-12-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling gate formation for high density cell layout
JP4916116B2 (ja) * 2005-02-01 2012-04-11 株式会社ホロン パターン特定方法およびパターン特定装置
US7730432B1 (en) 2005-03-30 2010-06-01 Tela Innovations, Inc. Method and system for reshaping a transistor gate in an integrated circuit to achieve a target objective
WO2006118098A1 (ja) 2005-04-26 2006-11-09 Renesas Technology Corp. 半導体装置およびその製造方法ならびに半導体製造用マスク、光近接処理方法
US7349066B2 (en) * 2005-05-05 2008-03-25 Asml Masktools B.V. Apparatus, method and computer program product for performing a model based optical proximity correction factoring neighbor influence
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8490043B2 (en) 2005-05-06 2013-07-16 Tela Innovations, Inc. Standard cells having transistors annotated for gate-length biasing
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
EP1920369A2 (de) * 2005-08-08 2008-05-14 Brion Technologies, Inc. System und verfahren zum erzeugen eines fokusbelichtungsmodells eines lithographieprozesses
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
KR100642417B1 (ko) * 2005-09-20 2006-11-03 주식회사 하이닉스반도체 레이어 대 레이어 검사방법을 이용한 광학근접보정검증방법
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20070143234A1 (en) * 2005-12-16 2007-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for intelligent model-based optical proximity correction (OPC)
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
KR100741879B1 (ko) * 2005-12-29 2007-07-23 동부일렉트로닉스 주식회사 반도체 소자의 설계 방법
US7405402B1 (en) * 2006-02-21 2008-07-29 Kla-Tencor Technologies Corporation Method and apparatus for aberration-insensitive electron beam imaging
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8225239B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225261B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) * 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US20070226674A1 (en) * 2006-03-27 2007-09-27 Henning Haffner System and method for semiconductor device fabrication using modeling
EP2267530A1 (de) * 2006-04-06 2010-12-29 ASML MaskTools B.V. Verfahren und Vorrichtung zur Durchführung von Dunkelfeld-Doppeldipollithografie
KR100801738B1 (ko) * 2006-06-28 2008-02-11 주식회사 하이닉스반도체 포토마스크 및 그 형성방법
US8792147B2 (en) * 2006-08-14 2014-07-29 Asml Netherlands B.V. Method, program product and apparatus for creating optimal test patterns for optical model calibration and for selecting suitable calibration test patterns from an arbitrary layout
WO2008020265A1 (en) 2006-08-16 2008-02-21 Koninklijke Philips Electronics N.V. Method and apparatus for designing an integrated circuit
US8521481B2 (en) * 2006-08-30 2013-08-27 Asml Masktools B.V. Method, program product and apparatus for modeling resist development of a lithography process
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7624369B2 (en) * 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US8305432B2 (en) * 2007-01-10 2012-11-06 University Of Washington Scanning beam device calibration
US8611637B2 (en) 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US7873204B2 (en) * 2007-01-11 2011-01-18 Kla-Tencor Corporation Method for detecting lithographically significant defects on reticles
WO2008087632A2 (en) * 2007-01-15 2008-07-24 Humaneyes Technologies Ltd. A method and a system for lenticular printing
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) * 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8520060B2 (en) * 2007-02-25 2013-08-27 Humaneyes Technologies Ltd. Method and a system for calibrating and/or visualizing a multi image display and for reducing ghosting artifacts
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US9035968B2 (en) * 2007-07-23 2015-05-19 Humaneyes Technologies Ltd. Multi view displays and methods for producing the same
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
CN101399244B (zh) * 2007-09-26 2011-05-18 力晶半导体股份有限公司 电路结构及用以定义此电路结构的光掩模
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US20100082313A1 (en) * 2008-03-31 2010-04-01 Edita Tejnil Optical Lithographic Process Model Calibration
US20090288867A1 (en) * 2008-04-15 2009-11-26 Powerchip Semiconductor Corp. Circuit structure and photomask for defining the same
JP2009271261A (ja) * 2008-05-02 2009-11-19 Powerchip Semiconductor Corp 回路構造とそれを定義するためのフォトマスク
US20090276192A1 (en) * 2008-05-05 2009-11-05 Uri Avraham Method and Computer Program Product for Visualizing Feature Model Information
FR2931292A1 (fr) * 2008-05-15 2009-11-20 St Microelectronics Rousset Procede de controle en temps reel de la fabrication de circuits integres a l'aide de structures de controle localisees dans l'espace modele opc
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
MY152456A (en) * 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
KR101623747B1 (ko) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8103983B2 (en) * 2008-11-12 2012-01-24 International Business Machines Corporation Electrically-driven optical proximity correction to compensate for non-optical effects
DE112008004172T5 (de) * 2008-12-26 2012-03-15 Advantest Corporation Strukturmessgerät und Strukturmessverfahren
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8620643B1 (en) * 2009-07-31 2013-12-31 Lester F. Ludwig Auditory eigenfunction systems and methods
JP2011082243A (ja) * 2009-10-05 2011-04-21 Panasonic Corp 部品実装装置および部品実装装置における実装状態検査方法
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8108805B2 (en) * 2010-03-26 2012-01-31 Tokyo Electron Limited Simplified micro-bridging and roughness analysis
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US20120128228A1 (en) * 2010-11-18 2012-05-24 D2S, Inc. Method for Matching of Patterns
KR101703745B1 (ko) * 2010-12-17 2017-02-08 삼성전자 주식회사 캘리브레이션 패턴을 이용한 포토마스크 형성 방법 및 캘리브레이션 패턴을 포함하는 포토마스크
JP5306391B2 (ja) * 2011-03-02 2013-10-02 株式会社東芝 フォトマスク
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
EP2570854B1 (de) 2011-09-16 2016-11-30 Imec Bestimmung der Form der Beleuchtungsquelle in optischer Lithographie
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
US20130080981A1 (en) * 2011-09-22 2013-03-28 Teng-Yen Huang Method for improving optical proximity simulation from exposure result
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (zh) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 校正光学邻近校正模型的方法
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
JP2014143254A (ja) * 2013-01-22 2014-08-07 Canon Inc 描画データの生成方法、処理装置、プログラム、描画装置及び物品製造方法
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9449196B1 (en) 2013-04-22 2016-09-20 Jasper Design Automation, Inc. Security data path verification
CN103676464B (zh) * 2014-01-07 2016-10-26 上海华虹宏力半导体制造有限公司 建模用光刻图形及其量测方法
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
CN103777460A (zh) * 2014-03-04 2014-05-07 上海集成电路研发中心有限公司 一种提高光学邻近效应修正模型精度的方法
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10074036B2 (en) * 2014-10-21 2018-09-11 Kla-Tencor Corporation Critical dimension uniformity enhancement techniques and apparatus
JP6840129B2 (ja) 2015-08-10 2021-03-10 ケーエルエー コーポレイション ウエハレベル欠陥の転写性を予測する装置および方法
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
US9984920B2 (en) * 2016-07-11 2018-05-29 International Business Machines Corporation Design-aware pattern density control in directed self-assembly graphoepitaxy process
WO2018067677A1 (en) 2016-10-04 2018-04-12 Tokyo Electron Limited Facilitation of spin-coat planarization over feature topography during substrate fabrication
WO2018125220A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Systems, methods, and apparatuses for implementing opc modeling via machine learning on simulated 2d optical images for sed and post sed processes
US10120973B2 (en) * 2017-03-15 2018-11-06 Applied Materials Israel Ltd. Method of performing metrology operations and system thereof
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
KR102415583B1 (ko) 2017-06-30 2022-07-04 삼성전자주식회사 Opc 모델의 최적화 방법 및 이를 이용한 반도체 소자의 제조 방법
US10293601B2 (en) * 2017-07-11 2019-05-21 The Boeing Company Automated controls for contoured surface inkjet printing
KR20200044524A (ko) * 2018-10-19 2020-04-29 삼성전자주식회사 라인 엔드 보이드 방지를 광 근접 보정 방법 및 이를 이용한 리소그래피 마스크 제조 방법
US10831977B1 (en) * 2019-06-03 2020-11-10 Globalfoundries Inc. Curvilinear mask models
US20210073976A1 (en) * 2019-09-09 2021-03-11 Carl Zeiss Smt Gmbh Wafer inspection methods and systems
US20220375063A1 (en) * 2019-09-20 2022-11-24 Asml Netherlands B.V. System and method for generating predictive images for wafer inspection using machine learning
US11455436B2 (en) 2020-03-25 2022-09-27 Tokyo Electron Limited Predicting across wafer spin-on planarization over a patterned topography
TW202144978A (zh) * 2020-04-01 2021-12-01 瑞典商指紋卡公司 具有校準電路的基於薄膜電晶體(tft)的指紋感測系統

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4894790A (en) 1986-02-05 1990-01-16 Omron Tateisi Electronics Co. Input method for reference printed circuit board assembly data to an image processing printed circuit board assembly automatic inspection apparatus
US4878179A (en) * 1987-11-12 1989-10-31 Rockwell International Corporation Interactive diagnostic methodology and apparatus for microelectronic devices
JPH03174716A (ja) 1989-08-07 1991-07-29 Hitachi Ltd 電子ビーム描画装置および描画方式
US5307296A (en) 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5245543A (en) 1990-12-21 1993-09-14 Texas Instruments Incorporated Method and apparatus for integrated circuit design
JP3426647B2 (ja) 1992-06-24 2003-07-14 日本電信電話株式会社 3次元トポグラフィシミュレーションのための一般化されたソリッドモデリング
US5307421A (en) 1992-10-14 1994-04-26 Commissariat A L'energie Atomique Process for producing a synthesized reference image for the inspection of objects and apparatus for performing the same
JPH07253311A (ja) * 1994-03-15 1995-10-03 Fujitsu Ltd パターン検査装置の較正方法、パターン検査方法、パターン位置決定方法、および半導体装置の製造方法
JP3409493B2 (ja) 1995-03-13 2003-05-26 ソニー株式会社 マスクパターンの補正方法および補正装置
US5621652A (en) 1995-03-21 1997-04-15 Vlsi Technology, Inc. System and method for verifying process models in integrated circuit process simulators
JP3631852B2 (ja) * 1995-07-28 2005-03-23 株式会社東芝 二次元回路パターンの発生方法及び発生装置
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5795688A (en) * 1996-08-14 1998-08-18 Micron Technology, Inc. Process for detecting defects in photomasks through aerial image comparisons
JPH10256124A (ja) * 1997-03-12 1998-09-25 Sony Corp 描画パターンデータ作成方法、電子ビーム描画方法、基体加工方法、並びに電子線描画装置
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
JP3047007B2 (ja) * 1997-09-26 2000-05-29 株式会社島精機製作所 画像処理装置
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography

Also Published As

Publication number Publication date
EP1329771B1 (de) 2006-09-06
KR100576752B1 (ko) 2006-05-03
EP1329771A2 (de) 2003-07-23
US20070117030A1 (en) 2007-05-24
JP2003215780A (ja) 2003-07-30
TWI228642B (en) 2005-03-01
JP3706364B2 (ja) 2005-10-12
US7175940B2 (en) 2007-02-13
DE60214506T2 (de) 2007-05-16
EP1329771A3 (de) 2003-12-10
US7820341B2 (en) 2010-10-26
KR20030052958A (ko) 2003-06-27
US20030082463A1 (en) 2003-05-01

Similar Documents

Publication Publication Date Title
DE60214506D1 (de) Methode zur Kalibrierung und Optimierung einer 2-dimensionalen Modellierung von Mustern
DE60309078D1 (de) Anordnung und Methode zur Kalibrierung einer Gruppenantenne
DE60319051D1 (de) Methode zur Verhinderung von Manipulation an einem Schaltkreis
DE602005007827D1 (de) Methode zur Bestimmung einer Beleuchtungseinstellung und Methode zur Übertragung einer Maskenstruktur
DE50009311D1 (de) Otoplastik und verfahren zur fertigung einer otoplastik
DE60120114D1 (de) Verfahren zur Verbesserung der Knochenmineralisierung
DE60212925D1 (de) Kühlschrank und Verfahren zur Steuerung eines Kühlschranks
DE60300611D1 (de) Verfahren zur Farbveränderung eines Spielzeuges und farbveränderliches Spielzeug
DE60127029D1 (de) Ausrichtungsverfahren, Verfahren zur Inspektion von Überlagerungsfehlern und Photomaske
DE602004001276D1 (de) System und Verfahren zur absoluten Positionierung mit wiederholtem Quasizufalls-Muster
ATA6022002A (de) Landmaschine sowie verfahren zur steuerung einer landmaschine
DE60234402D1 (de) Verfahren zur Erzeugung eines Musters
DE60209306D1 (de) Verfahren zur identifizierung von Regionen extremer Wechselwirkung, Verfahren zum Entwerfen von Maskenmustern und zur Herstellung von Masken, Verfahren zur Herstellung von Elementen und Computerprogramme
DE60212114D1 (de) System und verfahren zur überwachung und steuerung
ATA6922001A (de) Verfahren zur steuerung eines hörgerätes
DE602005017086D1 (de) Verfahren zur Korrektur von Maskenmustern und Maskenherstellungsprozess
ATE437486T1 (de) Verfahren zur konfiguration von physischen kanälen
DE60226783D1 (de) Mikrofluidische Vorrichtung, Verfahren zur Herstellung und Verwendung desselben
DE60217213D1 (de) Methode zur Herstellung einer Fotomaske
DE60235061D1 (de) Verfahren und Vorrichtung zur synchronen Steuerung
DE60224804D1 (de) Verfahren zur unkrautbekämpfung
DE60129190D1 (de) Ventil und Verfahren zur Ventilherstellung
DE60237639D1 (de) Methode zur bestimmung der biologischen aktivität von difibrotid
DE60210381D1 (de) Verfahren zur Registrierung einer Kennung und Photomaskensatz
DE60200017D1 (de) Vorrichtung und Verfahren zur adaptiven Steuerung

Legal Events

Date Code Title Description
8381 Inventor (new situation)

Inventor name: LAIDIG, THOMAS, POINT RICHMOND, CA 94801, US

Inventor name: CHEN, JANG FUNG, CUPERTINO, CA 95014, US

Inventor name: SHI, XUELONG, SANTA CLARA, CA 95054, US

Inventor name: HOLLERBACH, UWE, FRANKLIN, MA 02038, US

Inventor name: WAMPLER, KURT E., SUNNYVALE, CA 94087, US

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee