DE69830782D1 - Verfahren und Vorrichtung zur Korrektur des optischen Proximity-Effekts - Google Patents

Verfahren und Vorrichtung zur Korrektur des optischen Proximity-Effekts

Info

Publication number
DE69830782D1
DE69830782D1 DE69830782T DE69830782T DE69830782D1 DE 69830782 D1 DE69830782 D1 DE 69830782D1 DE 69830782 T DE69830782 T DE 69830782T DE 69830782 T DE69830782 T DE 69830782T DE 69830782 D1 DE69830782 D1 DE 69830782D1
Authority
DE
Germany
Prior art keywords
correcting
optical proximity
proximity effect
optical
effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69830782T
Other languages
English (en)
Other versions
DE69830782T2 (de
Inventor
Mario Garza
John V Jensen
Nicholas K Eib
Keith K Chao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Publication of DE69830782D1 publication Critical patent/DE69830782D1/de
Application granted granted Critical
Publication of DE69830782T2 publication Critical patent/DE69830782T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
DE69830782T 1997-12-12 1998-04-08 Verfahren und Vorrichtung zur Korrektur des optischen Proximity-Effekts Expired - Lifetime DE69830782T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US991785 1997-12-12
US08/991,785 US6269472B1 (en) 1996-02-27 1997-12-12 Optical proximity correction method and apparatus

Publications (2)

Publication Number Publication Date
DE69830782D1 true DE69830782D1 (de) 2005-08-11
DE69830782T2 DE69830782T2 (de) 2006-04-27

Family

ID=25537563

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69830782T Expired - Lifetime DE69830782T2 (de) 1997-12-12 1998-04-08 Verfahren und Vorrichtung zur Korrektur des optischen Proximity-Effekts

Country Status (4)

Country Link
US (1) US6269472B1 (de)
EP (1) EP0928012B1 (de)
JP (1) JP3001855B2 (de)
DE (1) DE69830782T2 (de)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470489B1 (en) * 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6301697B1 (en) * 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6643616B1 (en) * 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
US6421820B1 (en) * 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6584609B1 (en) * 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US7412676B2 (en) * 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6430737B1 (en) 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
US6557162B1 (en) * 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6598218B2 (en) * 2000-12-19 2003-07-22 United Microelectronics Corp. Optical proximity correction method
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6574784B1 (en) * 2001-06-14 2003-06-03 George P. Lippincott Short edge management in rule based OPC
TW569295B (en) * 2001-09-29 2004-01-01 Toshiba Corp Producing method for mask pattern and manufacturing method for semiconductor device
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7013439B2 (en) * 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US6670646B2 (en) 2002-02-11 2003-12-30 Infineon Technologies Ag Mask and method for patterning a semiconductor wafer
US7035446B2 (en) 2002-05-22 2006-04-25 Lsi Logic Corporation Quality measurement of an aerial image
US6973633B2 (en) * 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US6934928B2 (en) * 2002-08-27 2005-08-23 Micron Technology, Inc. Method and apparatus for designing a pattern on a semiconductor surface
US6898779B2 (en) * 2002-08-28 2005-05-24 Micron Technology, Inc. Pattern generation on a semiconductor surface
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6857109B2 (en) * 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US6928634B2 (en) * 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US6747306B1 (en) 2003-02-04 2004-06-08 International Business Machines Corporation Vertical gate conductor with buried contact layer for increased contact landing area
US7000207B2 (en) * 2003-04-10 2006-02-14 Sioptical, Inc. Method of using a Manhattan layout to realize non-Manhattan shaped optical structures
CN100447795C (zh) * 2003-04-10 2008-12-31 斯欧普迪克尔股份有限公司 用曼哈顿设计来实现非曼哈顿形状光学结构的方法
US7010764B2 (en) * 2003-04-14 2006-03-07 Takumi Technology Corp. Effective proximity effect correction methodology
US6973637B2 (en) * 2003-05-12 2005-12-06 Agere Systems Inc. Process for the selective control of feature size in lithographic processing
US7318214B1 (en) 2003-06-19 2008-01-08 Invarium, Inc. System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
US6958541B2 (en) * 2003-07-25 2005-10-25 Lsi Logic Corporation Low gate resistance layout procedure for RF transistor devices
US7100134B2 (en) * 2003-08-18 2006-08-29 Aprio Technologies, Inc. Method and platform for integrated physical verifications and manufacturing enhancements
US7275227B1 (en) * 2003-08-27 2007-09-25 Anchor Semiconductor Inc. Method of checking optical proximity correction data
US7073162B2 (en) * 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
US6988260B2 (en) * 2003-12-18 2006-01-17 Lsi Logic Corporation Method and apparatus for optimizing fragmentation of boundaries for optical proximity correction (OPC) purposes
US7039896B2 (en) 2003-12-18 2006-05-02 Lsi Logic Corporation Gradient method of mask edge correction
US7536660B2 (en) * 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7539954B2 (en) * 2004-02-24 2009-05-26 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7861207B2 (en) * 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7234130B2 (en) * 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
EP1747520B1 (de) * 2004-05-07 2018-10-24 Mentor Graphics Corporation Layoutentwurfsmethodologie für integrierte schaltungen mit prozessvariationsbändern
US7240305B2 (en) * 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7263683B1 (en) 2004-09-07 2007-08-28 Advanced Micro Devices, Inc. Simplified optical proximity correction based on 1-dimension versus 2-dimension pattern shape classification
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7459248B2 (en) * 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US8037429B2 (en) * 2005-03-02 2011-10-11 Mentor Graphics Corporation Model-based SRAF insertion
US7493587B2 (en) * 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US7434199B2 (en) * 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
US7546574B2 (en) 2005-12-02 2009-06-09 Gauda, Inc. Optical proximity correction on hardware or software platforms with graphical processing units
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US7712068B2 (en) * 2006-02-17 2010-05-04 Zhuoxiang Ren Computation of electrical properties of an IC layout
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8572523B2 (en) * 2006-07-21 2013-10-29 Synopsys, Inc. Lithography aware leakage analysis
US8056022B2 (en) 2006-11-09 2011-11-08 Mentor Graphics Corporation Analysis optimizer
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) * 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
US7799487B2 (en) * 2007-02-09 2010-09-21 Ayman Yehia Hamouda Dual metric OPC
US7739650B2 (en) * 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
JP2010522975A (ja) * 2007-03-26 2010-07-08 サガンテック イスラエル リミテッド 半導体レイアウトの走査方法およびシステム
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
US7765516B2 (en) * 2007-11-14 2010-07-27 Texas Instruments Incorporated System and method for making photomasks
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8606557B2 (en) * 2010-02-02 2013-12-10 International Business Machines Corporation Table lookup method for physics based models for SPICE-like simulators
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
NL2011276A (en) * 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
TWI555062B (zh) * 2013-04-15 2016-10-21 聯華電子股份有限公司 形成摻雜區圖案的方法
US8765495B1 (en) * 2013-04-16 2014-07-01 United Microelectronics Corp. Method of forming pattern of doped region
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
EP3518272A1 (de) * 2018-01-09 2019-07-31 IMS Nanofabrication GmbH Nichtlineare dosis- und unschärfeabhängige kantenplatzierungskorrektur
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4812962A (en) 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
JPH02139426A (ja) 1988-11-16 1990-05-29 Toray Ind Inc 交編編地用ナイロンフラットヤーン、そのパーンパッケージおよびその製造方法
JPH0433168A (ja) * 1990-05-29 1992-02-04 Mitsubishi Electric Corp レイアウトパターン検証ルール作成システム
JPH0463460A (ja) * 1990-07-03 1992-02-28 Mitsubishi Electric Corp Lsiレイアウトパターンデータ検証装置
JPH04232103A (ja) 1990-12-28 1992-08-20 Sumitomo Rubber Ind Ltd 乗用車用ラジアルタイヤ
JP2904596B2 (ja) 1991-02-27 1999-06-14 株式会社東芝 基板取付装置
US5475766A (en) 1991-09-05 1995-12-12 Kabushiki Kaisha Toshiba Pattern inspection apparatus with corner rounding of reference pattern data
JP3221449B2 (ja) 1991-11-27 2001-10-22 ジェコー株式会社 表示装置
JP2936905B2 (ja) * 1992-08-31 1999-08-23 日本電気株式会社 レイアウト検証方法及びその装置
JPH06125007A (ja) * 1992-10-12 1994-05-06 Fujitsu Ltd 半導体装置のレイアウトデータ検証方法
JPH0721239A (ja) * 1993-06-22 1995-01-24 Nec Corp デザインルールチェック実行装置
JPH07198770A (ja) 1993-12-28 1995-08-01 Tokyo Kogyo Kk 改良された非接触超伝導臨界電流測定プローブ装置及び方法
JPH07301155A (ja) 1994-05-02 1995-11-14 Honda Motor Co Ltd 電動egrバルブ装置
GB2291219B (en) 1994-07-05 1998-07-01 Nec Corp Photo-mask fabrication and use
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JP2647628B2 (ja) 1994-09-30 1997-08-27 サイトーバンキン株式会社 外壁パネルおよびその施工構造
JPH08212241A (ja) 1995-02-02 1996-08-20 Mitsubishi Electric Corp 半導体集積回路用マスクパターンまたはウエハ上への直接描画パターンの設計方法,及びそれらのデザインルール確認方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5553273A (en) 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
JPH0944535A (ja) * 1995-08-03 1997-02-14 Mitsubishi Electric Corp レイアウト編集方法
JPH09148441A (ja) * 1995-11-20 1997-06-06 Hitachi Ltd レイアウト検証方法および装置
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5795682A (en) 1996-03-08 1998-08-18 Lsi Logic Corporation Guard rings to compensate for side lobe ringing in attenuated phase shift reticles
US5877045A (en) 1996-04-10 1999-03-02 Lsi Logic Corporation Method of forming a planar surface during multi-layer interconnect formation by a laser-assisted dielectric deposition
JPH09288686A (ja) * 1996-04-22 1997-11-04 Toshiba Corp レイアウトパターン設計基準・検証ルール作成支援方法及びそのシステム
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization

Also Published As

Publication number Publication date
EP0928012A3 (de) 1999-07-14
JP3001855B2 (ja) 2000-01-24
EP0928012B1 (de) 2005-07-06
EP0928012A2 (de) 1999-07-07
US6269472B1 (en) 2001-07-31
JPH11184068A (ja) 1999-07-09
DE69830782T2 (de) 2006-04-27

Similar Documents

Publication Publication Date Title
DE69830782D1 (de) Verfahren und Vorrichtung zur Korrektur des optischen Proximity-Effekts
DE69822687D1 (de) Vorrichtung und Verfahren zur Zusammenfassung
DE69926195D1 (de) Vorrichtung und Verfahren zur Bildgebung
DE69724711D1 (de) Verfahren und Vorrichtung zur optischen Inspektion
DE69936687D1 (de) Vorrichtung und Verfahren zur Mehrfachbelichtung
DE60040145D1 (de) Verfahren und vorrichtung zur korrektur des frequenzversatzes
DE69833482D1 (de) Verfahren und vorrichtung zur bildverarbeitung
DE69912577D1 (de) Vorrichtung und verfahren zur optischen inspektion
DE69827769D1 (de) Verfahren und Vorrichtung zur Aberrationskorrektur
DE69835243D1 (de) Verfahren und Vorrichtung zur optischen Verstärkung und System mit dieser Vorrichtung
DE69737495D1 (de) Verfahren und Vorrichtung zur Bildabtastung
DE69833302D1 (de) Verfahren und Vorrichtung zur Bildsynthese
DE69841399D1 (de) Vorrichtung und Verfahren zur Trocknungsbehandlung
DE69601552D1 (de) Verfahren und vorrichtung zur bildverbesserung
DE69532916D1 (de) Verfahren und vorrichtung zur bilddarstellung
DE69840182D1 (de) Vorrichtung und Verfahren zur Bildaufnahme
DE69604001D1 (de) Verfahren und Vorrichtung zur optischen Verstärkung
DE59708571D1 (de) Verfahren und vorrichtung zur durchführung von optischen aufnahmen
DE69518180D1 (de) Verfahren und Gerät zur Bilderzeugung
DE69528743T2 (de) Verfahren und Vorrichtung zur Plasmabehandlung
DE69916407D1 (de) Vorrichtung und Verfahren zur Durchscheinkorrektur
DE69940134D1 (de) Vorrichtung und Verfahren zur mehrstufigen Verschachtelung
DE69928852D1 (de) Vorrichtung und Verfahren zur Unterstützung der Programmierung
DE69942553D1 (de) Vorrichtung und Verfahren zur Zeitmessung
DE69818413D1 (de) Bildherstellungverfahren und Vorrichtung zur Bildherstellung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition