DE69928232T2 - Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen - Google Patents

Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen Download PDF

Info

Publication number
DE69928232T2
DE69928232T2 DE69928232T DE69928232T DE69928232T2 DE 69928232 T2 DE69928232 T2 DE 69928232T2 DE 69928232 T DE69928232 T DE 69928232T DE 69928232 T DE69928232 T DE 69928232T DE 69928232 T2 DE69928232 T2 DE 69928232T2
Authority
DE
Germany
Prior art keywords
modulator
pattern
exposure
data
slm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69928232T
Other languages
English (en)
Other versions
DE69928232D1 (de
Inventor
Torbjörn Sandström
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micronic Laser Systems AB
Original Assignee
Micronic Laser Systems AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micronic Laser Systems AB filed Critical Micronic Laser Systems AB
Publication of DE69928232D1 publication Critical patent/DE69928232D1/de
Application granted granted Critical
Publication of DE69928232T2 publication Critical patent/DE69928232T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/435Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
    • B41J2/465Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • G02B27/0037Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
    • G02B27/0043Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4205Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/34Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
    • G09G3/3433Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
    • G09G3/346Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/04Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
    • H04N1/19Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
    • H04N1/195Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/74Projection arrangements for image reproduction, e.g. using eidophor
    • H04N5/7416Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
    • H04N5/7458Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/2007Display of intermediate tones
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N2201/00Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
    • H04N2201/04Scanning arrangements
    • H04N2201/0402Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
    • H04N2201/0414Scanning an image in a series of overlapping zones

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft das Drucken von Mustern mit extrem hoher Präzision auf lichtempfindliche Flächen wie Photomasken für Halbleitervorrichtungen und Halbleiteranzeigen. Sie betrifft auch das direkte Schreiben von Halbleitervorrichtungsmustern, Anzeigepaneelen, integrierten optischen Vorrichtungen und elektronischen Verbindungsstrukturen. Des weiteren kann sie bei anderen Arten des Präzisionsdruckens wie das Sicherheitsdrucken Anwendung finden. Der Begriff Drucken ist in einem weiten Sinn zu verstehen als eine Exposition von Photoresist- und photographischen Emulsionen, jedoch auch als eine Wirkung von Licht auf andere lichtempfindliche Medien wie Trockenprozess-Papier durch Ablation oder durch licht- oder wärmeaktivierte chemische Prozesse. Licht ist nicht eingeschränkt auf sichtbares Licht, sondern umfasst einen breiten Bereich von Wellenlängen von Infrarot (IR) bis zum extremen UV. Von besonderer Bedeutung ist der Ultraviolettbereich von 370 nm (UV) über tiefes Ultraviolett (DUV), Vakuumultraviolett (VUV) und extremes Ultraviolett (EUV) bis zu Wellenlängen von wenigen Nanometern. In dieser Anmeldung wird EUV definiert als der Bereich unterhalb von 100 nm in dem es noch möglich ist, die Strahlung als Licht zu behandeln. Eine typische Wellenlänge des EUV beträgt 13 nm. IR wird definiert als 780 nm bis zu etwa 20 μm.
  • In einem anderen Sinn betrifft die Erfindung die Technik und Wissenschaft von räumlicher Lichtmodulatoren sowie Projektionsanzeigen und Druckern, welche sich derartiger Modulatoren bedienen. Insbesondere verbessert sie die Grauskaleneigenschaften, die Bildstabilität über eine Gleichmäßigkeit von Fokallänge und Abbildung und die Datenverarbeitung für derartige Modulatoren durch Anwendung analoger Modulationstechnik. Die wichtigste Anwendung der analogen Modulationstechnik ist es, in einem Hochkontrastmaterial wie ein Photoresist ein Bild mit einem Adressenraster zu erzeugen, d.h., einem Inkrement um welches die Position eines Randes in dem Muster festgelegt wird, und welches viel feiner ist als das von Pixeln des räumlichen Lichtmodulators erzeugte Raster.
  • Hintergrund der Erfindung
  • In der gegenwärtigen Technik ist die Herstellung von Präzisions-Mustergeneratoren bekannt, die sich der Projektion von mit Mikrospiegeln versehenen räumlichen Lichtmodulatoren ("Spatial Light Modulators" SLM) bedienen (Nelson 1988, Kück 1990). Die Verwendung von SLM in einem Mustergenerator weist im Vergleich mit dem verbreitet angewendeten Verfahren der Verwendung von abtastenden Laserflecken eine Anzahl von Vorteilen auf: ein SLM ist eine massiv parallele Vorrichtung, und die Anzahl der Pixel, die pro Sekunde geschrieben werden können, ist extrem hoch. Das optische System ist auch einfacher im Hinblick darauf, dass die Beleuchtung des SLM nicht kritisch ist, während in einem Laser-Abtaster der gesamte Strahlenweg mit hoher Präzision aufgebaut sein muss. Im Vergleich mit Abtastern einiger Arten, insbesondere elektro-optischen und akusto-optischen, kann eine Mikrospiegel-SLM bei kürzeren Wellenlängen verwendet werden, weil dieser eine rein reflektierende Vorrichtung ist.
  • In den beiden vorstehend erwähnten Literaturstellen benutzt der räumliche Modulator bei jedem Pixel nur eine Ein-Aus-Modulation. Die Eingangsdaten werden in einer Pixelkarte mit einer Tiefe von einem Bit umgewandelt, d.h. mit den Werten 0 und 1 in jedem Pixel. Die Umwandlung kann unter Einsatz von Graphikprozessoren oder Anwenderlogik mit Flächenauffüllweisungen wirksam durchgeführt werden.
  • Bei einer vorhergehenden Anwendung durch denselben Erfinder Sandström (Sandström et. al. 1990) wurde die Möglichkeit der Verwendung eines Zwischenbelichtungswerts an der Grenze eines Musterelementes zur Feinjustierung der Position des Elementrandes in dem von einem Laserabtaster erzeugten Bild beschrieben.
  • In der Technik ist es auch bekannt, ein Grauskalenbild, vorzugsweise für eine Projektionsdarstellung von Videobildern und zum Drucken, mit einem SLM zu erzeugen, indem die Zeit während der ein Pixel eingeschaltet ist geändert wird oder indem dasselbe Pixel mehrmalig gedruckt wird, wobei das Pixel eine verschiedene Anzahl von Malen eingeschaltet wird.
  • Die vorliegende Erfindung sieht ein System zur direkten Erzeugung einer Grauskala mit einem räumlichen Lichtmodulator vor, mit besonderen Hinblick auf die Erzeugung von ultrapräzisen Mustern. Wichtige Aspekte bei den bevorzugten Ausführungsformen sind die Gleichmäßigkeit des Bildes von Pixel zu Pixel und die Unabhängigkeit einer exakten Platzierung eines Merkmals relativ zu den Pixeln des SLM und eine Stabilität bei einer entweder absichtlichen oder unabsichtlichen Änderung der Fokussierung.
  • Insbesondere ergeben sich im Stand der Technik Probleme im Zusammenhang mit Zusammensetzungsfehlern. Bei der Verwendung von SLM müssen viele Musterfelder zusammen gesetzt werden, um das Gesamtmuster zu erzeugen, und bei den bisher bekannten Vorrichtungen kann es der Anwender nicht vermeiden, Grenzen aneinander zu legen, die sich in empfindlichen Teilen des Musters befinden. Des weiteren ist eine Reproduktion mit teilweise kohärentem Licht, welches bei der Erfindung verwendet wird, ein nichtlinearer Vorgang. Deshalb ist es auch theoretisch unmöglich, ein kritisches Muster ohne Artefakte an der Grenze zusammenzusetzen.
  • Die WO 98/04950 offenbart ein nahtloses, maskenloses Lithographiesystem, welches einen räumlichen Lichtmodulator verwendet, bei welchem Abtastvorgänge aufgezeichnet werden, indem einander überlappende Bilder in großer Anzahl erzeugt werden, die entlang der Abtastrichtung nur geringfügig voneinander versetzt sind. Die Gesamtbelichtung der Abtastung ist somit die integrierte Belichtung jedes derartigen Bildes. Die Überlappungsfläche zwischen den Bildern ist folglich im wesentlichen die gesamte Bildfläche, mit Ausnahme einer kleinen Versetzungsfläche.
  • Zusammenfassung der Erfindung
  • Es ist deshalb eine Aufgabe der vorliegenden Erfindung, eine Apparatur zur Erzeugung von Mustern vorzusehen, bei welcher das Problem mit den Zusammensetzungsfehlern verkleinert ist.
  • Diese Aufgabe wird mit einer Apparatur gemäß den beigefügten Ansprüchen gelöst.
  • Die erfindungsgemäße Apparatur umfasst:
    eine Quelle von Lichtimpulsen mit einem Energieinhalt im Wellenlängenbereich von EUV bis IR,
    einen räumlichen Modulator mit mehreren modulierenden Elementen, welche mit den Strahlungsimpulsen bestrahlt werden,
    ein Projektionssystem, welches für einen Strahlungsimpuls eine Abbildung des Modulators auf dem Werkstück erzeugt,
    ein elektronisches Datenausgabesystem, welches eine digitale Beschreibung des zu schreibenden Musters aufnimmt, der Beschreibung des digitalen Musters eine Sequenz von Teilmustern entnimmt, die Teilmuster in Modulatorspannungen umwandelt und die Spannungen dem Modulator zuführt,
    ein mechanisches Präzisionssystem, welches das Werkstück relativ zum Projektionssystem bewegt,
    ein elektronisches Steuersystem, welches die Bewegung des Werkstücks, das Zuführen der Spannungen zu dem Modulator und die Strahlungsimpulse koordiniert, so dass aus den Teilbildern, die durch die Sequenz von Strahlungsimpulsen erzeugt werden, ein größeres Muster zusammengesetzt wird,
    wobei mindestens zwei benachbarte zusammengesetzte Abbildungen einander an der gemeinsamen Grenze überlappen und jede der überlappenden Abbildungen in der Überlappungsfläche im wesentlichen das gleiche Muster und eine verringerte Bestrahlungsdosis aufweist.
  • Hierbei glättet die Überlappung die Ränder zwischen den Feldern und verteilt die Fehler über eine größere Fläche.
  • Kurzbeschreibung der Zeichnungen
  • 1 zeigt einen Drucker des Standes der Technik. Der SLM besteht aus Mikrospiegeln, welche das Licht aus der Pupille der Linse ablenken.
  • 2 zeigt eine Anzahl von Pixelentwürfen, bei denen die oberen vier Pixel sich in einem Aus-Zustand befinden und die übrigen fünf Pixel eingeschaltet sind.
  • 3 zeigt eine Anordnung von Pixeln, die sich wie Kolben nach oben und unten bewegen, wodurch eine Phasendifferenz entsteht. Auf diese Weise kann ein Rand mit einem Phasen-SLM feinpositioniert werden.
  • 4 zeigt einen schematischen Vergleich zwischen einem SLM mit ablenkenden Spiegeln und einem SLM mit deformierbaren Spiegeln.
  • 5 zeigt ein Fließdiagramm eines Verfahrens zum Übertragen und Zuführen von Daten zum SLM.
  • 6 zeigt eine bevorzugte Ausführung eines erfindungsgemäßen Mustergenerators.
  • 7 zeigt Beispiele einer erfindungsgemäßen Korrektion von Randfehlern.
  • Beschreibung der Erfindung
  • Die Grundlage zum Verständnis der Erfindung besteht in der generischen Anordnung in der 1, welche einen generischen Projektionsdrucker mit einem SLM zeigt. Es gibt zwei Arten von räumlichen Lichtmodulatoren, die auf Reflexion basieren, nämlich die auf Ablenkung beruhenden (Nelson) und die auf Phasen beruhenden (Klick). Der Unterschied zwischen diesen kann in dem Einzelfall mit Mikrospiegeln gering erscheinen, jedoch wird bei einem Phasen-SLM der Lichtstrahl in der Spiegelrichtung durch destruktive Interferenz ausgelöscht, während in einem Ablenkungs-SLM ein Pixel den Lichtstrahl in der Spiegelrichtung geometrisch zu einer Seite hin ablenkt, so dass dieser die Apertur der abbildenden Linse verfehlt, wie in 1 gezeigt. Für ein ultrapräzises Drucken, wie dies bei der vorliegenden Erfindung ausgeführt wird, ist das von Klick 1990 beschriebene phasenmodulierende System dem ablenkenden überlegen. Erstens ergibt dieses eine besseren Kontrast, weil alle Teile der Oberfläche, auch Scharniere und Tragstützen, an der destruktiven Interferenz teilnehmen und eine totale Extinktion erzielbar ist. Zweitens ist es schwierig, ein durch Ablenken des Lichts zur Seite hin arbeitendes System für Zwischenwerte der Ablenkungswinkel symmetrisch um die optische Achse herum auszubilden, wodurch bei einer Änderung der Fokussierung die Möglichkeit einer Instabilität von Merkmalen entsteht. Bei den bevorzugten Ausführungsformen wird die auf Phasen beruhende Art angewendet, jedoch könnte die auf Reflexion beruhende Art auch angewendet werden, wenn die Asymmetrie akzeptiert wird oder eine Ausbildung um diese herum erfolgt. Dies ist schematisch in 4 dargestellt. In der ersten 4a wird ein nicht ablenkender Mikrospiegel 401 beleuchtet, und es wird das reflektierte Licht nicht zur Apertur 402 hin gerichtet, und folglich erreicht das Licht nicht das Substrat 403. Dagegen ist in 4b der Spiegel voll ausgelenkt und es ist das gesamte reflektierte Licht zur Apertur hin gerichtet. In einer Zwischenstellung erreicht nur ein Teil des reflektierten Lichts das Substrat, wie in 4c gezeigt ist. In diesem Fall verläuft jedoch das Licht nicht symmetrisch um die optische Achse für die Linse 404 herum, und es ergibt sich ein schräger Einfall auf das Substrat. Hierdurch wird der Abstand zwischen der Linse und der Substratfläche sehr kritisch, und kleine Änderungen, wie die durch die gestrichelte Linie für die Fläche dargestellte, verursachen bedeutende Verschiebungen der Merkmale auf dem Sub strat. Ein Weg zur Lösung dieses Problems wird in den 4d-f aufgezeigt. Hier erfolgt eine erste Exposition bei einem ersten Ablenkwinkel des Mikrospiegels, und danach erfolgt eine zweite Exposition, vorzugsweise mit der gleichen Lichtdosis, bei einem zweiten Ablenkwinkel welcher komplementär zum ersten Winkel ist. Hierdurch liegt die Kombination der ersten und der zweiten Expositionen symmetrisch um die optische Achse für die Linse herum. Ein anderer Weg zur Lösung des Problems ist die Verwendung eines deformierbaren Spiegels 401' wie der in 4g gezeigte, wodurch das reflektierte Licht gleichmäßig über die Apertur verteilt wird. Diese letztgenannte Figur könnte schematisch zwei Fälle darstellen, und zwar den eines (nachstehend beschriebenen) Phasen-Typ-SLM oder den eines Reflexions-SLM, bei dem Licht von verschiedenen Teilen des Spiegels reflektiert wird.
  • Der Phasen-SLM kann entweder mit mikromaschinell bearbeiteten Spiegeln, sogenannten Mikrospiegeln, oder mit einer kontinuierlichen Spiegelfläche auf einem Trägersubstrat aufgebaut werden, welches unter Verwendung eines elektronischen Signals deformierbar ist. Bei Kück 1990 wird eine von einem elektrostatischen Feld gesteuerte, viskoelastische Schicht verwendet, jedoch ist es ebenso möglich, insbesondere für sehr kurze Wellenlängen bei denen Deformationen in der Größenordnung von wenigen Nanometern ausreichen, eine feste piezoelektrische Scheibe, die von einem elektrischen Feld deformiert wird, oder eine andere elektrisch, magnetisch oder thermisch gesteuerte reflektierende Fläche zu verwenden. Im restlichen Teil dieser Anmeldung wird eine elektrostatisch gesteuerte Mikrospiegel-Matrix (ein- oder zweidimensional) zugrunde gelegt, obwohl wie vorstehend beschrieben, andere Anordnungen möglich sind, wie lichtdurchlässige oder reflektierende SLM, die mit LCD-Kristallen oder elektrooptischen Materialien als Modulationsmechanismen arbeiten, oder mikromechanische SLM, welche eine piezoelektrische oder elektrostriktive Betätigung verwenden.
  • Bei der Erfindung wird vorzugsweise ein Mikrospiegel eingesetzt, bei dem die Phasenmodulation variabel ist, damit eine variable Lichtmenge die Pupille der Projektionslinse erreicht. 2 zeigt einige Multielementspiegel. Die Neigungen der verschiedenen Teile der Spiegel sind unwichtig. Es könnte sogar ein Element alleine das Licht zur Linse hin richten, während ein anderes dieses neben die Pupille richten könnte. Der richtige Weg zum Verständnis der Funktion ist es, die komplexe Amplitude zu betrachten, die von jedem unendlich kleinen Flächenelement des Spiegels aus die Mitte der Pupille erreicht, und die Amplitude über die Spiegelfläche zu integrieren. Mit einem Spiegel geeigneter Form ist es möglich, eine Deformation zu finden, bei der die komplexen Amplituden sich zu fast null addieren; dies entspricht dem Zustand, bei dem kein Licht die Pupille erreicht. Dies ist der Aus-Zustand des Mikrospiegels, während ein entspannter Zustand, bei dem die Spiegeloberfläche flach ist und die komplexen Amplituden sich in Phase addieren, der Ein-Zustand ist. Zwischen den Ein- und Aus-Zuständen ist die Lichtmenge in der Spiegelrichtung eine kontinuierliche, jedoch nichtlineare Funktion der Deformation.
  • Das zu schreibende Muster ist normalerweise ein binäres Muster, wie ein Photomaskenmuster in Chrom auf einem Glassubstrat. In diesem Zusammenhang bedeutet binär, dass keine Zwischengebiete vorhanden sind; ein bestimmter Punkt auf der Fläche der Photomaske ist entweder dunkel (mit Chrom bedeckt) oder klar (kein Chrom). Ein Photoresist wird durch das von dem SLM projizierte Bild mit dem Muster belichtet und das Photoresist wird entwickelt. Modernes Photoresist hat einen hohen Kontrast, was bedeutet, dass eine Änderung der Exposition um einen kleinen Prozentsatz einen Unterschied ergibt zwischen vollkommener Entfernung des Resists im Entwickler und kaum einer Entfernung. Somit weist das Photoresist einen Rand auf, welcher normalerweise fast senkrecht zur Substratoberfläche verläuft, obwohl das im Raum erzeugte Bild einen allmählichen Übergang zwischen hell und dunkel aufweist. Das Ätzen des Chroms vergrößert weiter den Kontrast, so dass das entstehende Bild vollkommen binär ist: entweder undurchsichtig oder klar ohne Zwischengebiete.
  • Die Eingangsdaten sind in einem digitalen Format, welches die Geometrie des auf die Fläche zu schreibenden Musters beschreibt. Die Eingangsdaten werden oftmals in einer sehr kleinen Adresseneinheit angegeben, z.B. 1 Nanometer, während das Einstellen von Pixeln in dem SLM auf entweder Ein oder Aus ein viel gröberes Muster ergibt. Wird ein Pixel auf dem SLM auf ein 0,1-μm-Pixel im Bild projiziert, kann eine Linie nur eine Breite haben, die einer ganzzahligen Anzahl von Pixeln entspricht (n × 0,1 μm, worin n eine ganze Zahl ist). Ein Adressenraster von 0,1 μm war bisher ausreichend, jedoch wird infolge der Neueinführung einer sogenannten optischen Proximitätskorrektion („Optical Proximity Correction", OPC) ein Raster von 1 bis 5 nm erstrebenswert. Bei der OPC werden die Größen der Merkmale in der Maske geringfügig modifiziert, um vorbestimmte optische Bildfehler bei der Verwendung der Maske zu kompensieren. Wenn, als Beispiel, eine Maske mit vier parallelen Linien einer Breite vom 0,8 μm in einer modernen 4fach-Verkleinerungseinrichtung (ein Projektionsdrucker für Halbleiterwafer) gedruckt wird, werden diese in einem typischen Fall als Linien einer Breite von 0,187, 0,200, 0,200 und 0,187 μm ausgedruckt, obwohl sie alle die gleiche Breite haben sollten. Dies kann durch Simulation der Bildentstehung vorbestimmt werden, und es kann der Benutzer der Maske die OPC zur Kompensation in der Maske benutzen. Er benötigt deshalb in der Maske eine erste und eine letzte Linie mit einer Breite von 4 × 0,213 μm anstelle von 0,800 μm. Mit einem Adressenraster von 0,1 μm kann er diese Korrektion nicht durchführen, jedoch sind mit einem Adressenraster von 5 nm oder feiner derartige Korrektionen möglich.
  • In 5 ist das Verfahren zum Vorsehen von Daten für den SLM in einem Fließdiagramm dargestellt. Der erste Schritt S1 besteht darin, die Musterdaten für das zu schreibende Muster in getrennte Musterfelder aufzuteilen. Die Musterdaten werden vorzugsweise in digitaler Form erhalten. Danach erfolgt im Schritt S2 ein Rastern der Felder, denen dabei verschiedene Belichtungswerte zugeordnet werden. Diese Werte werden dann im Schritt 3 für nichtlineare Ansprechbarkeit und im Schritt 4 auf Änderungen von Pixel zu Pixel korrigiert. Schließlich werden die Pixelwerte in Antriebsignale umgewandelt und an den SLM geleitet.
  • Bei der Erfindung werden bevorzugt Zwischenwerte zwischen dem Aus-Zustand und dem Ein-Zustand verwendet, um ein feines Adressenraster, z.B. 1/15, 1/25, 1/50 der Größe eines Pixels, zu erzeugen. Ein gedrucktes Merkmal besteht aus Pixeln im Ein-Zustand, jedoch entlang den Rändern weist es Pixel auf, die auf Zwischenwerte eingestellt sind. Dies wird durchgeführt, indem die Pixel mit anderen Spannungen als die Ein- und Aus-Spannungen betrieben werden. Da mehrere nichtlineare Effekte kaskadenartig auftreten, (Lage des Randes gegen Exposition an den Pixeln an der Grenze, Exposition gegen Deformation und Deformation gegen elektrisches Feld) wird eine nichtlineare Transformation von den Eingangsdaten zum elektrischen Feld benötigt. Des weiteren wird diese Transformation in regelmäßigen Zeitabständen empirisch kalibriert.
  • 3 zeigt eine Anordnung von Pixeln, die sich wie Kolben auf und ab bewegen, wodurch sie eine Phasendifferenz erzeugen. Die Figur zeigt, wie die Pixel gesteuert werden, um das Reflexionsvermögen in dem Einsatz zu erzeugen. Die hellen Gebiete weisen Pixel mit der Phase 0 auf, während die dunklen Gebiete von Pixeln mit alternierender Phase von +90° und –90° erzeugt werden. Die schrägen Grenzen zwischen hellen und dunklen Gebieten werden durch Zwischenwerte der Phase erzeugt. Auf diese Weise kann ein Rand mit einem SLM vom Phasen-Typ fein positioniert werden. Jedoch können andere SLM-Typen mit Zwischenwerten in der gleichen Weise verwendet werden. Die Abbildungseigenschaften, wenn der Phasen-SLM bei Zwischenwerten betrieben wird, sind komplex, und es ist kaum nahe liegend, dass in 3 der Rand bewegt werden kann. Jedoch wurde vom Erfinder durch weitgehende theoretische Berechnungen und Versuche aufgezeigt, dass der beschriebene Effekt echt ist.
  • Die Ausbildung des SLM vom Phasen-Typ
  • Mit einem wie im Stand der Technik verwendeten Spiegel von kleeblattförmiger Ausbildung ist es möglich, auf Zwischenstufen zwischen Ein- und Aus-Zuständen zu schalten. Wird jedoch die integrierte komplexe Amplitude als Funktion der Ablenkung aufgetragen, wird ersichtlich, dass diese nie vollkommen auf null zurückgeht, sondern um den Nullwert herum kreist, und deshalb eine minimale Reflexion, deren Wert nicht null ist, mit einem variierenden Phasenwinkel vorliegt. Eine gründliche Analyse eines Bildes, bei dem einige Pixel auf Zwischenzustände eingestellt sind, zeigt, dass in dem endgültigen Bild die Positionen der Ränder nicht stabil fokussiert sind, wenn der integrierte Phasenwinkel der Randpixel nicht null ist. Bei einer bevorzugten Ausführungsform der Erfindung werden Pixel einer neuen Art durch Verwendung von schwenkbaren Elementen erzeugt. Wird ein Element verschwenkt, bewegt sich ein Ende zur Lichtquelle hin, und das andere Ende von dieser hinweg, wodurch die mittlere Phase nahe bei null gehalten wird. Des weiteren ist die kleeblattförmige Ausbildung mit dem Problem einer eingebauten Spannung behaftet, welche während der Fertigung entsteht. Diese Spannung neigt dazu, auch ohne ein angelegtes elektrisches Feld eine teilweise Deformation zu erzeugen. Die eingebaute Deformation ist in jedem Pixel nicht vollkommen die gleiche, weil sie von Unvollkommenheiten während der Fertigung abhängt. Bei der kleeblattförmigen Ausbildung erzeugt dieser Unterschied von Pixel zu Pixel eine Variation erster Ordnung des Reflexionsvermögens. Mit aus schwenkbaren Elementen aufgebauten Pixelzellen tritt eine gleiche Wirkung ein, die jedoch zweiter Ordnung ist. Deshalb ist bei dem projizierten Bild die Gleichmäßigkeit besser.
  • Bildverbesserungen
  • Mit einer schwenkbaren Ausbildung ergibt sich ein dritter Vorteil: das Kleeblatt führt zu keiner vollkommenen Extinktion, aber es kann eine schwenkbare Zelle leichter mit einer Geometrie versehen werden, die zu einer vollkommenen Extinktion führt oder sogar durch null hindurchgeht und auf eine kleine Reflexion, die nicht null ist, jedoch mit umgekehrter Phase zurückkommt. Mit einer besseren Extinktion ergibt sich eine größere Frei heit beim Drucken von überlappenden Belichtungen; das Auslegen auf einen kleinen negativen Wert ergibt eine bessere Linearität in der Nähe der Extinktion. Das Drucken mit einer schwachen Belichtung von ungefähr 5 % in den dunklen Gebieten, jedoch mit einer umgekehrten Phase, kann eine verbesserte Randschärfe von 15 bis 30 % und das Vermögen, kleinere Merkmale mit einer vorgegebenen Linse zu drucken, ergeben. Dies ist analog zu den sogenannten abschwächenden, phasenschiebenden Masken, die in der Halbleiterindustrie verwendet werden. Ein verwandtes Verfahren zum Erhöhen der Randschärfe ist es, die innerhalb eines Merkmals befindlichen Pixel auf einen niedrigeren Wert und die in der Nähe des Randes auf einen höheren Wert einzustellen. Dies ergibt eine neuartige Bildverbesserung, die mit der bisherigen Projektion von Mustern aus Masken oder durch die Verwendung von Projektoren nach Nelson und Kück nicht möglich ist. Die Kombination einer negativen Amplitude, die nicht gleich null ist, im Hintergrund mit einer erhöhten Belichtung entlang den Rändern muss nicht der Erzeugung eines feinen Adressenrasters durch Antreiben der Ränderpixel auf Zwischenwerte entgegenstehen, weil die Wirkungen sich addieren oder zumindest berechenbar sind. Wenn die Pixel wesentlich kleiner sind als das dort zu druckende Merkmal, besteht eine Kombination von Pixelwerten, welche alle Wirkungen gleichzeitig erzeugt. Zur Auffindung dieser wird mehr Rechenarbeit benötigt, als die Erzeugung eines feinen Adressenrasters alleine, jedoch kann bei einigen Anwendungen der Erfindung das Vermögen zum Drucken kleinerer Merkmale von hohem Wert sein, welcher die zusätzliche Mühe rechtfertigt.
  • Im Falle eines kontinuierlich verlaufenden Spiegels auf einer viskoelastischen Schicht besteht ein inhärenter Ausgleich der durchschnittlichen Phase auf null. Simulationen haben ergeben, dass zum Feinpositionieren der Ränder von Merkmalen ein Betreiben bei Zwischenwerten auch bei dem kontinuierlichen Spiegel wirksam ist. Die Nichtlinearitäten sind kleiner als bei Mikrospiegeln. Damit das Verfahren gut funktioniert, muss jedoch das minimale Merkmal größer sein als bei Mikrospiegeln, d.h., es wird eine größere Anzahl von adressierten Pixeln pro aufgelöstes Merkmalselement benötigt. Die Folgen sind eine größere SLM-Vorrichtung, und dass für ein vorgegebenes Muster die Datenmenge größer ist. Deshalb wurden bei einer ersten und zweiten Ausführungsform Mikrospiegel gewählt.
  • Bei der Erfindung wird aus zwei Gründen ein Pixel mit einer rotationssymmetrischen Deformation (mindestens 2fache Symmetrie, in einer bevorzugten Ausführungsform 4fache Symmetrie) verwendet: um eine symmetrische Beleuchtung der Pupille der Projektionslinse zu ergeben, und um das Bild unempfindlich gegenüber Drehungen zu gestalten.
  • Letzteres ist für das Drucken eines Zufallslogikmusters auf einen Halbleiterwafer wichtig. Falls eine x-y-Asymmetrie besteht, weisen die entlang der x-Achse angeordneten Transistoren eine andere Verzögerung auf als diejenigen entlang der y-Achse, und es kann eine Fehlfunktion des Schaltkreises auftreten oder dieser nur bei einer geringeren Taktrate benutzt werden. Aufgrund der beiden Erfordernisse einer Bildinvarianz durch Fokussierung und Symmetrie zwischen x und y ist es sehr wichtig, im optischen System Symmetrien zu erzeugen und aufrecht zu erhalten. Eine Symmetrie kann entweder inhärent sein oder durch vorsätzliches Auswägen asymmetrischer Eigenschaften, wie durch das Verwenden von Mehrfachbelichtungen mit komplementären asymmetrischen Eigenschaften, erzeugt werden. Da jedoch Mehrfachbelichtungen zu kleinerem Durchlauf führen, werden symmetrische Anordnungen stark bevorzugt.
  • Bevorzugte Ausführungsformen
  • Eine erste bevorzugte Ausführungsform ist ein Mustergenerator für Photomasken für das tiefe UV, bei dem ein SLM mit 2048 × 512 Mikrospiegeln eingesetzt wird. Die Lichtquelle ist ein KrF-Exzimerlaser mit einem gepulsten Ausgang bei 248 Nanometern, Pulslängen von ungefähr 10 ns und einer Wiederholungsrate von 500 Hz. Der SLM ist mit einer Aluminiumoberfläche versehen, welche mehr als 90 % des Lichts reflektiert. Der SLM wird von dem Laser über einen strahlverwürfelnden Illuminator beleuchtet, und das reflektierte Licht wird auf die Projektionslinse und weiter auf die lichtempfindliche Fläche gerichtet. Der einfallende Strahl aus dem Illuminator und der zur Linse austretende Strahl werden von einem halbdurchlässigen Strahlenteilerspiegel voneinander getrennt. Vorzugsweise ist der Spiegel polarisationsselektiv, und es wird vom Illuminator polarisiertes Licht verwendet, dessen Polarisierungsrichtung von einer Viertelwellenplatte vor dem SLM geschaltet wird. Für eine x- und y-Symmetrie bei hoher NA muss das Bild symmetrisch polarisiert sein, und eine zweite Viertelwellenplatte zwischen dem Strahlenteiler und der Projektionslinse erzeugt eine zirkularpolarisierte Abbildung. Eine einfachere Anordnung, falls die Laserimpulsenergie diese zulässt, ergibt sich aus der Verwendung eines nichtpolarisierenden Strahlenteilers. Eine Viertelwellenplatte nach dem zweiten Durchlauf durch den Strahlenteiler ist immer noch von Vorteil, weil hierbei die Ausbildung der strahlenteilenden Schicht weniger empfindlich ist. Die einfachste Anordnung besteht darin, einen schrägen Lichteinfall auf den SLM zu verwenden, so dass die Strahlen aus dem Illuminator und zur Projektionslinse hin geometrisch getrennt werden, wie in 1.
  • Die Größe der Mikrospiegel-Pixel beträgt 20 × 20 μm, und die Projektionslinse weist eine 200fache Verkleinerung auf, so dass ein Pixel auf dem SLM im Bild 0,1 μm entspricht. Die Linse ist eine monochromatische DUV-Linse mit einer NA von 0,8, wodurch sich eine Punktabbildungsfunktion von 0,17 μm Halbwertsbreite ergibt. Die Größe der minimalen Linien, die mit guter Qualität geschrieben werden können, beträgt 0,25 μm.
  • Das Werkstück, z.B. eine Photomaske, wird unterhalb der Linse mit einem von einem Interferometer gesteuerten Objekttisch bewegt, und die Interferometerlogik sendet dem Laser ein Signal zur Erzeugung eines Blitzes. Da der Blitz nur 10 ns dauert, wird während der Exposition die Bewegung des Objekttisches eingefroren, und ein Bild des SLM einer Größe von 204,8 × 51,2 μm gedruckt. Nach 2 Millisekunden hat sich der Objekttisch um 51,2 μm bewegt, und es wird ein neuer Blitz ausgelöst und ein neues Bild des SLM Rand an Rand zum ersten gedruckt. Zwischen den Expositionen ladet das Dateneingabesystem ein neues Bild in den SLM, so dass ein größeres Muster aus den zusammengesetzten Blitzen entsteht. Nach dem Schreiben einer vollen Spalte erfolgt ein Vorschub des Objekttisches in senkrechter Richtung und es wird eine neue Spalte geschrieben. Auf diese Weise können Muster beliebiger Größe geschrieben werden, obwohl bei der ersten, bevorzugten Ausführungsform in typischer Weise Muster von 125 × 125 mm geschrieben werden. Das Schreiben von Mustern dieser Größe benötigt 50 Minuten und zusätzlich noch die Zeit für die Bewegung zwischen aufeinander folgenden Spalten.
  • Jedes Pixel kann auf 25 Pegel (und zusätzlich auf null) gesteuert werden, wobei ein Pixel einer Größe von 0,1 μm auf 25 Inkremente von jeweils 4 Nanometern interpolierbar ist. Die Datenumwandlung übernimmt die geometrischen Spezifikationen des Musters und übersetzt diese auf eine Karte, in welcher die Pixel auf Ein, Aus oder dazwischen liegende Reflektionen eingestellt sind. Der Datenweg muss dem SLM 2048 × 512 × 500 Datenwörter pro Sekunde, in der Praxis 524 Mbyte Pixeldaten pro Sekunde liefern. Bei einer bevorzugten Ausführungsform beträgt die beschreibbare Fläche maximal 230 × 230 mm, woraus sich bis zu 230/0,0512 = 4500 Blitze maximal in einer Spalte ergeben, und eine Spalte in 4500/500 = 9 Sekunden geschrieben wird. Die Menge an Pixeldaten, die in einer Spalte benötigt wird, beträgt 9 × 524 = 4800 Mb. Zur Reduzierung der Menge an übertragenen und gepufferten Daten wird ein komprimiertes Format verwendet, welches dem von Sandström et al. 90 beschriebenen ähnlich ist, jedoch mit dem Unterschied, dass eine Pixelkarte anstelle von Segmenten mit einer Länge und einem Wert komprimiert ist. Eine blauchbare Alternative ist es, eine Pixelkarte sofort zu erstellen und zur Verringerung der Menge an Daten, die zu übertragen und Puffern sind, handelsübliche Hardware-Prozessoren für die Kompression und Dekompression einzusetzen.
  • Auch mit einer Kompression der Datenmenge in einer vollen Maske ist es höchst unpraktisch, vorfragmentierte Daten auf einer Diskette zu speichern, jedoch müssen die Pixeldaten zwecks ihrer Verwendung erzeugt werden. Eine Anordnung von Prozessoren rastern das Bild parallel in das komprimierte Format und übertragen die komprimierten Daten in eine Erweiterungsschaltung, welche dem SLM Pixeldaten zuführt. Bei der bevorzugten Ausführungsform rastern die Prozessoren verschiedene Teile des Bildes und Puffern das Ergebnis bevor diese dem Eingangspuffer der Erweiterungsschaltung zugeführt werden.
  • Zweite bevorzugte Ausführungsform
  • Bei einer zweiten bevorzugten Ausführungsform ist der Laser ein ArF-Exzimerlaser mit einer Wellenlänge von 193 nm und einer Pulsfrequenz von 500 Hz. Der SLM umfasst 3072 × 1024 Pixel von 20 × 20 μm, und die Linsenverkleinerung ist 333fach, woraus sich ein projiziertes Pixel von 0,06 μm ergibt. Es gibt 60 Zwischenwerte und das Adressenraster weist eine Größe von 1 Nanometer auf. Die Punktabbildungsfunktion beträgt 0,13 μm und die Minimallinie weist eine Größe von 0,2 μm auf. Der Datenfluss beträgt 1572 Mbyte/s und die Datenmenge in einer Spalte einer Länge von 230 mm beträgt 11,8 Gb.
  • Eine dritte bevorzugte Ausführungsform ist mit der zweiten identisch, mit der Ausnahme, dass die Pixelmatrix um 45 Grad gedreht ist und die Größe des Pixelrasters 84 μm beträgt, woraus sich ein Abstand projizierter Pixel entlang x und y von 0,06 μm ergibt. Der Laser ist ein ArF-Exzimerlaser und die Linsenverkleinerung beträgt 240. Aufgrund der gedrehten Matrix ist die Pixeldichte in der Matrix geringer, und das Datenvolumen beträgt die Hälfte desjenigen der vorhergehenden Ausführungsform, jedoch mit der gleichen Adressenauflösung.
  • Änderungen aufeinanderfolgender Laserblitze
  • Der Exzimerlaser weist zwei unerwünschte Eigenschaften auf, nämlich Energieänderungen von 5 % von Blitz zu Blitz und ein Zeitraster von 100 ns von Blitz zu Blitz. bei den bevorzugten Ausführungsformen werden beide auf gleiche Weise kompensiert. Eine ers te Exposition mit dem Gesamtmuster erfolgt mit 90%iger Leistung. Die tatsächliche Blitzenergie und die zeitliche Lage jedes Blitzes werden aufgezeichnet. Eine zweite Exposition erfolgt als nominell 10%ige Exposition und mit einer analogen Modulation, welche die zweite Exposition als 5 bis 15 %, je nach den tatsächlichen Wert der ersten, ergibt. Auf ähnliche Weise kann eine vorsätzliche Zeitverschiebung bei der zweiten Exposition das Zeitgitter der ersten kompensieren. Die zweite Exposition kann die Fehler der ersten voll kompensieren, ergibt jedoch selbst neue Fehler der gleichen Art. Da diese durchschnittlich 10 % der Gesamtexposition beträgt, werden beide Fehler effektiv um einen Faktor 10 reduziert. In der Praxis weist der Laser eine zeitliche Unbestimmtheit auf, welche viel größer als 100 ns ist, weil der Lichtimpuls mit einer Verzögerung nach dem Triggerimpuls kommt und diese Verzögerung von Mal zu Mal um ein Paar Mikrosekunden variiert. Innerhalb einer kurzen Zeitspanne wird die Verzögerung mehr stabil. Deshalb wird die Verzögerung kontinuierlich gemessen und es werden die letzten Verzögerungswerte, geeignet gefiltert, zum Voraussagen der nächsten Impulsverzögerung und zur Positionierung des Triggerimpulses verwendet.
  • Es ist auf gleiche Weise möglich, Korrektionen für Ungenauigkeiten des Objekttisches anzubringen, nämlich wenn die Objekttischfehler aufgezeichnet werden und der Objekttisch bei einer zweiten Exposition mit einer kompensierenden Bewegung angetrieben wird. Messbare Lagefehler können im Prinzip teilweise oder gänzlich auf diese Weise korrigiert werden. Es ist erforderlich, den Objekttisch während einer zweiten Exposition mit einem schnellen Servomotor zu den berechneten Punkten hin zu bringen. Im Stand der Technik ist es bekannt, den SLM selbst auf einen Objekttisch mit kleinem Hub und kurzer Ansprechdauer aufzusetzen und diesen zur Feinpositionierung des Bildes zu verwenden. Ein weiteres, in gleicher Weise brauchbares Schema besteht darin, im optischen System zwischen dem SLM und der Bildfläche einen Spiegel mit einer piezoelektrischen Steuerung zu verwenden, wobei die Wahl zwischen den beiden aufgrund praktischer Erwägungen getroffen wird. Es ist auch möglich, den Daten in einem Belichtungsfeld einen Positionsversatz hinzu zu addieren und dadurch das Bild seitlich zu bewegen.
  • Die zweite Exposition wird vorzugsweise mit einem abschwächenden Filter zwischen dem Laser und dem SLM durchgeführt, so dass der volle Dynamikbereich des SLM innerhalb des Bereiches von 0 bis 15 % der Nominalexposition benutzbar ist. Mit 25 Zwischenstufen ist es möglich, die Exposition in Schritten von 15 % × 1/25 = 0,6 % einzustellen.
  • Die Ansprechbarkeit ändert sich geringfügig von Pixel zu Pixel aufgrund von Herstellungsfehlern und potentiell auch einer Alterung. Das Ergebnis ist eine unerwünschte Inhomogenität im Bild. Wenn die Anforderungen an das Bild sehr hoch sind, kann es erforderlich sein, jedes Pixel durch eine Multiplikation mit dem Kehrwert der Ansprechbarkeit des Pixels zu korrigieren, der in einem Nachschlagespeicher gespeichert ist. Besser ist sogar die Anwendung eines Polynoms mit zwei, drei oder mehr Gliedern für jedes Pixel. Dies kann in der Hardware in der Logik ausgeführt werden, welche den SLM antreibt.
  • In einer mehr bevorzugten komplexen Ausführungsform werden mehrere Korrektionen bei der zweiten korrigierenden Exposition kombiniert: die Variation von Blitz zu Blitz, das Blitzdauerraster und auch die bekannten Unterschiede zwischen den Ansprechbarkeiten der Pixel. So lange die Korrektionen klein sind, d.h., jeweils wenige Prozent betragen, addieren sie sich ungefähr linear, deshalb können die Korrektionen einfach addiert werden, bevor sie bei dem SLM angebracht werden. Die Summe wird mit dem gewünschten Wert der Expositionsdosis in dem betreffenden Pixel multipliziert.
  • Alternative Beleuchtungsquellen
  • Der Exzimerlaser ist von einer begrenzten Impulsfolgefrequenz („Puls Repetition Frequency", PRF) von 500 bis 1000 Hz je nach der Wellenlänge und Art des Lasers. Dies ergibt größere Felder mit zusammengesetzten Rändern entlang x sowie auch y. In zwei anderen bevorzugten Ausführungsformen wird der SLM beleuchtet mit einem Impulslaser mit viel größerer PRF, z.B. einem gütegeschalteten, aufwärtsgewandelten Festkörperlaser, und mit einer kontinuierlichen Laserquelle, welche die Oberfläche des SLM abtastet, so dass ein Teil des SLM mit neuen Daten nachbeladen wird, während ein anderer Teil gedruckt wird. In beiden Fällen sind die Kohärenzeigenschaften der Laser von denen des Exzimerlasers verschieden, und es wird eine weitergehende Steuerung der Strahlverwürfelung und Kohärenz benötigt, z.B. mehrfache parallel Lichtwege mit verschiedenen Weglängen. Bei einigen Ausführungsformen der Erfindung reicht die Lichtmenge aus einer Blitzlampe aus, die als Lichtquelle verwendet werden kann. Vorteile sind niedrige Kosten und gute Kohärenzeigenschaften.
  • Bei der bevorzugten Ausführungsform mit abtastender Beleuchtung werden zwei Schwierigkeiten behoben: die Variation von Zeit und Energie von Impuls zu Impuls, weil das Abtasten voll gesteuert vorzugsweise unter Verwendung eines elektrooptischen Abtasters wie einem akusto-optischen oder elektrooptischen ausgeführt wird, und viele kontinuierlich arbeitenden Laser weniger Leistungsschwankungen als gepulste Laser aufweisen. Des weiteren bietet die Verwendung von kontinuierlich arbeitenden Lasern eine andere Auswahl an Wellenlängen, und es sind kontinuierlich arbeitende Laser für das Auge weniger gefährlich als gepulste Laser. Am wichtigsten jedoch ist die Möglichkeit der Erzielung von viel höheren Datenraten mit einer Matrix mit nur wenigen Zeilen, weil das Abtasten unkritisch ist und mit einer Wiederholungsrate von 100 kHz oder mehr ausgeführt werden kann. Ein Abtasten mit dem Beleuchtungsstrahl ist auch eine Möglichkeit zur Erzeugung einer sehr gleichmäßigen Beleuchtung, was auf andere Weise schwierig ist.
  • Bei einigen Ausführungsformen ist es möglich und durchführbar, eine Blitzlampe als Beleuchtungsquelle einzusetzen.
  • EUV
  • Lichtquellen für das EUV basieren auf der Grundlage von Strahlung aus einem Teilchenbeschleuniger, einer magnetischen Plasma-Pinch-Maschine oder einer Erwärmung eines kleinen Materietropfens auf extreme Temperaturen mit einem Hochleistungslaserimpuls. In jedem Fall ist die Strahlung gepulst. Die EUV-Strahlung pflanzt sich nur im Vakuum fort, und kann nur mit reflektierenden Optiken fokussiert werden. Ein typischer Mustergenerator, der einen SLM verwendet, hat ein kleines Belichtungsfeld und einen geringen Verbrauch an optischer Leistung. Die Gestaltung des optischen Systems ist deshalb einfach im Vergleich mit derjenigen eines EUV-Schrittsystems, wodurch es möglich wird, mehr Spiegel einzusetzen und mit höherer NA zu arbeiten als in einem Schrittsystem. Es ist zu erwarten, dass eine Linse hoher NA ein ringförmiges Belichtungsfeld erzeugt, und es ist durchaus möglich, die Form des SLM an ein derartiges Feld anzupassen. Bei einer Wellenlänge von 13 nm und einer NA von 0,25 ist es möglich, mit Linien einer Breite von nur 25 nm zu belichten, und sogar weniger als 20 nm wenn eine Bildverbesserung wie nachstehend beschrieben angewendet wird. Keine andere bekannte Schreibtechnik weist ein gleiches Auflösungsvermögen und dabei eine gleiche Schreibgeschwindigkeit auf, wie sie von dem parallelen Charakter eines SLM ermöglicht werden.
  • Randüberlappung
  • Da bei jedem Blitz ein zweidimensionales Feld aufgedruckt wird und die Felder Rand an Rand an Rand zusammengesetzt werden, ist das Zusammensetzen sehr kritisch. Eine Verschiebung eines Feldes um nur wenige Nanometer erzeugt Musterfehler entlang des Randes, die sichtbar und für die Funktion einer aus der Maske hergestellten elektronischen Schaltung potentiell schädlich sind. Ein möglicher Weg zum Vermindern der unerwünschten Wirkungen bei einer Zusammensetzung besteht darin, dasselbe Muster mit mehreren Durchläufen, jedoch mit einer Verschiebung der Grenzen der Zusammensetzung zwischen den Durchläufen zu drucken. Wenn das Bild vier mal gedruckt wird, entsteht der Fehler der Zusammensetzung an vier Stellen, jedoch jeweils mit nur einem Viertel der Größe. Bei einer bevorzugten Ausführungsform der vorliegenden Erfindung wird von der Möglichkeit Gebrauch gemacht, Zwischenbelichtungen zusammen mit einem Überlappungsstreifen zwischen den Feldern zu erzeugen. Die Werte werden während des Rasterns berechnet, obwohl dies auch während der Expansion der komprimierten Daten erfolgen könnte. Eine Randüberlappung reduziert die Zusammensetzungsfehler mit viel geringeren Nachteilen für den Datendurchsatz als ein Drucken mit mehrfachem Durchlauf.
  • Erfindungsgemäß überlappen sich mindestens zwei benachbarte, zusammengesetzte Bilder an der gemeinsamen Grenze, wobei die überlappenden Bilder im Überlappungsbereich im wesentlichen das gleiche Muster und eine reduzierte Lichtintensität aufweisen, so dass ein unsichtbarer Rand entsteht. Die Exposition in den überlappenden Bereichen kann konstant sein oder von einem Bild zum benachbarten allmählich übergehen. Die Exposition in den überlappenden Gebieten kann auch einstellbar sein.
  • Die reduzierte Intensität in den überlappenden Gebieten könnte zum Beispiel von einer im optischen Weg befindlichen Transmissionsmaske oder einem Spiegel mit einem entlang seiner Oberfläche variierenden Reflexionsvermögen erzeugt werden. Diese Maske oder Blende könnte des weiteren eine offene Fläche und eine gradierte Transmission entlang des Randes aufweisen, derart angeordnet, dass ein unscharfes Bild der Maske auf dem Werkstück erzeugt wird. Die Maske wird vorzugsweise zwischen der Lichtquelle und dem räumlichen Modulator oder zwischen dem räumlichen Modulator und dem Werkstück angeordnet. Des weiteren kann die Maske ein zweiter räumlicher Modulator, der entweder durchlässig oder reflektierend ist, und vorzugsweise ein analoger räumlicher Modulator sein. Der räumliche Haupt-Lichtmodulator könnte auch eine reduzierte stati sche Lichtausbeute vorzugsweise in den Flächen aufweisen, die in dem Muster auf dem Werkstück einander überlappen sollen, wodurch in diesen Flächen eine verringerte Exposition entsteht. Dies kann mittels einer zusätzlichen Beschichtung des räumlichen Modulators auf den überlappenden Flächen erzielt werden, welche die Lichtintensität verringern, oder durch die Analogfunktion des Modulators, wenn der räumliche Modulator ein analoger Modulator ist. Die reduzierte Exposition wird dann vorzugsweise von den Daten gesteuert, die dem analogen räumlichen Modulator zugeführt werden, und es werden die reduzierten Expositionsdaten am meisten bevorzugt den Musterdaten während der Umwandlung von der eingegebenen Beschreibung zu Pixeldaten, die für den räumlichen Modulator geeignet sind, oder in einem separaten Schritt, z.B. unter Verwendung von Anwenderlogik oder einem Graphikprozessor, hinzuaddiert.
  • 7a zeigt ein Beispiel üblicher Schreibung, d.h. ohne Überlappung. Verschiedene Schraffierungen bezeichnen getrennt geschriebene Musterfelder, und 7b zeigt schematisch und übertrieben wie die Musteranordnung sich zwischen den verschiedenen Feldern ändert. Insbesondere ist eine Linie gezeigt, die sich über mehrere Musterfelder hinwegstreckt, bei denen ein Randfehler zwischen den Feldern aufgetreten ist. 7c zeigt das gleiche Muster, jedoch mit einer erfindungsgemäßen Überlappung zwischen den Feldern. Diese Überlappung ist gestuft, wie dies durch die Beleuchtungskurve in 7d gezeigt ist. Hierdurch werden die Randfehler in aufeinander folgende Stufen aufgeteilt, und die Fehler ausgeglichen und somit weniger sichtbar. Bei einer weiteren Verbesserung des Verfahrens sind die Stufen nicht an festgelegten Stellen, sondern entsprechend dem Muster vorgesehen. Insbesondere sind die Stufen anders als an den Merkmalsrändern und kleinen kritischen Merkmalen vorgesehen. In 7e und f werden stattdessen lineare Überlappungsübergänge zwischen den Feldern eingesetzt, wodurch der Fehler über die Überlappungsfläche linear verteilt wird.
  • Modifizierte Beleuchtung
  • Bei der ersten bevorzugten Ausführungsform erfolgt die Beleuchtung des SLM mit einem Exzimerlaser und einem Lichtverwürfler wie eine Fliegenauge-Linsenanordnung, um eine Beleuchtung zu erzeugen, welche derjenigen aus einer kreisförmigen, selbstleuchtenden Fläche in der Pupillenebene des Illuminators ähnelt. Zur Erhöhung des Auflösungsvermögens beim Drucken mit einem spezifischen Projektionssystem ist es möglich, eine modifizierte Beleuchtung zu verwenden. In den einfachsten Fällen werden Pupillenfilter in die Pupillenebene des Illuminators eingeführt, z.B. mit einer quadrupolförmigen oder kreisringförmigen Durchlassfläche. In einem mehr komplexen Fall wird das gleiche Feld mehrmals gedruckt. Mehrere Parameter können zwischen den Expositionen geändert werden, wie die Fokallänge in der Bildebene, das Beleuchtungsmuster und die dem SLM und dem Pupillenfilter in der Pupillenebene der Projektionsoptiken gelieferten Daten. Insbesondere kann eine synchronisierte Änderung der Beleuchtung und eines Pupillenfilters ein erhöhtes Auflösungsvermögen ergeben, am bemerkenswertesten wenn die Pupille eine sektorförmige Durchlassfläche aufweist und die Beleuchtung in der Weise ausgerichtet ist, dass das nicht gebeugte Licht eine absorbierende Blende in der Nähe der Spitze des Sektors schneidet.
  • Linearisierung des Ansprechvermögens
  • Zur Linearisierung der Übertragungsfunktion aus Daten zur Randpositionierung können im wesentlichen drei Wege beschritten werden:
    • – Berücksichtigung der Nichtlinearität in der Datenumwandlungseinheit und Erzeugung von 8Bit-Pixelwerten (Beispiel) in der Datenumwandlungseinheit und Verwendung von Digital-Analog-Umsetzern (DAC) des gleichen Auflösungsvermögens zum Antreiben des SLM.
    • – Erzeugung von digitalen Werten mit weniger Werten, z.B. 5 Bit oder bis zu 32 Werten, und deren Übersetzung in einen 8Bit-Wert in einer Nachschlagtabelle („Look-Up Table", LUT) und nachfolgende Einspeisung der 8Bit-Werte in die Digital-Analog-Umsetzer.
    • – Verwendung eines 5Bit-Wertes und von Halbleiterschaltern zum Wählen einer Gleichspannung, welche von einem oder mehreren Digital-Analog-Umsetzern hohen Auflösungsvermögens erzeugt wird.
  • In jedem Fall ist es möglich, eine empirische Kalibrationsfunktion zu bestimmen, derart dass das Ansprechvermögen auf der Platte linearisiert wird, wenn die Funktion bei der Datenumwandlungseinheit, der Nachschlagtabelle bzw. den Gleichspannungen angewendet wird.
  • Das anzuwendende Linearisierschema hängt von der Datenrate, den präzisen Anforderungen und auch von der verfügbaren Schaltungstechnik ab, die sich im Lauf der Zeit verändern kann. Zum gegenwärtigen Zeitpunkt stellt die Datenumwandlungseinheit einen Engpass dar, und deshalb ist es keine bevorzugte Lösung, in der Datenumwandlungseinheit zu linearisieren oder 8Bit-Pixelwerte zu erzeugen. Hochgeschwindigkeits-Digital-Analog-Umsetzer sind kostenaufwändig und Leistungsverbrauchend, und die angemessenste Lösung besteht darin, Gleichspannungen zu erzeugen und Schalter zu verwenden. Es wird damit möglich, ein Auflösungsvermögen zu verwenden, welches sogar größer als 8 Bit ist.
  • Beschreibung eines bevorzugten Mustergenerators
  • Es wird auf die 6 Bezug genommen. Ein Mustergenerator umfasst einen SLM 601 mit individueller und mehrwertiger Pixeladressierung, eine Beleuchtungsquelle 602, eine Vorrichtung 603 zur Verwürfelung des Beleuchtungsstrahls, ein abbildendes optisches System 604, einen Substrattisch 605 zur Feinpositionierung mit einem Interferometer-Positionssteuersystem 606 und einem Hardware- und Softwaredatenverarbeitungssystem für den SLM. Zwecks einwandfreier Funktion und einfacher Bedienung enthält er auch eine umgebende Klimakammer mit Temperatursteuerung, ein Substratbeladesystem, Software zur Zeitsteuerung der Tischbewegung und der Belichtungslasertriggerung zur Erzielung einer optimalen Genauigkeit der Musterpositionierung sowie eine Anwenderschnittstelle.
  • Die Beleuchtung in dem Mustergenerator erfolgt mit einem KrF-Exzimerlaser, welcher einen Lichtblitz einer Länge von 10 bis 20 Nanosekunden im UV-Gebiet bei einer Wellenlänge von 248 Nanometern bei einer Bandbreite abgibt, die der natürlichen Linienbreite eines Exzimerlasers entspricht. Um eine Musterverzerrung auf dem Substrat zu vermeiden, ist das Licht aus dem Exzimerlaser gleichmäßig über die Oberfläche des SLM verteilt, und das Licht ist von einer Kohärenzlänge, die kurz genug ist, kein Laserfunkeln auf dem Substrat zu erzeugen. Zur Erreichung dieser beiden Ziele wird ein Strahlverwürfler eingesetzt. Dieser teilt den Strahl aus dem Exzimerlaser in mehrere Strahlpfade unterschiedlicher Weglänge auf und addiert diese dann zur Verringerung der räumlichen Kohärenzlänge. Der Strahlverwürfler weist auch eine Strahlhomogenisiereinrichtung auf, bestehend aus einem Linsensystem mit einem Satz Fliegenaugelinsen, welche das von jedem Punkt des Laserstrahls aus dem Exzimerlaser ausgehende Licht gleichmäßig über die SLM-Fläche verteilt, um eine Zylinderhut-Lichtverteilung zu ergeben.
  • Das Licht von dem SLM wird nach unten weitergeleitet und auf dem Substrat auf dem Substrattisch zur Abbildung gebracht. Dies erfolgt unter Verwendung eines von Kück beschriebenen optischen Schlierensystems. Eine Linse l1 der Fokallänge f1 wird im Abstand f1 von dem SLM positioniert. Eine andere Linse l2 der Fokallänge f2 wird im Abstand 2 × f1 + f2 von dem SLM positioniert. Das Substrat befindet sich dann in einem Abstand 2 × f1 + 2 × f2 von dem SLM. Im Abstand 2 × f1 von dem SLM befindet sich eine Apertur 608, deren Größe die numerische Apertur (NA) des Systems und damit die minimale Größe eines Mustermerkmals bestimmt, welches auf dem Substrat geschrieben werden kann. Zur Korrektion von Unvollkommenheiten des optischen Systems und der Flachheit des Substrats ist auch ein fokussierendes System vorgesehen, welches zur Erzielung von optimalen fokussierenden Eigenschaften die Linse l2 entlang der z-Richtung innerhalb einer Positionierspanne von 50 Mikrometern dynamisch positioniert. Das Linsensystem ist auch wellenlängenkorrigiert für die beleuchtende Wellenlänge von 248 Nanometern, und weist eine Breitbandtoleranz des beleuchtenden Lichtes von mindestens ± 1 Nanometer auf. Das beleuchtende Licht wird in das abbildende optische System hinein reflektiert unter Verwendung eines Strahlenteilers 609, welcher unmittelbar oberhalb der Linse l1 positioniert ist. Mit einem Verkleinerungsfaktor von 250 und einer NA von 0,62 ist es möglich, Mustermerkmale einer Größe bis herab zu 0,2 Mikrometern in guter Musterqualität abzubilden. Mit 32 Graustufen aus jedem SLM-Pixel beträgt die minimale Rastergröße 2 Nanometer.
  • Der Mustergenerator ist mit einem Feinpositionier-Substrattisch mit einem Interferometer-Lagesteuersystem versehen. Es besteht aus einem bewegbaren Luftlager-xy-Tisch 605, welcher zwecks minimaler thermischer Ausdehnung aus Zerodur gefertigt ist. Ein Servosystem mit einem Messsystem zur interferometrischen Positionsrückmeldung 606 steuert die Tischlage entlang jeder der Richtungen. Entlang einer Richtung y hält das Servosystem den Tisch in einer festen Lage, und entlang der anderen Richtung x bewegt sich der Tisch mit konstanter Geschwindigkeit. Das Interferometer-Lagemesssystem wird entlang der x-Richtung zum Triggern der Belichtungs-Laserblitze verwendet, um einen gleichmäßigen Abstand zwischen allen Bildern des SLM auf dem Substrat zu ergeben. Wenn das Substrat mit einer ganzen Reihe von SLM-Bildern belichtet worden ist, bewegt sich der Tisch entlang der x-Richtung zurück zur ursprünglichen Lage, und bewegt sich zwecks Belichtung Substrats mit einer weiteren Reihe von SLM-Bildern um ein SLM- Bildinkrement entlang der y-Richtung. Diese Verfahrensweise wird wiederholt, bis das gesamte Substrat belichtet worden ist.
  • Die SLM-Bilder überlappen sich um eine Anzahl von Pixeln entlang der x- und y-Richtung, und das Belichtungsdatenmuster wird bei den überlappenden Pixeln lokal modifiziert, um die erhöhte Anzahl an Belichtungen zu kompensieren, welche die Überlappungsflächen ergeben.
  • Änderungen der Intensität von Impuls zu Impuls des Exzimerlasers werden kompensiert durch die Verwendung von Doppeldurchlaufbelichtungen des Musters, wobei der erste Durchlauf bei nominal 90 % der richtigen Intensität erfolgt. Bei dem ersten Durchlauf wird die eigentliche Intensität jedes Laserblitzes gemessen und gespeichert. Bei dem zweiten Durchlauf wird die richtige Intensität für jede SLM- Bildbelichtung verwendet, basierend auf den im ersten Durchlauf gemessenen Intensitätswerten. Auf diese Weise ist es möglich, den Einfluss der Intensitätsänderungen von Impuls zu Impuls des Exzimerlasers um eine Größenordnung zu verringern.
  • Die Funktionalität des SLM ist in diesem Text an anderer Stelle weitgehend beschrieben worden. Er weist 2048 × 256 Pixel mit Pixelgrößen von 16 Mikrometern auf, und es ist möglich, alle Pixel innerhalb von 1 Millisekunde zu adressieren. Der SLM ist fest auf einem feinverstellbaren Objekttisch aufgesetzt. Dieser feinverstellbare Objekttisch ist entlang den x- und y-Richtungen um 100 Mikrometer mit einer Genauigkeit von mehr als 100 Nanometern zwischen jeder Blitzbelichtung bewegbar. Die Feinpositionierung des SLM wird zum Korrigieren der Lageungenauigkeit des Substratpositioniertisches verwendet, um Zusammensetzungsfehler des Musters weiter zu reduzieren. Zusätzlich zu der x-y-Positionierung ist auch eine Möglichkeit zur Drehung des SLM-Tisches gegeben, damit ein Muster auf einem Substrat in einem anderen Winkel als der von dem Koordinatensystem des Substrattisches festgelegte belichtet werden kann. Der Zweck einer derartigen Drehung ist es, die Möglichkeit einer Substratausrichtung für Substrate mit einem bereits vorhandenen Muster zu schaffen, dem weitere Merkmale zugegeben werden sollen. Es kann nach dem Beladen des Objekttisches die genaue Lage des Substrats auf dem Tisch unter Verwendung eines unabhängigen optischen Kanals und einer CCD-Kamera gemessen werden, um die Systemkoordinaten einer Anzahl von Ausrichtmarkierungen auf dem Substrat zu bestimmen. Während der Exposition wird dann die Tischlage entlang den x- und y-Richtungen aufgrund der gemessenen Positionen der Ausrichtmarkierungen korrigiert. Eine Drehausrichtung wird unter Verwendung des Tisch-Servosystems zum Folgen des gedrehten Koordinatensystems, und auch durch Drehen des feinverstellbaren SLM-Tisches in der beschriebenen Weise erzielt.
  • Ein beliebiges Datenmuster eines beliebigen Formats wird in einem Musterrasterisierer 610 zu einer komprimierten, gerasterten Pixelkarte mit 32 (5 Bit) Graupegel pro Pixel transformiert. Da die Grauskala-Stufen eines belichteten Pixels nicht linear auf die an die Pixelelektrode angelegte Spannung ansprechen, werden die Eingangsdaten in einem Pixellinearisierer 611 linearisiert, so dass die 32 Graupegel einer gleichmäßigen Erhöhung der Expositionsdosis von jedem Pegel zum nächsten entsprechen. Dies wird ausgeführt unter Verwendung von 8Bit-Digital-zu-Analogwandlern („Digital-Analog-Converters", DAC) 612, wobei jeder Graupegel aus der Pixelkarte gemäß einer vorher empirisch kalibrierten Linearisierfunktion eine Spannung aus den DAC wählt. Ein zusätzlicher Versatz bei der Wahl eines Analogpegels aus den DAC erfolgt unter Verwendung einer Nachschlagtabelle, in der jeder Wert einem SLM-Pixel entspricht und jeder derartige Wert Anomalien des entsprechenden Pixels korrigiert. Die Kalibrationswerte in der Nachschlagtabelle werden unter Verwendung eines empirischen Kalibrationsverfahrens erzeugt, bei dem eine Reihe von Prüfbildern dem SLM zugeführt und die entstehenden belichteten Muster gemessen und für eine individuelle Pixelkorrektion verwendet werden. Dies bedeutet, dass zur Erzielung einer richtigen Expositionsdosis, jeder Graupegel in der Pixelkarte eine Analogspannung wählt, welche eine Pixeldeformation für jedes entsprechende SLM-Pixel erzeugt.
  • Literatur

Claims (21)

  1. Vorrichtung zur Erzeugung eines Musters auf einem Werkstück wie eine Photomaske, ein Halbleiterwafer, eine Elektronik-Verbindungseinrichtung, eine gedruckte Schaltung, ein Bedienungsfeld, eine mikrooptische Einrichtung oder eine Druckplatte; bei welcher ein Muster mit weniger deutlichen Rändern erzeugt wird, umfassend: eine Quelle (602) zum Abgeben von elektromagnetischer Strahlung mit einem Energieinhalt im Wellenlängenbereich von EUV bis IR; einen räumlichen Modulator (601, 401) mit mehreren modulierenden Elementen, welcher mit der Strahlung bestrahlt wird; ein Projektionssystem (604), welches eine Abbildung des Modulators auf dem Werkstück erzeugt; ein elektronisches Datenausgabesystem (610612), welches eine digitale Beschreibung des zu schreibenden Musters aufnimmt, der Beschreibung des digitalen Musters eine Sequenz von Teilmustern entnimmt, die Teilmuster in Modulatorsignale umwandelt und die Signale dem Modulator zuführt; und ein mechanisches Präzisionssystem (605), welches das Werkstück relativ zum Projektionssystem bewegt, dadurch gekennzeichnet, dass sie zusätzlich umfasst: ein elektronisches Steuersystem, welches die Bewegung des Werkstücks, das Zuführen der Signale zu dem Modulator und die abgegebene Strahlung koordiniert, so dass aus den Teilmustern, die durch die Sequenz von Teilmustern erzeugt werden, ein größeres Muster zusammengesetzt wird, wobei mindestens zwei benachbarte zusammengesetzte Abbildungen einander an der gemeinsamen Grenze überlappen und jede der überlappenden Abbildungen in der Überlappungsfläche im wesentlichen das gleiche Muster und eine verringerte Bestrahlungsdosis aufweist.
  2. Vorrichtung nach Anspruch 1, bei welcher die Exposition in den Überlappungsflächen stufenweise konstant ist.
  3. Vorrichtung nach Anspruch 1 oder 2, bei welcher die Exposition in den Überlappungsflächen einen allmählichen Übergang von einer Abbildung zu der benachbarten aufweist.
  4. Verrichtung nach einem der Ansprüche 1 bis 3, bei welcher die Exposition in den Überlappungsflächen einstellbar ist.
  5. Vorrichtung nach einem der Ansprüche 1 bis 3, bei welcher die Grenzen der Überlappungsflächen einstellbar sind.
  6. Vorrichtung nach Anspruch 5, bei welcher die Grenzen in den Überlappungsflächen derart gelegt sind, dass Ränder und kritische Merkmale vermieden werden.
  7. Vorrichtung nach einem der Ansprüche 1 bis 6, mit einem Datenverarbeitungs- und Ausgabesystem, welches die Beschreibung des Eingabemusters in Expositionsfelder zerlegt, wobei ein Feld einem Lichtimpuls entspricht und benachbarte Felder an der gemeinsamen Grenze eine Überlappung aufweisen.
  8. Vorrichtung nach einem der Ansprüche 1 bis 7, mit einer Blende, zum Beispiel eine Transmissionsblende, oder einem Spiegel mit einem über seine Oberfläche variierenden Reflexionsvermögen in dem optischen Pfad, zum Erzeugen einer verringerten Exposition in der Überlappungsfläche.
  9. Vorrichtung nach Anspruch 8, bei welcher die Blende eine freie Fläche und entlang des Randes eine graduierte Transmission aufweist.
  10. Vorrichtung nach Anspruch 8, bei welcher die Blende an der Mitte eine freie Fläche und um die freie Fläche herum eine scharfe Kante aufweist, wobei die Blende derart positioniert ist, dass eine unscharfe Abbildung davon an dem Werkstück erzeugt wird.
  11. Vorrichtung nach Anspruch 8, bei welcher die Blende zwischen der Lichtquelle und dem räumlichen Modulator positioniert ist.
  12. Vorrichtung nach Anspruch 8, bei welcher die Blende zwischen dem räumlichen Modulator und dem Werkstück positioniert ist.
  13. Vorrichtung nach Anspruch 8, bei welcher die Blende ein zweiter räumlicher Modulator ist.
  14. Vorrichtung nach Anspruch 9, bei welcher die Blende ein analoger räumlicher Modulator ist.
  15. Vorrichtung nach einem der Ansprüche 1 bis 7, bei welcher die verringerte Exposition von demselben räumlichen Lichtmodulator erzeugt wird, welcher das Muster erzeugt.
  16. Vorrichtung nach Anspruch 15, bei welcher der räumliche Lichtmodulator eine verringerte statische Lichtausbeute an den Flächen aufweist, die in dem Muster auf dem Werkstück eine Überlappung erzeugen sollen, wodurch in diesen Flächen eine verringerte Exposition erzeugt wird.
  17. Vorrichtung nach Anspruch 15 mit einem zusätzlich vorgesehenen, die Lichtausbeute verringernden Überzug auf den eine Überlappung erzeugenden Flächen des räumlichen Modulators.
  18. Vorrichtung nach Anspruch 15, bei welcher der räumliche Modulator ein Analogmodulator ist und die verringerte Exposition von der Analogfunktion des Modulators erzeugt wird.
  19. Vorrichtung nach Anspruch 18, bei welcher die verringerte Exposition von den Daten gesteuert wird, welche dem analogen räumlichen Modulator zugeführt werden.
  20. Vorrichtung nach Anspruch 18, bei welcher die verringerte Exposition von den Daten gesteuert wird, welche dem analogen räumlichen Modulator zugeführt werden, und die Daten der verringerten Exposition während der Umwandlung der eingegebenen Beschreibung zu Bildelementdaten, welche für den räumlichen Modulator geeignet sind, zu den Musterdaten addiert werden.
  21. Vorrichtung nach Anspruch 18, bei welcher die verringerte Exposition von den Daten gesteuert wird, welche dem analogen räumliche Modulator zugeführt werden, und die Daten der verringerten Exposition von einer speziellen Hardwareeinheit zu den Musterdaten addiert werden.
DE69928232T 1998-03-02 1999-03-02 Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen Expired - Lifetime DE69928232T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE9800665 1998-03-02
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM
PCT/SE1999/000292 WO1999045436A1 (en) 1998-03-02 1999-03-02 Improved pattern generator for avoiding stitching errors

Publications (2)

Publication Number Publication Date
DE69928232D1 DE69928232D1 (de) 2005-12-15
DE69928232T2 true DE69928232T2 (de) 2006-08-03

Family

ID=20410382

Family Applications (6)

Application Number Title Priority Date Filing Date
DE69928232T Expired - Lifetime DE69928232T2 (de) 1998-03-02 1999-03-02 Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen
DE69938895T Expired - Lifetime DE69938895D1 (de) 1998-03-02 1999-03-02 Prüfmustergenerator mit verbesserter adressenauflösung
DE69938921T Expired - Lifetime DE69938921D1 (de) 1998-03-02 1999-03-02 Verbessertes modulator-design für prüfmustergeneratoren
DE69936950T Expired - Lifetime DE69936950T2 (de) 1998-03-02 1999-03-02 Mustergenerator-Spiegelkonfigurationen
DE69943040T Expired - Lifetime DE69943040D1 (de) 1998-03-02 1999-03-02 Mustergenerator für euv
DE69943041T Expired - Lifetime DE69943041D1 (de) 1998-03-02 1999-03-02 Verbesserter mustergenerator

Family Applications After (5)

Application Number Title Priority Date Filing Date
DE69938895T Expired - Lifetime DE69938895D1 (de) 1998-03-02 1999-03-02 Prüfmustergenerator mit verbesserter adressenauflösung
DE69938921T Expired - Lifetime DE69938921D1 (de) 1998-03-02 1999-03-02 Verbessertes modulator-design für prüfmustergeneratoren
DE69936950T Expired - Lifetime DE69936950T2 (de) 1998-03-02 1999-03-02 Mustergenerator-Spiegelkonfigurationen
DE69943040T Expired - Lifetime DE69943040D1 (de) 1998-03-02 1999-03-02 Mustergenerator für euv
DE69943041T Expired - Lifetime DE69943041D1 (de) 1998-03-02 1999-03-02 Verbesserter mustergenerator

Country Status (12)

Country Link
US (16) US6428940B1 (de)
EP (6) EP1060439B1 (de)
JP (12) JP2002506236A (de)
KR (2) KR100451026B1 (de)
CN (3) CN1189794C (de)
AT (5) ATE398299T1 (de)
AU (7) AU2756899A (de)
DE (6) DE69928232T2 (de)
ES (1) ES2357473T3 (de)
RU (2) RU2232411C2 (de)
SE (1) SE9800665D0 (de)
WO (7) WO1999045440A1 (de)

Families Citing this family (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69841370D1 (de) * 1997-04-14 2010-01-21 Dicon As Belichtungseinheit und verfahren zur punktmässigen belichtung eines trägers
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
WO2001023914A1 (en) * 1999-09-30 2001-04-05 Nikon Corporation Optical device with multilayer thin film and aligner with the device
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
CN100410725C (zh) * 2001-09-12 2008-08-13 麦克罗尼克激光系统公司 使用空间光调制器的改进方法和装置
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
CN1791839A (zh) 2001-11-07 2006-06-21 应用材料有限公司 光点格栅阵列光刻机
JP2005533365A (ja) 2001-11-07 2005-11-04 アプライド マテリアルズ インコーポレイテッド マスクレスの光子−電子スポット格子アレイ印刷装置
US6618185B2 (en) 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
SE0104238D0 (sv) 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
TW594445B (en) * 2002-02-06 2004-06-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) * 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
EP1543451A4 (de) * 2002-07-12 2010-11-17 Cadence Design Systems Inc Verfahren und system zum kontextspezifischen maskenschreiben
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004017069A1 (ja) * 2002-08-16 2004-02-26 Kabushiki Kaisha Hayashi Soken バイオチップ分析装置およびオンライン分析システム
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
KR101049608B1 (ko) * 2002-08-24 2011-07-14 매스크리스 리소그래피 인코퍼레이티드 연속적인 직접-기록 광 리쏘그래피 장치 및 방법
TWI300308B (en) 2002-10-25 2008-08-21 Mapper Lithography Ip Bv Lithography system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
KR20050086953A (ko) * 2003-01-15 2005-08-30 마이크로닉 레이저 시스템즈 에이비 결함 픽셀을 탐지하는 방법
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
US20060104413A1 (en) * 2003-03-05 2006-05-18 Tadahiro Ohmi Mask repeater and mask manufacturing method
TWI356973B (en) * 2003-04-11 2012-01-21 Tadahiro Ohmi Pattern drawing apparatus and pattern drawing meth
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
JP4188322B2 (ja) * 2003-04-24 2008-11-26 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン 基板表面の露光を制御する方法および装置
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
TWI304522B (en) * 2003-05-28 2008-12-21 Asml Netherlands Bv Lithographic apparatus, method of calibrating and device manufacturing method
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
EP1482373A1 (de) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
US7755657B2 (en) 2003-06-12 2010-07-13 Micronic Laser Systems Ab Method for high precision printing of patterns
EP1489449A1 (de) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Räumlicher Lichtmodulator
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
EP1491966A1 (de) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Eichverfahren für einen lithographischen Apparat
SG119224A1 (en) 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
EP1652006A1 (de) * 2003-08-04 2006-05-03 Micronic Laser Systems Ab Psm-ausrichtverfahren und einrichtung
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2007503723A (ja) * 2003-08-22 2007-02-22 プレックス・エルエルシー 光学アドレス式極紫外線モジュレータ及びこのモジュレータを含むリソグラフィー装置
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
JP4717813B2 (ja) * 2003-09-12 2011-07-06 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ投影露光設備のための照明系
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
KR20060097711A (ko) * 2003-09-22 2006-09-14 오르보테크 엘티디. 칼라 필터의 직접 영상을 위한 시스템 및 방법
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN100452057C (zh) * 2003-10-07 2009-01-14 睿初科技公司 用于平板印刷仿真的系统和方法
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100451723C (zh) * 2003-11-12 2009-01-14 麦克罗尼克激光系统公司 用于修正slm戳图像缺陷的方法和器件
US7842926B2 (en) * 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
JP4464971B2 (ja) * 2003-12-11 2010-05-19 マイクロニック レーザー システムズ アクチボラゲット 加工物にパターン形成するための方法及び装置、並びにその製造方法
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI609410B (zh) * 2004-02-06 2017-12-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7133118B2 (en) 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005081070A1 (en) * 2004-02-25 2005-09-01 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
WO2005091068A1 (ja) 2004-03-24 2005-09-29 Fuji Photo Film Co., Ltd. 画像形成方法、平版印刷版原版および平版印刷方法
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602005026375D1 (de) * 2004-03-30 2011-03-31 Zeiss Carl Smt Ag Projektionsobjektiv und projektionsbelichtungsvorrichtung
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
JP2007538320A (ja) * 2004-05-18 2007-12-27 シルバーブルック リサーチ ピーティワイ リミテッド 製品アイテムを追跡する方法およびコンピュータシステム
EP1747500A2 (de) * 2004-05-19 2007-01-31 Fuji Photo Film Co. Ltd. Bildaufzeichnungsverfahren
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US7123348B2 (en) 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100476499C (zh) 2004-06-23 2009-04-08 艺术科学魁恩传媒公司 利用光学瓦片的浮雕成像
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006021406A2 (en) * 2004-08-23 2006-03-02 Micronic Laser Systems Ab Pupil improvement of incoherent imaging systems for enhanced cd linearity
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) * 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7391676B2 (en) 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
KR20070104444A (ko) * 2005-01-28 2007-10-25 에이에스엠엘 홀딩 엔.브이. 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
KR100898848B1 (ko) * 2005-04-15 2009-05-21 마이크로닉 레이저 시스템즈 에이비 다중 노출 광선 리소그래피 툴 방법
US7221514B2 (en) 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
EP1896901A1 (de) * 2005-04-15 2008-03-12 Micronic Laser Systems Ab Bildverbesserungstechnik
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7209275B2 (en) 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
JP4991729B2 (ja) * 2005-09-26 2012-08-01 マイクロニック レーザー システムズ アクチボラゲット 設計データの多数の形態に基づいたパターン生成のための方法及びシステム
JP5025157B2 (ja) * 2005-09-29 2012-09-12 大日本スクリーン製造株式会社 画像記録装置および画像記録方法
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
DE102006019963B4 (de) 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
JP5180446B2 (ja) * 2006-07-20 2013-04-10 株式会社ナノシステムソリューションズ 露光装置及び露光方法
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
JP4937705B2 (ja) * 2006-11-14 2012-05-23 株式会社オーク製作所 多重露光装置
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US8125407B2 (en) * 2006-12-27 2012-02-28 Silicon Quest Kabushiki-Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) * 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) * 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
EP2145509A1 (de) * 2007-04-30 2010-01-20 Koninklijke Philips Electronics N.V. Modulares festkörperbeleuchtungssystem
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
JP5630634B2 (ja) * 2007-07-13 2014-11-26 株式会社ニコン 露光方法及び装置、並びにデバイス製造方法
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) * 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
JP2010537414A (ja) * 2007-08-30 2010-12-02 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置においてマスクを照明するための照明システム
US8111380B2 (en) * 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
WO2009050976A1 (en) * 2007-10-16 2009-04-23 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
JP5582287B2 (ja) * 2007-11-06 2014-09-03 株式会社ニコン 照明光学装置及び露光装置
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
WO2009078223A1 (ja) * 2007-12-17 2009-06-25 Nikon Corporation 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
EP2232334B1 (de) 2007-12-21 2013-02-20 Carl Zeiss SMT GmbH Beleuchtungssystem für eine mikrolithographische projektionsbelichtungsvorrichtung
JP5554245B2 (ja) 2007-12-21 2014-07-23 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置のマスク照明用の照明系
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
WO2009130603A2 (en) * 2008-04-24 2009-10-29 Micronic Laser Systems Ab Spatial light modulator with structured mirror surfaces
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
EP3138654B1 (de) * 2008-08-26 2018-03-14 Hamamatsu Photonics K.K. Laserbearbeitungsvorrichtung und laserbearbeitungsverfahren
WO2010024106A1 (ja) * 2008-08-28 2010-03-04 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) * 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) * 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) * 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2317386B1 (de) * 2008-12-23 2012-07-11 Carl Zeiss SMT GmbH Beleuchtungssystem eines mikrolithographischen Projektionsbelichtungsgeräts
WO2010092188A1 (en) 2009-02-16 2010-08-19 Micronic Laser Systems Ab Improved slm device and method
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
KR101757837B1 (ko) * 2009-05-20 2017-07-26 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8434887B2 (en) 2009-08-27 2013-05-07 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
US20120244723A1 (en) * 2009-09-18 2012-09-27 Applied Materials, Inc. Laser drilling of vias in back contact solar cells
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
WO2012013227A1 (en) * 2010-07-28 2012-02-02 Carl Zeiss Smt Gmbh Facet mirror device
KR101964407B1 (ko) * 2010-09-27 2019-04-01 가부시키가이샤 니콘 공간 광변조기의 구동 방법, 노광용 패턴의 생성 방법, 노광 방법, 및 노광 장치
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
WO2012076629A2 (en) * 2010-12-07 2012-06-14 Micronic Mydata AB Criss-cross writing strategy
US10054858B2 (en) 2010-12-13 2018-08-21 Nikon Corporation Spatial light modulator, method of driving same, and exposure method and apparatus
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
RU2583003C2 (ru) * 2011-07-11 2016-04-27 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Литографическая система и способ хранения позиционных данных мишени
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US10317346B2 (en) 2011-09-02 2019-06-11 Nikon Corporation Method and device for inspecting spatial light modulator, and exposure method and device
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) * 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) * 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法
SG11201503354XA (en) * 2012-10-29 2015-06-29 Univ Northwestern Heat actuated and projected lithography systems and methods
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (de) * 2013-01-17 2017-09-20 IMS Nanofabrication AG Hochspannungsisolationsvorrichtung für eine optische Vorrichtung mit geladenen Partikeln
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
JP6453780B2 (ja) 2013-03-12 2019-01-16 マイクロニック アーベーMycronic Ab 機械的に形成されるアライメント基準体の方法及び装置
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (de) 2013-07-25 2016-05-04 IMS Nanofabrication AG Verfahren zur Ladungsteilchen-Mehrstrahlbelichtung
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
CA3148330A1 (en) * 2013-10-20 2015-04-20 Mtt Innovation Incorporated Light field projectors and methods
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
US9646898B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (de) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Kompensation defekter Beamlets in einem Ladungsträger-Mehrstrahlbelichtungswerkzeug
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927944A1 (de) 2014-04-04 2015-10-07 Nordson Corporation Röntgeninspektionsvorrichtung zum Prüfen von Halbleiterscheiben
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
EP2937889B1 (de) 2014-04-25 2017-02-15 IMS Nanofabrication AG Mehrstrahliges werkzeug zum schneiden von mustern
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
EP3143763B8 (de) 2014-05-15 2023-12-27 MTT Innovation Incorporated Lichtprojektor und verfahren zur bildanzeige
EP3358599B1 (de) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Kompensation von dosisinhomogenität mittels zeilenkalibrierung
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (de) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bidirektionales mehrstrahliges schreiben mit doppeldurchgang
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) * 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10239178B2 (en) * 2016-10-17 2019-03-26 Virtek Vision International, ULC Laser projector with dynamically adaptable focus
JP7143320B2 (ja) * 2016-12-27 2022-09-28 デピュイ・シンセス・プロダクツ・インコーポレイテッド 内視鏡撮像環境において照明を提供するためのシステム、方法、及びデバイス
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
EP3598236A4 (de) * 2017-03-16 2021-01-20 Nikon Corporation Steuerungsvorrichtung und steuerungsverfahren, belichtungsvorrichtung und belichtungsverfahren, vorrichtungsherstellungsverfahren, datenerzeugungsverfahren und programm
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) * 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) * 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
DE102019115554A1 (de) 2019-06-07 2020-12-10 Bystronic Laser Ag Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US20230286081A1 (en) 2020-08-14 2023-09-14 Creamic Data Solutions GmbH High-Speed Data Recording and Reading
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (de) * 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4675702A (en) 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
WO1991017483A1 (de) * 1990-05-02 1991-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Belichtungsvorrichtung
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) * 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
EP0610183B1 (de) 1991-10-30 1995-05-10 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
EP0558781B1 (de) * 1992-03-05 1998-08-05 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
WO1994010633A1 (en) * 1992-11-02 1994-05-11 Etec Systems, Inc. Rasterizer for a pattern generation apparatus
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (de) 1993-09-15 1995-06-14 Texas Instruments Incorporated Bildsimulations- und Projektionssystem
US5467146A (en) * 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
DE69535346T2 (de) * 1994-08-04 2007-05-24 Texas Instruments Inc., Dallas Anzeigevorrichtung
US5614990A (en) 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
WO1998004950A1 (en) * 1996-07-25 1998-02-05 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
ATE220465T1 (de) 1997-10-29 2002-07-15 Calum E Macaulay Gerät und verfahren zur mikroskopie unter verwendung räumlich modulierten lichtes
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) * 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) * 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Also Published As

Publication number Publication date
US6373619B1 (en) 2002-04-16
JP2006080539A (ja) 2006-03-23
US6504644B1 (en) 2003-01-07
DE69936950D1 (de) 2007-10-04
US20050225836A1 (en) 2005-10-13
WO1999045439A1 (en) 1999-09-10
DE69943041D1 (de) 2011-01-27
DE69928232D1 (de) 2005-12-15
US6428940B1 (en) 2002-08-06
EP1060443B1 (de) 2008-06-18
EP1600817B1 (de) 2007-08-22
US20030202233A1 (en) 2003-10-30
SE9800665D0 (sv) 1998-03-02
ATE398792T1 (de) 2008-07-15
JP2002506232A (ja) 2002-02-26
JP2010016404A (ja) 2010-01-21
DE69936950T2 (de) 2008-05-15
US7009753B2 (en) 2006-03-07
RU2232411C2 (ru) 2004-07-10
EP1060442A1 (de) 2000-12-20
US6687041B1 (en) 2004-02-03
DE69938895D1 (de) 2008-07-24
DE69943040D1 (de) 2011-01-27
CN1173234C (zh) 2004-10-27
CN1292103A (zh) 2001-04-18
EP1060439A1 (de) 2000-12-20
US7365901B2 (en) 2008-04-29
RU2257603C2 (ru) 2005-07-27
ATE491971T1 (de) 2011-01-15
WO1999045440A1 (en) 1999-09-10
JP2010267978A (ja) 2010-11-25
US6987599B2 (en) 2006-01-17
EP1060443A1 (de) 2000-12-20
JP2002506234A (ja) 2002-02-26
US7034986B2 (en) 2006-04-25
ES2357473T3 (es) 2011-04-26
KR100451026B1 (ko) 2004-10-06
US6399261B1 (en) 2002-06-04
US6747783B1 (en) 2004-06-08
US6285488B1 (en) 2001-09-04
WO1999045436A1 (en) 1999-09-10
AU2755599A (en) 1999-09-20
US20090191489A1 (en) 2009-07-30
WO1999045438A1 (en) 1999-09-10
EP1060441A1 (de) 2000-12-20
AU2756999A (en) 1999-09-20
AU2755699A (en) 1999-09-20
US7800815B2 (en) 2010-09-21
JP2009033190A (ja) 2009-02-12
EP1060439B1 (de) 2005-11-09
AU2756899A (en) 1999-09-20
US7787174B2 (en) 2010-08-31
EP1060441B1 (de) 2010-12-15
JP2010015176A (ja) 2010-01-21
US7957055B2 (en) 2011-06-07
US20040165170A1 (en) 2004-08-26
ATE491970T1 (de) 2011-01-15
KR20010052196A (ko) 2001-06-25
JP2002506236A (ja) 2002-02-26
US20090147345A1 (en) 2009-06-11
JP2002506231A (ja) 2002-02-26
US20060103914A1 (en) 2006-05-18
AU3284299A (en) 1999-09-20
ATE398299T1 (de) 2008-07-15
CN1189794C (zh) 2005-02-16
US20060187524A1 (en) 2006-08-24
WO1999045435A1 (en) 1999-09-10
ATE309557T1 (de) 2005-11-15
EP1060440A1 (de) 2000-12-20
JP2002506230A (ja) 2002-02-26
US20080079922A1 (en) 2008-04-03
JP2002506235A (ja) 2002-02-26
WO1999045437A1 (en) 1999-09-10
US7184192B2 (en) 2007-02-27
AU2757099A (en) 1999-09-20
WO1999045441A1 (en) 1999-09-10
KR20010052197A (ko) 2001-06-25
EP1060440B1 (de) 2010-12-15
JP2002506233A (ja) 2002-02-26
EP1060442B1 (de) 2008-06-11
EP1600817A1 (de) 2005-11-30
KR100474121B1 (ko) 2005-05-06
DE69938921D1 (de) 2008-07-31
US7710634B2 (en) 2010-05-04
AU2755799A (en) 1999-09-20
CN1550902A (zh) 2004-12-01
US20100208327A1 (en) 2010-08-19
CN1292102A (zh) 2001-04-18

Similar Documents

Publication Publication Date Title
DE69928232T2 (de) Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen
DE60215852T2 (de) Defekt-pixel-kompensationsverfahren
US6816302B2 (en) Pattern generator
EP0610183B1 (de) Belichtungsvorrichtung
EP0610184B1 (de) Belichtungsvorrichtung
DE3933065A1 (de) Laser-abtastvorrichtung zum passiven facettenabtasten
WO2019242840A1 (de) Verfahren und vorrichtung zur belichtung von bildpunkten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition