DE69936950T2 - Mustergenerator-Spiegelkonfigurationen - Google Patents

Mustergenerator-Spiegelkonfigurationen Download PDF

Info

Publication number
DE69936950T2
DE69936950T2 DE69936950T DE69936950T DE69936950T2 DE 69936950 T2 DE69936950 T2 DE 69936950T2 DE 69936950 T DE69936950 T DE 69936950T DE 69936950 T DE69936950 T DE 69936950T DE 69936950 T2 DE69936950 T2 DE 69936950T2
Authority
DE
Germany
Prior art keywords
pattern
slm
mirror
grid
pixel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69936950T
Other languages
English (en)
Other versions
DE69936950D1 (de
Inventor
Torbjörn Sandström
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micronic Laser Systems AB
Original Assignee
Micronic Laser Systems AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micronic Laser Systems AB filed Critical Micronic Laser Systems AB
Publication of DE69936950D1 publication Critical patent/DE69936950D1/de
Application granted granted Critical
Publication of DE69936950T2 publication Critical patent/DE69936950T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/435Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
    • B41J2/465Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • G02B27/0037Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
    • G02B27/0043Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4205Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/34Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
    • G09G3/3433Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
    • G09G3/346Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/04Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
    • H04N1/19Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
    • H04N1/195Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/74Projection arrangements for image reproduction, e.g. using eidophor
    • H04N5/7416Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
    • H04N5/7458Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/2007Display of intermediate tones
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N2201/00Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
    • H04N2201/04Scanning arrangements
    • H04N2201/0402Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
    • H04N2201/0414Scanning an image in a series of overlapping zones

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft das hochpräzise Drucken von Mustern auf lichtempfindliche Oberflächen, beispielsweise Photomasken für Halbleiterelemente und Displays. Sie betrifft ferner das direkte Schreiben von Halbleiterelementmustern, Anzeigeflächen, integrierten optischen Elementen und elektronischen Verdrahtungsstrukturen. Sie kann außerdem Anwendung auf weitere Arten des Präzisionsdrucks finden, beispielsweise den Sicherheitsdruck. Der Begriff Drucken ist im weitesten Sinne zu verstehen und bedeutet das Belichten von Photolack und photographischen Emulsionen, jedoch auch die Wirkung von Licht auf andere lichtempfindliche Medien wie Trockenkopierpapier durch Ablation oder chemische Prozesse, die durch Licht oder Wärme aktiviert werden. Dabei ist „Licht" nicht auf das sichtbare Licht beschränkt, sondern beinhaltet ein breites Spektrum von Wellenlängen von der Infrarotstrahlung (IR) bis zur extremen UV-Strahlung. Besonders wichtig ist der Ultraviolettbereich von 370 nm (UV) über das mittlere Ultraviolett (DUV), das Vakuumultraviolett (VUV) und das extreme Ultraviolett (EUV) bis herunter zu einer Wellenlänge von wenigen Nanometern. Im Rahmen der vorliegenden Anmeldung ist EUV definiert als der Bereich von 100 nm abwärts, solange die Strahlung als Licht zu bezeichnen ist. Eine typische Wellenlänge der EUV ist 13 nm. IR ist definiert als der Bereich von 780 nm bis etwa 20 μm.
  • In einem anderen Sinne betrifft die Erfindung Theorie und Praxis von Raumlichtmodulatoren sowie Projektionsdisplays und Druckern, in denen derartige Modulatoren verwendet werden. Sie verbessert insbesondere die Graustufen-Eigenschaften, die Bildstabilität bei Fokussierung und die Gleichmäßigkeit des Bildes sowie die Datenverarbeitung für solche Modulatoren durch Anwendung analoger Modulationstechnik. Der wichtigste Einsatz für die analoge Modulation ist die Erzeugung eines Bildes in einem Hochkontrastmaterial wie Photolack mit Adressraster, d.h. dem Inkrement, mit dem die Position einer Kante im Muster angegeben wird, das viel feiner ist als das Raster, das durch die Pixel des Raumlichtmodulators erzeugt wird.
  • Hintergrund der Erfindung
  • Die Herstellung von Präzisionsmustergeneratoren, die mit der Projektion von Mikrospiegel-Raumlichtmodulatoren (SLMs) arbeiten (Nelson 1988, Kück 1990), ist Stand der Technik. Die Verwendung eines SLM in einem Mustergenerator hat gegenüber dem verbreiteteren Verfahren der Verwendung von Abtastlaserspots eine Reihe von Vorteilen, da der SLM ein ausgeprägt paralleles Element ist und die Anzahl der pro Sekunde schreibbaren Pixel außerordentlich hoch ist. Auch ist das optische System in der Hinsicht einfacher, dass die Anstrahlung des SLM nicht ausschlaggebend ist, während bei einem Laserscanner der gesamte Strahlengang in hoher Präzision auszuführen ist. Verglichen mit manchen Scannern, insbesondere elektrooptischen und akustooptischen, kann der Mikrospiegel-SLM mit kürzeren Wellenlängen betrieben werden, weil er ein reines Reflexionselement ist.
  • In beiden obengenannten Schriften nutzt der Raummodulator nur die EIN-AUS-Modulation bei jedem Pixel. Die Eingabedaten werden in eine Pixelkarte mit einer Tiefe von einem Bit, d.h. mit den Werten 0 und 1 für jedes Pixel, konvertiert. Die Konvertierung kann unter Verwendung von Graphikprozessoren oder kundenspezifischen Programmen mit Bildfüllanweisungen effizient erfolgen.
  • Im US-Patent 5,061,049 werden ein Raumlichtmodulator und ein Verfahren dazu offenbart. In diesem US-Patent werden mehrere SLM-Konstruktionen dargestellt, bei denen benachbarte Spiegelelemente in unterschiedliche Richtungen gekippt werden. Allen dargestellten Ausführungsbeispielen gemeinsam ist jedoch das Problem der Bildinstabilität bei Fokussierung, das insbesondere bei lithographischen Anwendungen auftritt.
  • In einer früheren Anmeldung desselben Erfinders Sandström (Sandström et al., 1990) wird die Möglichkeit der Verwendung eines Zwischenbelichtungswerts an der Grenze eines Musterelements zur Feinjustierung der Position der Kante des Elements im Bild, das von einem Laserscanner erzeugt wurde, beschrieben.
  • Stand der Technik ist außerdem die Erzeugung eines Graustufenbilds mit einem SLM, vorzugsweise zur Projektion von Videobildern und zum Drucken, durch Änderung der Zeit, in der ein Pixel belichtet wird, oder durch mehrmaliges Drucken desselben Pixels, das unterschiedlich oft belichtet wurde.
  • Mit der vorliegenden Erfindung wird ein System zur direkten Graustufenerzeugung mit einem Raumlichtmodulator unter besonderer Berücksichtigung der Erzeugung von hochpräzisen Mustern zur Verfügung gestellt. Wichtige Aspekte bei den bevorzugten Ausführungsbeispielen sind die Gleichmäßigkeit des Bildes von Pixel zu Pixel und die Unabhängigkeit der genauen Plazierung eines Merkmals in Bezug auf die Pixel des SLM sowie die Stabilität, wenn der Fokus absichtlich oder unabsichtlich geändert wird.
  • Zusammenfassung der Erfindung
  • Somit ist es eine Aufgabe der vorliegenden Erfindung, einen verbesserten Mustergenerator zum Drucken von Präzisionsmustern zur Verfügung zu stellen.
  • Diese Aufgabe wird gelöst mit der Vorrichtung gemäß den anliegenden Ansprüchen, die eine analoge Modulation der Pixel im SLM zur Verfügung stellt.
  • Kurzbeschreibung der Zeichnungen
  • 1 zeigt einen Drucker nach dem Stand der Technik. Der SLM besteht aus Mikrospiegeln, die das Licht von der Linsenöffnung ablenken.
  • 2 zeigt eine Reihe von Pixelmustern, wobei die oberen vier Pixel im AUS-Zustand sind und die übrigen fünf Pixeln im EIN-Zustand.
  • 3 zeigt eine Anordnung von Pixeln, die sich kolbenartig auf und ab bewegen, wodurch sie eine Phasendifferenz erzeugen. Auf diese Weise kann eine Kante mit einem Phasen-SLM feinpositioniert werden.
  • 4 zeigt einen schematischen Vergleich zwischen einem SLM mit Ablenkspiegeln und einem SLM mit sich verformenden Spiegeln.
  • 5 zeigt ein Ablaufdiagramm für ein Verfahren zum Übertragen von Daten zum SLM.
  • 6 zeigt ein bevorzugtes Ausführungsbeispiel des erfindungsgemäßen Mustergenerators.
  • 7 zeigt schematisch mögliche komplexe Amplituden für unterschiedliche SLM.
  • 8 zeigt schematisch unterschiedliche Arten der Steuerung der SLM-Spiegel.
  • Beschreibung der Erfindung
  • Die Grundlage für das Verständnis der Erfindung ist die allgemeine Anordnung von 1, die einen normalen Projektionsdrucker mit SLM zeigt. Es gibt zwei Arten von auf Reflexion basierenden Raumlichtmodulatoren, nämlich den Ablenkmodulator (Nelson) und den Phasenmodulator (Kück). Der Unterschied zwischen diesen beiden mag im speziellen Fall mit Mikrospiegeln gering erscheinen, jedoch löscht der Phasen-SLM den Strahl in Spiegelrichtung durch destruktive Interferenz aus, während ein Pixel im Ablenk-SLM den Spiegelstrahl geometrisch zu einer Seite hin ablenkt, so dass er an der Apertur der Abbildungslinse vorbeigeführt wird, wie in 1 gezeigt. Beim mit der vorliegenden Erfindung ausgeführten Hochpräzisionsdruck ist das von Kück 1990 beschriebene Phasenmodulationssystem dem Ablenktyp überlegen. Zum einen sorgt es für besseren Kontrast, weil alle Teile der Oberfläche, auch Verbindungen und Stützen, an der destruktiven Interferenz beteiligt sind und eine vollständige Auslöschung erreicht werden kann. Zum anderen kann ein System, das mit seitlicher Lichtablenkung arbeitet, bei Zwischenablenkungswinkeln nur schwer um die optische Achse herum symmetrisch gemacht werden, was zu dem Risiko der Merkmalsinstabilität bei Fokusänderung führt. Bei den bevorzugten Ausführungsbeispielen wird der Phasenmodulator verwendet, jedoch könnte auch der Ablenkmodulator verwen det werden, wenn die Asymmetrie akzeptiert oder gestalterisch verändert wird. Das ist in 4 schematisch dargestellt. Im ersten Bild, 4a, wird ein nicht abgelenkter Mikrospiegel 401 beleuchtet und das reflektierte Licht nicht in die Apertur 402 gerichtet, so dass das Licht nicht auf das Substrat 403 trifft. In 4b dagegen ist der Spiegel voll abgelenkt und das gesamte reflektierte Licht auf die Apertur gerichtet. In einer Zwischenstellung erreicht nur ein Teil des reflektierten Lichts das Substrat, was in 4c gezeigt ist. Jedoch strahlt das Licht in diesem Fall nicht symmetrisch um die optische Achse der Linse 404 und trifft schräg auf dem Substrat auf. Dabei wird der Abstand zwischen der Linse und der Substratebene ganz entscheidend, und kleine Änderungen, wie sie durch die gestrichelte Linie für die Ebene angezeigt sind, bewirken wesentliche Verschiebungen der Bildelemente auf dem Substrat. Eine Möglichkeit zur Lösung dieses Problems ist in 4d bis 4f dargestellt. Hier erfolgt eine erste Belichtung bei einem ersten Ablenkungswinkel des Mikrospiegels und anschließend eine zweite Belichtung, vorzugsweise bei gleicher Lichtstärke, bei einem zweiten Ablenkungswinkel, der zum ersten Winkel komplementär ist. Dadurch wird die Kombination von erster und zweiter Belichtung symmetrisch zur optischen Achse der Linse. Eine andere Möglichkeit der Problemlösung ist die Verwendung des Verformspiegels 401', wie es in 4g gezeigt ist, durch den das reflektierte Licht gleichmäßig über die Apertur verteilt wird. Diese letzte Abbildung könnte schematisch zwei Fälle wiedergeben, nämlich einen Phasen-SLM (nachstehend beschrieben) oder einen Ablenk-SLM, bei dem Licht von verschiedenen Teilen des Spiegels reflektiert wird.
  • Der Phasen-SLM kann entweder mit mikrobearbeiteten Spiegeln, sogenannten Mikrospiegeln, geschaffen werden oder mit einer durchgehenden Spiegeloberfläche auf einem Trägersubstrat, die durch ein elektronisches Signal verformt werden kann. Bei Kück 1990 wird eine von einem elektrostatischen Feld gesteuerte viskoelastische Schicht verwendet, jedoch ist es auch möglich, insbesondere bei sehr kurzen Wellenlängen, bei denen Verformungen um wenige Nanometer ausreichen, eine piezoelektrische feste Scheibe zu verwenden, die durch ein elektrisches Feld oder eine andere elektrisch, magnetisch oder thermisch gesteuerte reflektierende Oberfläche verformt wird. Für den Rest der vorliegenden Anmeldung wird eine elektrostatisch gesteuerte Mikrospiegel-Matrix (ein- oder zweidi mensional) angenommen, obwohl, wie oben beschrieben, auch andere Anordnungen möglich sind, beispielsweise durchlässige oder reflektierende SLMs, die als Modulationselemente LCD-Kristalle oder elektrooptische Materialien haben, oder mikromechanische SLMs mit Betätigung durch Piezoelektrizität oder Elektrostriktion.
  • Bei der Erfindung wird vorzugsweise ein Mikrospiegel verwendet, bei dem die Phasenmodulation veränderbar ist, um variable Lichtmengen an der Eintrittspupille der Projektionslinse zu erhalten. 2 zeigt einige Multi-Element-Spiegel. Die Neigungen der verschiedenen Teile der Spiegel sind nicht von Bedeutung. Das Licht wird nämlich von einem Element allein auf die Linse gerichtet, während es von einem anderen an der Pupille vorbeigelenkt wird. Die Funktion wird richtig verstanden, wenn die zusammengesetzte Amplitude, die von den einzelnen infinitesimalen Flächenelementen des Spiegels aus die Mitte der Pupille erreicht, betrachtet und über den Spiegel integriert wird. Bei geeigneter Form des Spiegels kann eine Verformung gefunden werden, bei der die Amplituden zusammen annähernd null ergeben, d.h. kein Licht in die Pupille gelangt. Dies ist der inaktive Zustand des Mikrospiegels, während ein entspannter Zustand, in dem die Spiegeloberfläche eben ist und sich die Phasen der einzelnen Amplituden addieren, der Betriebszustand ist. Zwischen den EIN- und AUS-Zuständen ist die Lichtmenge in Spiegelrichtung eine stetige, aber nichtlineare Funktion der Verformung.
  • Das darzustellende Muster ist normalerweise ein binäres Muster, beispielsweise ein Photomaskenmuster mit Chrom auf einem Glassubstrat. In diesem Zusammenhang bedeutet „binär", dass es keine Zwischenbereiche gibt: Ein bestimmter Punkt auf der Photomaskenoberfläche ist entweder dunkel (mit Chrom bedeckt) oder hell (ohne Chrom). Das Muster wird durch das projizierte Bild des SLM auf den Photolack belichtet, und der Photolack wird entwickelt. Moderne Lacke haben hohen Kontrast, will heißen, eine Belichtungsänderung um wenige Prozent ergibt den Unterschied zwischen vollständiger und fast keiner Entfernung des Lacks im Entwickler. Daher hat der Photolack eine Kante, die normalerweise fast senkrecht zur Substratoberfläche ist, auch wenn im Bild ein gradueller Übergang zwischen Hell und Dunkel erkennbar ist. Durch das Chromätzen wird der Kon trast noch weiter erhöht, so dass das entstehende Bild vollkommen binär ist, nämlich entweder undurchlässig oder durchlässig, ohne Zwischenbereiche.
  • Die Eingabedaten liegen in einem digitalen Format vor, das die Geometrie des auf die Oberfläche aufzubringenden Musters beschreibt. Die Eingabedaten liegen oft in einer sehr kleinen Adresseinheit, z.B. 1 Nanometer, vor, während die Festlegung von Pixeln im SLM auf entweder EIN oder AUS ein wesentlich gröberes Muster ergibt. Wenn ein Pixel am SLM auf einen Pixel von 0,1 μm im Bild projiziert wird, kann eine Linie nur eine Breite haben, die einer ganzzahligen Anzahl von Pixeln entspricht (n × 0,1 μm, wobei n eine ganze Zahl ist). Bis vor kurzem war ein Adressraster von 0,1 μm ausreichend, jedoch mit der Einführung der sogenannten optischen Nähekorrektur (optical proximity correction, OPC) wird ein Raster von 1-5 Nanometern wünschenswert. Bei OPC wird die Größe der Bildelemente der Maske leicht abgeändert, um vorhergesagte optische Bildfehler auszugleichen, wenn die Maske verwendet wird. Wenn beispielsweise eine Maske mit vier parallelen, 0,8 μm breiten Linien mit einem modernen Stepper mit 4-fach-Verkleinerung (einem Projektionsdrucker für Halbleiterwafer) gedruckt wird, werden sie typischerweise als Linien mit einer Breite von 0,187, 0,200, 0,200 und 0,187 μm gedruckt, obwohl sie dieselbe Breite haben sollten. Dies kann durch Simulation der Bilderzeugung vorhergesagt werden, und der Benutzer der Maske kann die OPC benutzen, um einen Ausgleich zu schaffen. Er möchte also, dass die erste und die letzte Linie der Maske 4 × 0,213 = 0,852 μm statt 0,800 μm breit sind. Bei einem Adressraster von 0,1 μm kann er die Korrektur nicht vornehmen, wohl aber bei einem Adressraster von 5 nm oder weniger.
  • In 5 ist das Verfahren der Bereitstellung von Daten für den SLM als Ablaufdiagramm dargestellt. In Schritt S1 werden die Musterdaten für das aufzubringende Muster in getrennte Musterfelder unterteilt. Die Musterdaten werden vorzugsweise in digitaler Form empfangen. In Schritt S2 werden die Felder dann gerastert und dadurch unterschiedlichen Belichtungswerten zugewiesen. Diese Werte werden dann in Schritt S3 hinsichtlich nichtlinearer Entsprechung und in Schritt S4 hinsichtlich der Abweichungen von Pixel zu Pixel korrigiert. Schließlich werden die Pixelwerte in Steuersignale konvertiert und an den SLM gegeben.
  • Die Erfindung verwendet vorzugsweise Zwischenwerte zwischen AUS und EIN, um ein feines Adressraster von z.B. 1/15, 1/25, 1/50 der Größe eines Pixels zu erzeugen. Ein Druckmerkmal besteht aus Pixeln im EIN-Zustand, hat jedoch am Rand Pixel mit Zwischenwerten. Dies geschieht durch Ansteuern der Pixel mit anderen Spannungen als den EIN- und AUS-Spannungen. Weil mehrere nichtlineare Effekte kaskadenartig auftreten (Position des Rands zu Belichtung der Pixel am Rand, Belichtung zu Verformung und Verformung zu elektrischem Feld), wird eine nichtlineare Umformung der Eingabedaten auf das elektrische Feld benötigt. Außerdem wird diese Umformung empirisch in regelmäßigen Zeitabständen kalibriert.
  • 3 zeigt eine Anordnung von Pixeln, die sich kolbenartig auf und ab bewegen und damit eine Phasendifferenz erzeugen. Die Abbildung zeigt, wie die Pixel gesteuert werden, um die Reflektivität im Inneren zu erzeugen. Die hellen Flächen haben Pixel mit 0-Phase, während die dunklen Flächen durch Pixel mit einer Phase von abwechselnd +90 und -90 Grad erzeugt werden. Die undurchlässigen Ränder zwischen den hellen und den dunklen Flächen werden durch Phasenzwischenwerte erzeugt. Auf diese Weise kann ein Rand mit einem Phasen-SLM feinplaziert werden. Jedoch könnten auch andersartige SLM mit Zwischenwerten in gleicher Weise verwendet werden. Die Abbildungseigenschaften mit dem Phasen-SLM, der mit Zwischenwerten gesteuert wird, sind komplex, und es ist keineswegs offensichtlich, dass der Rand in 3 bewegt wird. Jedoch hat der Erfinder durch ausführliche theoretische Berechnungen und Versuche gezeigt, dass der beschriebene Effekt tatsächlich auftritt.
  • Um ein feineres Adressraster zu erzeugen, ist das elektronische Verarbeitungssystem darauf eingestellt, eine Art Pixelkarte innerhalb der Musterelemente und eine andere Art Pixelkarte außerhalb der Elemente sowie Zwischenpixelkarten am Rand zu erzeugen, wie in 3 gezeigt, wobei die Zwischenpixelkarte am Rand in Abhängigkeit von der Plazierung des Rands in einem Raster, das feiner ist als dasjenige der Pixel des SLM, die auf das Werkstück projiziert wurden, erzeugt wird. Der SLM und das Projektionssystem erzeugen eine Belichtungsstufe innerhalb der Musterelemente und eine andere Belichtungsstufe zwischen den Musterelementen sowie eine Zwischenbelichtungsstufe am Rand. Die Zwischen belichtungsstufe wird durch Nutzung der Fähigkeit des SLM, sich mehreren Zustände anzupassen, erzeugt.
  • Die Entsprechung zwischen Steuersignalen und der tatsächlichen Plazierung des Rands wird charakterisiert und korrigiert. Sie wird empirisch gemessen, und es wird eine Kalibrierungsfunktion berechnet und im Datenverarbeitungs- und -übertragungssystem gespeichert.
  • Zur weiteren Verbesserung der Adressauflösung, sind Träger und SLM darauf eingestellt, Belichtungsfelder in einer Richtung, die nicht parallel zum Koordinatensystem des SLM ist, sondern typischerweise 45 Grad aufweist, miteinander zu verbinden. Insbesondere die kontinuierliche Bewegung des Trägers oder des optischen Systems erfolgt in einer Richtung, die nicht parallel zum SLM ist, sondern typischerweise einen Winkel von 45 Grad mit dem Koordinatensystem des SLM bildet. Es ist auch möglich, einen SLM mit nicht senkrechten Achsen zu haben, so dass es vorteilhaft ist, wenn keine der Achsen parallel zur Bewegungsrichtung ist. Um Linienfehler durch Unvollkommenheiten bei den Spalten- und Zeilentreibern des SLM oder Linienfehler in der Matrix selbst zu unterdrücken, ist es außerdem zweckmäßig, wenn die Zeilen- und Spaltenlinien mit der Verbindungsrichtung einen Winkel bilden, d.h. den Vektor zwischen der Mitte verbundener Felder.
  • Eine zusätzliche Verfeinerung des Adressrasters wird erreicht durch Überlagern von mindestens zwei Belichtungen mit modifizierten Daten in der Weise, dass die Belichtungen zusammen Zwischenwerte liefern, die bei einer einzigen Belichtung nicht erzielt werden können.
  • Aufbau des Phasen-SLM
  • Bei der in 2c gezeigten Kleeblatt-Anordnung der Spiegel, die beim Stand der Technik verwendet wird, ist es möglich, Zwischenzustände zwischen AUS und EIN anzusteuern. Wenn jedoch die integrierte zusammengesetzte Amplitude als Funktion der Verformung aufgetragen wird, zeigt sich, dass sie nie ganz null wird, sondern Null umkreist, so dass ein Mindestreflexionsvermögen ungleich null mit wechselndem Phasenwinkel gegeben ist. Das wird in 7 schematisch mit der Linie 701 dargestellt, wobei 703 die Position eines bestimmten Verformungswerts anzeigt und ϕ der zugehörige Phasenwinkel ist. Die gründliche Analyse eines Bildes, bei dem einige Pixel den Zwischenzustand einnehmen, zeigt, dass die Position der Ränder im fertigen Bild bei Fokussierung nicht gleich bleibt, wenn der integrierte Phasenwinkel der Randpixel nicht null ist. Das ist der Beugungseffekt, der zu dem in 4 gezeigten Spiegeleffekt analog ist. Bei einem bevorzugten Ausführungsbeispiel der Erfindung werden neuartige Pixel mit schwenkbaren Elementen verwendet. Beispiele für solche Elemente sind in 2e bis 2h gezeigt. Wenn die Elemente geschwenkt werden, bewegt sich ein Ende zur Lichtquelle hin und das andere davon weg, so dass die Phase im Durchschnitt nahe null bleibt. Dies ist in 7 mit der gestrichelten Linie 702 schematisch dargestellt. Bei der Kleeblattanordnung gibt es außerdem das Problem einer inneren Spannung, die während der Herstellung entsteht. Durch diese Spannung kann eine teilweise Verformung eintreten, ohne dass ein elektrisches Feld anliegt. Die innere Verformung ist nicht bei jedem Pixel absolut gleich, weil sie von Unzulänglichkeiten während der Fertigung abhängt. Bei der Kleeblattanordnung erzeugt dieser Unterschied zwischen den einzelnen Pixeln Schwankungen erster Ordnung des Reflexionsvermögens. Bei Pixelzellen, die mit schwenkbaren Elementen erzeugt werden, stellt sich derselbe Effekt ein, jedoch ein Effekt zweiter Ordnung. Damit hat das projizierte Bild größere Gleichmäßigkeit.
  • Die Gestaltung der Modulationselemente und das Belichtungsverfahren sind darauf abgestellt, für unterschiedlich angeordnete und/oder unterschiedlich ausgerichtete Ränder im Muster Symmetrie in der Blende des Projektionssystems zu erzeugen. Inhärente Asymmetrie bei Rändern, die an unterschiedlichen Positionen relativ zum Pixelraster liegen, kann reduziert werden, indem mindestens zwei Bilder miteinander überlagert werden, bei denen das Pixelraster relativ zum Muster unterschiedlich angeordnet ist.
  • Bei einem Ablenk-SLM bezieht sich die Symmetrie auf die Helligkeitsverteilung in der Blende. Am besten sind Modulationselemente, die das Licht symmetrisch zur Blendenmitte ablenken, oder es können miteinander überlagerte Belichtungen mit komplementärer Ablenkung verwendet werden, um Symmetrie zu erzeugen.
  • Mit Modulationselementen mit steuerbarer Ablenkung kann eine gleichbleibende geometrische Beziehung zwischen der Ablenkung an einem Randpixel und dem Rand erzeugt werden, d.h. sie kann in eine zum Rand senkrechte Richtung ins Innere der Musterelemente gerichtet werden.
  • Mit einem Beugungs-SLM ist es möglich, Symmetrie herzustellen, indem Belichtungen mit entgegengesetzten Phasenkarten miteinander überlagert werden. Die Symmetrie kann aufrechterhalten werden, wenn die zusammengesetzte Amplitude überall im SLM real ist und die Pixel in der Weise dargestellt werden können, dass die integrierte zusammengesetzte Amplitude im wesentlichen real ist und Werte im Bereich von -1 bis 1 aufweist. Oft sind Amplituden im Bereich von -0,5 bis 1 ausreichend. Dies ist bei den quadratischen schwenkbaren Mikrospiegelelementen von 2e, f, g, h der Fall.
  • Wenn zum Druck in Hintergrundsbereichen eine kleine negative Amplitude genommen wird, kann die Auflösung verbessert werden. In einem komplexeren System ist es möglich, Gruppen von benachbarten Pixeln zusammen ins Bild zu bringen und nach dem Filtern durch das Abbildungssystem die gewünschte reale Amplitude zu erhalten.
  • Zur Aufrechterhaltung der Symmetrie ist es vorteilhaft, wenigstens 2-fache Symmetrie und vorzugsweise 4-fache Symmetrie zu haben. Für Pixel, die keine inhärente Rotationssymmetrie haben, kann Symmetrie durch Überlagerung mehrerer Belichtungen hergestellt werden. Zur Verbesserung der Auflösung können außerdem eine Pixelanordnung oder eine Belichtungsfolge verwendet werden, die eine gesteuerte reale Amplitude ergeben. Dunklen Linien kann ein Extrakontrast verliehen werden, wenn sie zwischen Bereiche mit entgegengesetzter Phase gesetzt werden, und der Rand eines Musterelements kann verbessert werden, indem benachbarte Pixel innerhalb des Musterelements auf höhere positive Amplituden oder außerhalb des Musterelements auf negative Amplituden getrieben werden.
  • Bildverbesserungen
  • Die schwenkbare Ausführung hat noch einen dritten Vorteil: Bei Kleeblattanordnung kann keine vollständige Auslöschung erreicht werden, während die Geometrie einer schwenkbaren Zelle leichter so gestaltet werden kann, dass vollständige Auslöschung erreicht wird, oder sogar der Nullpunkt durchschritten wird und sich dann eine geringe Reflexion größer null, jedoch mit umgekehrter Phase ergibt. Bei besserer Auslöschung besteht größere Freiheit beim Drucken sich überlagernder Belichtungen, während die Ausführung mit einem kleinen negativen Wert 702 eine größere Linearität und annähernde Auslöschung ergibt. Durch Drucken mit einer schwachen Belichtung von etwa 5 % in den dunklen Flächen, aber mit umgekehrter Phase können eine um 15 bis 30 % höhere Randschärfe erreicht und mit ein und derselben Linse kleinere Bildelemente gedruckt werden. Das ist analog zu den sogenannten dämpfenden Phasenverschiebungsmasken, die in der Halbleiterindustrie verwendet werden. Ein verwandtes Verfahren zur Erhöhung der Kantenschärfe besteht darin, die Pixel innerhalb eines Musters auf einen niedrigeren Wert zu setzen und die Pixel am Rand auf einen höheren Wert. Damit wird eine neuartige Bildverbesserung erzielt, die bei der gängigen Projektion von Maskenmustern oder mittels der Projektoren nach Nelson und Kück nicht möglich ist. Die Kombination einer negativen Amplitude ungleich null im Hintergrund mit einer stärkeren Belichtung an den Rändern muss der Erzeugung eines feinen Adressrasters durch das Setzen der Randpixel auf Mittelwerte nicht entgegenstehen, weil die Effekte additiv oder wenigstens berechenbar sind. Wenn die Pixel wesentlich kleiner sind als das zu druckende Muster, besteht eine Kombination von Pixelwerten, die alle Effekte gleichzeitig erzeugt. Ihre Ermittlung erfordert mehr Rechenleistung als die Erzeugung eines feinen Adressrasters allein, jedoch kann die Möglichkeit, kleinere Merkmale zu drucken bei einigen Anwendungen der Erfindung einen so hohen Wert haben, dass die zusätzliche Leistung gerechtfertigt ist.
  • Im Fall eines einteiligen Spiegels auf einer viskoelastischen Schicht erfolgt ein inhärenter Ausgleich der durchschnittlichen Phase auf null. Simulationen haben gezeigt, dass die Steuerung auf Zwischenwerte zur Feinpositionierung von Merkmalsrändern auch beim einteiligen Spiegel funktioniert. Die Nichtlinearität ist kleiner als bei Mikrospiegeln. Damit das Verfahren gut funktioniert, muss das kleinste Merkmal jedoch größer sein als bei Mikrospiegeln, d.h. eine größere An zahl angesteuerter Pixel pro Auflösungselement haben. Damit ergeben sich ein größerer SLM und eine größere Datenmenge für ein bestimmtes Muster. Aus diesem Grund wurden beim ersten und beim zweiten Ausführungsbeispiel Mikrospiegel gewählt.
  • Bei der Erfindung wird aus zwei Gründen ein Pixel mit rotationssymmetrischer Verformung (mindestens Zweifachsymmetrie, bei einem bevorzugten Ausführungsbeispiel Vierfachsymmetrie) verwendet: zur symmetrischen Beleuchtung der Blende der Projektionslinse und zur Herstellung der Unempfindlichkeit des Bildes gegenüber Drehung. Letzteres ist wichtig zum Drucken eines Zufallslogikmusters auf einen Halbleiterwafer. Wenn xy-Asymmetrie besteht, haben die Transistoren entlang der x-Achse eine andere Verzögerung als diejenigen entlang der y-Achse, und die Schaltung kann eine Funktionsstörung haben, oder sie kann nur mit langsamerer Taktfrequenz betrieben werden. Durch die zwei Erfordernisse der Bildinvarianz bei Fokussierung und der Symmetrie zwischen x und y ist es äußerst wichtig, im optischen System Symmetrie zu schaffen und beizubehalten. Die Symmetrie kann inhärent sein oder durch gezielten Ausgleich von Asymmetrien hergestellt werden, beispielsweise durch Einsatz mehrerer Belichtungen mit komplementärer Asymmetrie. Da jedoch mehrere Belichtungen zu einem geringeren Durchsatz führen, sind Layouts mit inhärenter Symmetrie stark bevorzugt.
  • Bevorzugte Ausführungsbeispiele
  • Das erste bevorzugte Ausführungsbeispiel ist ein Mustergenerator mit starker UV-Strahlung für Photomasken unter Verwendung eines SLM mit 2048 × 512 Mikrospiegeln. Die Lichtquelle ist ein KrF-Excimer-Laser mit gepulstem Ausgang bei 248 nm, einer Pulsdauer von etwa 10 ns und einer Wiederholungsrate von 500 Hz. Der SLM hat eine Aluminiumoberfläche, die mehr als 90 % des Lichts reflektiert. Der SLM wird über einen strahlzerhackenden Illuminator vom Laser beleuchtet, und das reflektierte Licht wird auf die Projektionslinse und weiter auf die lichtempfindliche Oberfläche gerichtet. Der auftreffende Strahl vom Illuminator und der ausgehende Strahl zur Linse werden von einem halbdurchlässigen Strahlteilerspiegel getrennt. Vorzugsweise ist der Spiegel polarisationsselektiv und verwendet der Illuminator polarisiertes Licht, dessen Polarisationsrichtung durch eine Viertelwellenplatte vor dem SLM geschaltet wird. Zur x- und y-Symmetrie bei großer NA muss das Bild symmetrisch polarisiert werden und erzeugt eine zweite Viertelwellenplatte zwischen dem Strahlteiler und der Projektionslinse ein zirkulär polarisiertes Bild. Eine einfachere Anordnung ist die Verwendung eines nicht polarisierenden Strahlteilers, wenn die Laserpulsenergie dies erlaubt. Die Viertelwellenplatte nach dem zweiten Durchgang durch den Strahlteiler ist trotzdem von Vorteil, weil dann die Gestaltung der Strahlteilungsbeschichtung weniger entscheidend ist. Die allereinfachste Anordnung ist ein schräger Lichteinfall auf den SLM, so dass der Strahl vom Illuminator und der Strahl zur Projektionslinse geometrisch getrennt werden, wie in 1 gezeigt.
  • Die Mikrospiegelpixel messen 20 × 20 μm, und die Projektionslinse hat eine 200-fache Verkleinerung, so dass ein Pixel am SLM 0,1 μm im Bild entspricht. Die Linse ist eine monochromatische DUV-Linse mit einer NA von 0,8, was eine Punktaufweitungsfunktion von 0,17 μm FWHM ergibt. Die schwächsten Linien, die in guter Qualität geschrieben werden können, sind 0,25 μm dick.
  • Das Werkstück, z.B. eine Photomaske, wird mit einem interferometergesteuerten Träger unter die Linse gefahren, und die Interferometerlogik gibt an den Laser das Signal, einen Lichtblitz auszusenden. Weil der Lichtblitz nur 10 ns dauert, ist jede Bewegung des Trägers während der Belichtung gesperrt, und es wird ein 204,8 × 51,2 μm großes Bild des SLM gedruckt. 2 Millisekunden später hat sich der Träger um 51,2 μm weiterbewegt, und es wird ein neuer Lichtblitz abgegeben und ein neues Bild des SLM Kante an Kante mit dem ersten gedruckt. Zwischen den Belichtungen hat das Dateneingabesystem ein neues Bild in den SLM geladen, so dass aus den aneinandergereihten Blitzaufnahmen ein größeres Muster zusammengesetzt wird. Wenn eine komplette Spalte geschrieben ist, wandert der Träger in senkrechter Richtung weiter, und es wird eine neue Reihe begonnen. Auf diese Weise können Muster beliebiger Größe geschrieben werden, wenngleich das erste bevorzugte Ausführungsbeispiel typischerweise Muster schreibt, die 125 mm × 125 mm groß sind. Das Schreiben von Mustern dieser Größe dauert 50 Minuten zuzüglich der Zeit zum Verfahren zwischen aufeinanderfolgenden Spalten.
  • Jedes Pixel kann auf 25 Stufen (plus null) gesteuert werden, so dass ein Pixel von 0,1 μm in 25 Inkremente zu je 4 Nanometern interpoliert wird. Die Datenkonvertierung nimmt die geometrische Musterbeschreibung und überträgt sie in eine Karte, wobei die Pixel auf AUS, EIN oder Zwischenreflexion gesetzt sind. Der Datenpfad muß den SLM mit 2048·512·500 Dateneinheiten pro Sekunde, in der Praxis mit 524 Mbytes Pixeldaten pro Sekunde, versorgen. Bei einem bevorzugten Ausführungsbeispiel ist die beschreibbare Fläche maximal 230 × 230 mm groß, was bis zu maximal 230/0,0512 = 4500 Lichtblitze pro Spalte ergibt, die in 4500/500 = 9 Sekunden geschrieben wird. Die für eine Spalte benötigte Pixeldatenmenge beträgt 9 × 524 = 4800 Mb. Um die übertragene und zwischengespeicherte Datenmenge zu reduzieren, wird ein Komprimierungsformat verwendet, ähnlich wie bei Sandström et al. 90, jedoch mit dem Unterschied, dass anstelle von Segmenten mit einer Länge und einem Wert eine Pixelkarte komprimiert wird. Eine brauchbare Alternative ist die sofortige Erstellung einer Pixelkarte und die Verwendung handelsüblicher Hardwareprozessoren zur Komprimierung und Dekomprimierung, um die zu übertragende und zwischenzuspeichernde Datenmenge zu reduzieren. Selbst bei Datenkompression ist es durch die Datenmenge einer vollständigen Maske äußerst unpraktisch, voraufbereitete Daten auf Diskette zu speichern, jedoch müssen die Pixeldaten erzeugt werden, wenn sie verwendet werden. Eine Anordnung von Prozessoren rastert das Bild parallel in das komprimierte Format und überträgt die komprimierten Daten in eine Erweiterungsschaltung, die den SLM mit Pixeldaten speist. Bei einem bevorzugten Ausführungsbeispiel rastern die Prozessoren unterschiedliche Teile des Bilds und speichern das Ergebnis, bevor sie es in den Eingabepuffer der Erweiterungsschaltung überfragen.
  • Zweites bevorzugtes Ausführungsbeispiel
  • Bei einem zweiten bevorzugten Ausführungsbeispiel ist der Laser ein ArF-Excimer-Laser mit einer Wellenlänge von 193 nm und einer Pulsfrequenz von 500 Hz. Der SLM hat 3072×1024 Pixel von 20 × 20 μm und die Linse eine 333-fache Verkleinerung, was einen projizierten Pixel von 0,06 μm ergibt. Es gibt 60 Zwischenwerte, und das Adressraster ist 1 Nanometer. Die Punktaufweitungs funktion ist 0,13 μm und die schmalste Linie 0,2 μm. Der Datenfluss beträgt 1572 Mbytes/s und eine 230 mm lange Spalte enthält 11,8 Gb Daten.
  • Ein drittes bevorzugtes Ausführungsbeispiel ist identisch mit dem zweiten abgesehen davon, dass die Pixelmatrix um 45 Grad gedreht ist und das Pixelraster 84 μm beträgt, was einen Abstand von 0,06 μm in x- und y-Richtung zwischen den projizierten Pixeln ergibt. Der Laser ist ein ArF-Excimer-Laser, die Linse hat eine 240-fache Verkleinerung. Wegen der gedrehten Matrix ist die Pixeldichte in der Matrix kleiner und ist die Datenmenge nur halb so groß wie beim vorherigen Ausführungsbeispiel, jedoch mit derselben Adressauflösung.
  • Abweichungen zwischen den Laserblitzen
  • Der Excimer-Laser hat zwei unerwünschte Eigenschaften, nämlich Energieschwankungen von 5 % zwischen den Lichtblitzen und ein Zeitgitter von 100 ns von Blitz zu Blitz. Bei den bevorzugten Ausführungsbeispielen werden beide auf die gleiche Weise ausgeglichen. Mit 90 % Energie wird eine erste Belichtung des gesamten Musters erstellt. Die tatsächliche Blitzenergie und der Zeitpunkt jedes Blitzes werden aufgezeichnet. Eine zweite Belichtung wird mit nominell 10 % Belichtung und mit der Analogmodulation erstellt, die verwendet wird, um je nach dem tatsächlichen Wert der ersten Belichtung eine zweite Belichtung von 5 bis 15 % zu erhalten. Entsprechend kann ein absichtlicher Zeitversatz bei der zweiten Belichtung das Zeitgitter der ersten Belichtung kompensieren. Die zweite Belichtung kann Fehler der ersten Belichtung voll ausgleichen, erzeugt jedoch selbst neue Fehler der gleichen Art. Weil es sich im Mittel nur um 10 % der Gesamtbelichtung handelt, werden beide Fehler durch einen Faktor 10 wirksam reduziert. In der Praxis hat der Laser eine Zeitunbestimmtheit von weit mehr als 100 ns, weil der Lichtpuls mit Verzögerung nach dem auslösenden Impuls kommt; diese Verzögerung schwankt jeweils um ein paar Mikrosekunden. Innerhalb einer kurzen Zeitspanne bleibt die Verzögerung eher gleich. Deshalb wird die Verzögerung kontinuierlich gemessen, und die letzten Verzögerungswerte werden nach geeigneter Filterung zur Vorhersage der nächsten Pulsverzögerung und zur Positionierung des Auslöserimpulses verwendet.
  • Auch Trägerfehler können auf die gleiche Weise korrigiert werden, und zwar indem sie aufgezeichnet werden und der Träger bei der zweiten Belichtung mit einer Ausgleichsbewegung verfahren wird. Alle messbaren Plazierungsfehler können auf diese Weise im Prinzip teilweise oder vollständig korrigiert werden. Es wird ein schneller Servomotor benötigt, um den Träger bei der zweiten Belichtung an die berechneten Punkte zu fahren. Stand der Technik ist es, den SLM selbst auf einem Träger mit kleinem Weg und kurzer Ansprechzeit zu montieren und ihn zur Feinpositionierung des Bildes zu verwenden. Eine andere, gleich zweckmäßige Vorgehensweise ist die Verwendung eines Spiegels mit piezoelektrischer Steuerung im optischen System zwischen SLM und Bildoberfläche, wobei die Wahl zwischen diesen beiden auf Grund praktischer Überlegungen getroffen wird. Möglich ist auch, die Daten in einem Belichtungsfeld mit einem Positionsversatz zu versehen und das Bild dadurch seitwärts zu bewegen.
  • Die zweite Belichtung erfolgt vorzugsweise mit einem Dämpfungsfilter zwischen Laser und SLM, so dass der volle Dynamikbereich des SLM innerhalb von 0 bis 15 % der nominellen Belichtung genutzt werden kann. Bei 25 Zwischenstufen kann die Belichtung in Schritten von 15 % × 1/25 = 0,06 % angepasst werden.
  • Das Ansprechverhalten ändert sich durch Unvollkommenheiten bei der Herstellung und möglicherweise auch durch Alterung geringfügig von Pixel zu Pixel. Daraus ergibt sich eine unerwünschte Inhomogenität des Bildes. Bei sehr hohen Anforderungen an das Bild kann es erforderlich sein, jedes Pixel durch Multiplikation mit dem Umkehrwert der Pixelansprechempfindlichkeit, die in einem Tabellenspeicher gespeichert ist, zu korrigieren. Noch besser ist die Anwendung eines Polynoms mit zwei, drei oder mehr Termen auf jedes Pixel. Das kann in Hardware in der Logik erfolgen, die den SLM treibt.
  • Bei einem komplizierteren bevorzugten Ausführungsbeispiel werden bei der zweiten Korrekturbelichtung mehrere Korrekturen vorgenommen, nämlich bei der Abweichung von Lichtblitz zu Lichtblitz, am Blitzdauergitter sowie auch bei den bekannten Unterschieden im Ansprechverhalten der Pixel. Solange die Korrekturen klein sind, d.h. jeweils nur ein paar Prozent ausmachen, addieren sie sich in etwa linear, so dass sie einfach addiert werden können, bevor sie auf den SLM angewendet werden. Die Summe wird mit dem Wert der gewünschten Belichtungsstärke beim entsprechenden Pixel multipliziert.
  • Alternative Beleuchtungsquellen
  • Der Excimer-Laser hat eine begrenzte Pulswiederholfrequenz (prf) von 500 bis 1000 Hz, je nach Wellenlänge und Lasertyp. Dadurch ergeben sich große Bereiche mit Anschlusskanten sowohl in x- als auch in y-Richtung. Bei zwei anderen bevorzugten Ausführungsbeispielen wird der SLM mit einem gepulsten Laser mit viel höherer prf, z.B. einem gütegeschalteten (upconverted) Festkörperlaser, und mit einer Strichlaserquelle, die die Oberfläche des SLM abtastet, beleuchtet, so dass ein Teil des SLM mit neuen Daten gespeist wird, während ein anderer Teil gedruckt wird. In beiden Fällen unterscheiden sich die Kohärenzeigenschaften der Laser von denen des Excimerlasers, und es wird eine umfassendere Strahlzerhacker- und Kohärenzsteuerung benötigt, z.B. mehrere parallele Lichtwege mit unterschiedlich langer Strecke. Bei manchen Umsetzungen der Erfindung reicht das von einer Blitzlampe abgegebene Licht aus und kann als Lichtquelle verwendet werden. Der Vorteil liegt in den geringen Kosten und in den guten Kohärenzeigenschaften.
  • Mit dem bevorzugten Ausführungsbeispiel mit Abtastbeleuchtung werden zwei Probleme gelöst, nämlich die zeitlichen und die Energie-Schwankungen von Puls zu Puls, weil die Abtastung vollgesteuert erfolgt, wobei vorzugsweise ein elektrooptischer Scanner, z.B. ein akustooptischer oder elektrooptischer Scanner, verwendet wird, und viele Strichlaser zeigen geringere Energieschwankungen als gepulste Laser. Außerdem wird mit Strichlasern eine andere Auswahl von Wellenlängen bereitgestellt und sind Strichlaser weniger gefährlich für das Auge als gepulste Laser. Am wichtigsten jedoch ist die Möglichkeit, mit einer Matrix von nur wenigen Linien wesentlich höhere Datenraten zu erzielen, weil das Abtasten nicht kritisch ist und mit einer Wiederholungsrate von 100 kHz oder mehr erfolgen kann. Das Abtasten mit dem Beleuchtungsstrahl ist außerdem eine Möglichkeit, eine sehr gleichmäßige Beleuchtung zu erzielen, was ansonsten schwierig ist.
  • Bei manchen Ausführungsbeispielen ist es möglich und machbar, eine Blitzlampe als Beleuchtungsquelle zu verwenden.
  • EUV
  • Lichtquellen für EUV basieren auf Strahlung aus einem Teilchenbeschleuniger, einer Magnet-Plasma-Pinch-Maschine oder der Erwärmung eines kleinen Tropfens Materie auf eine extrem hohe Temperatur mit einem hochenergetischen Laserimpuls. In jedem Fall ist die Strahlung gepulst. Die EUV-Strahlung breitet sich nur im Vakuum aus und kann nur durch reflektierende Optik fokussiert werden. Ein typischer Mustergenerator mit SLM hat ein kleines Belichtungsfeld und geringe Anforderungen an die Lichtenergie. Daher ist das optische System im Vergleich mit einem EUV-Stepper sehr einfach, wodurch es möglich wird, mehr Spiegel und eine größere NA zu verwenden als bei einem Stepper. Es wird vorausgesetzt, dass eine Linse mit großer NA ein ringförmiges Belichtungsfeld hat und die Form des SLM voll an ein solches Feld angepasst werden kann. Bei einer Wellenlänge von 13 nm und einer NA von 0,25 ist es möglich, Linien zu belichten, die nur 25 nm breit sind, oder sogar Linien, die weniger als 20 nm breit sind, wenn die nachstehend beschriebene Bildverbesserung eingesetzt wird. Diese Auflösung und gleichzeitig die Schreibgeschwindigkeit, die durch die Parallelität des SLM möglich wird, werden von keiner anderen bekannten Schreibtechnik erreicht.
  • Randüberlappung
  • Da bei jedem Lichtblitz ein zweidimensionales Feld gedruckt wird und die Felder Kante an Kante aneinandergesetzt werden, ist das Aneinandersetzen sehr wichtig. Die Verschiebung eines Feldes um nur wenige Nanometer erzeugt Musterfehler an der betreffenden Kante, die sichtbar sind und möglicherweise die Funktion einer mit der Maske hergestellten elektronischen Schaltung beeinträchtigen. Die unerwünschten Kanteneffekte können wirksam reduziert werden, indem ein und dasselbe Muster in mehreren Durchgängen, jedoch mit Versatz der Kanten zwischen den Durchgängen, gedruckt wird. Wenn das Muster viermal gedruckt wird, tritt der Kantenfehler an vier Positionen auf, jedoch um ein Viertel schwä cher. Bei einem bevorzugten Ausführungsbeispiel der vorliegenden Erfindung wird die Möglichkeit von Zwischenbelichtungen zusammen mit einem Überlappungsstreifen zwischen den Feldern genutzt. Die Werte werden während der Rasterung berechnet, obwohl dies auch während der Dekomprimierung der komprimierten Daten geschehen könnte. Die Randüberlappung reduziert Randfehler mit weit weniger Nachteilen für den Durchsatz als das Drucken mit mehreren Durchgängen.
  • Beleuchtungsänderung
  • Beim ersten bevorzugten Ausführungsbeispiel erfolgt die Beleuchtung des SLM mittels eines Excimerlasers und eines Lichtzerhackers wie einer Fliegenaugenlinsenanordnung, um eine Beleuchtung zu erzeugen, die derjenigen durch eine kreisförmige selbstleuchtende Oberfläche in der Blendenebene des Illuminators ähnelt. Um die Auflösung beim Drucken mit einem bestimmten Projektionssystem zu erhöhen, kann die Beleuchtung geändert werden. Im einfachsten Fall werden Blendenfilter, z.B. mit vierpolförmiger oder ringförmiger Durchlassfläche, in die Blendenebene des Illuminators gebracht. In komplizierteren Fällen wird ein und dasselbe Feld mehrmals gedruckt. Zwischen den Belichtungen können mehrere Parameter geändert werden, beispielsweise der Fokus in der Bildebene, das Beleuchtungsmuster, die dem SLM zugeführten Daten und der Blendenfilter in der Blendenebene der Projektionsoptik. Insbesondere durch synchronisierte Änderung von Beleuchtung und Blendenfilter kann eine höhere Auflösung erzielt werden, am besten, wenn die Blende eine sektorförmige Durchlassfläche hat und die Beleuchtung in einer Flucht steht, so dass das nicht gebeugte oder gebrochene Licht auf einen absorbierenden Fleck beim Scheitelpunkt des Sektors trifft.
  • Linearisierung des Ansprechverhaltens
  • Für die Linearisierung der Transferfunktion von den Daten zur Rand- bzw. Kantenanordnung gibt es im wesentlichen drei Herangehensweisen:
    • – Berücksichtigung der Nichtlinearität in der Datenkonvertierungseinheit und Erzeugung von beispielsweise 8-Bit-Pixel-Werten in der Datenkon vertierungseinheit sowie die Verwendung von DACs mit derselben Auflösung zum Treiben des SLM. Das ist in 8a schematisch dargestellt, wobei R Relaissignale sind und C Kondensatoren, die auf jedem Matrixelement des SLM vorgesehen sind. Der SLM ist durch die gestrichelte Linie angezeigt.
    • – Erzeugung digitaler Werte mit weniger Werten, z.B. 5 Bits oder von bis zu 32 Werten und ihre Übertragung in einen 8-Bit-Wert in einer Nachschlagtabelle (LUT) mit anschließender Einspeisung der 8-Bit-Werte in die DACs.
    • – Verwendung eines 5-Bit-Werts und von Halbleiterschaltern zum Wählen einer DC-Spannung, die von einem oder mehreren hochauflösenden DAC erzeugt wird. Dies ist schematisch in 8b dargestellt.
  • In jedem dieser Fälle ist es möglich, eine empirische Kalibrierungsfunktion in der Weise zu messen, dass das Ansprechen auf der Platte linearisiert ist, wenn die Funktion auf die Datenkonvertierungseinheit bzw. die LUT bzw. die DC-Spannungen angewendet wird.
  • Welches Linearisierungsschema verwendet werden sollte, ist abhängig von der Datenrate, den Präzisionsanforderungen und von der jeweils verfügbaren Schaltungstechnik, die sich im Lauf der Zeit ändern kann. Derzeit ist die Datenkonvertierungseinheit ein Engpass, so dass die Linearisierung in der Datenkonvertierungseinheit keine bevorzugte Lösung ist, ebenso wenig wie die Erzeugung von 8-Bit-Pixelwerten. Schnelle DACs sind teuer und Stromfresser, so dass die beste Lösung darin besteht, Gleichspannungen zu erzeugen und Schalter zu verwenden. Dann ist es möglich, eine sogar noch bessere Auflösung als 8 Bit zu verwenden.
  • Beschreibung eines bevorzugten Mustergenerators
  • Gemäß 6 umfaßt ein Mustergenerator einen SLM 601 mit Einzel- und Mehr-Pixel-Ansteuerung, eine Beleuchtungsquelle 602, einen Strahlzerhacker 603, ein optisches Abbildungsystem 604, einen Feinpositionierungssubstratträger 605 mit Interferometer-Positionssteuersystem 606 und ein Datenverarbeitungssystem 607 aus Hardware und Software für den SLM. Für die ordnungsgemäße Funktion und die einfache Handhabung besitzt es auch eine umgebende Klimakammer mit Temperatursteuerung, Substratladesystem, Software für die zeitliche Abstimmung von Trägerbewegung und Auslösung des Belichtungslasers zur optimalen Plazierung des Musters sowie eine Software-Benutzerschnittstelle.
  • Die Beleuchtung im Mustergenerator erfolgt mit einem KrF-Excimer-Laser, der 10 bis 20 Nanosekunden lange Lichtblitze im UV-Bereich mit einer Wellenlänge von 248 Nanometern abgibt, wobei die Bandbreite der natürlichen Strichstärke eines Excimerlasers entspricht. Um eine Musterverzerrung auf dem Substrat zu verhindern, wird das Licht vom Excimerlaser gleichmäßig über die SLM-Oberfläche verteilt, und hat das Licht eine Kohärenzlänge, die ausreichend kurz ist, damit auf dem Substrat keine Laserflecken entstehen. Um diese beiden Ziele zu erreichen, wird ein Strahlzerhacker verwendet. Er teilt den Strahl aus dem Excimerlaser in mehrere Strahlwege unterschiedlicher Länge auf und addiert sie dann, um die räumliche Kohärenzlänge zu reduzieren. Der Strahlzerhacker besitzt außerdem einen Strahlhomogenisator, der aus einem Linsensystem besteht, das einen Satz Fliegenaugenlinsen enthält, die das Licht von jedem Punkt des Laserstrahls aus dem Excimerlaser gleichmäßig über die SLM-Oberfläche verteilen, so dass sich eine Lichtverteilung in „Hutform" ergibt. Dieses Zerhacken, Homogenisieren und Reduzieren der Kohärenz ist bei allen SLM-Druckern von Vorteil. Je nach den Gegebenheiten können Ausführungsformen mit Strahlteilern und -kombinatoren, Beugungselementen, optischen Fasern, Kaleidoskopen, Lenslet Arrays, Prismen oder Prismengruppen oder Ulbricht-Kugeln oder einer Kombination von anderen ähnlichen Elementen verwendet werden, die den Strahl teilen und wieder zusammenführen, um eine Vielzahl gegenseitig inkohärenter Lichtfelder zu erzeugen, die auf dem SLM auftreffen.
  • Das Licht vom SLM wird abwärts auf das Substrat auf dem Substratträger übertragen und abgebildet. Dies geschieht über ein Schlierensystem, wie es von Klick beschrieben wird. Eine Linse l1 mit der Brennweite f1 ist im Abstand f1 zum SLM angeordnet. Eine weitere Linse l2 mit der Brennweite f2 ist im Abstand 2 × f1 + f2 zum SLM angeordnet. Das Substrat hat dann vom SLM einen Abstand von 2 × f1 + 2 × f2. Im Abstand 2 × f1 vom SLM befindet sich eine Apertur 608, deren Größe die numerische Apertur (NA) des Systems und damit die Mindestgröße der Musterelemente, die auf das Substrat geschrieben werden können, bestimmt. Um kleine Mängel im optischen System und bei der Ebenheit des Substrats auszugleichen, ist noch ein Fokussierungssystem vorhanden, das die Linse l2 in z-Richtung mit einer Positionsspanne von 50 Mikrometern dynamisch positioniert, um den Brennpunkt optimal einzustellen. Außerdem ist das Linsensystem wellenlängenkorrigiert für Beleuchtungswellenlängen von 248 Nanometern und hat eine Bandbreitentoleranz für das Beleuchtungslicht von wenigstens ± 1 Nanometer. Das Beleuchtungslicht wird unter Einsatz eines Strahlteilers 609, der unmittelbar über der Linse l1 angeordnet wird, in das abbildende optische System reflektiert. Mit einem Verkleinerungsfaktor von 250 und einer NA von 0,62 ist es möglich, bis zu 0,2 Mikrometer kleine Musterelemente bei guter Musterqualität zu belichten. Bei 32 Stufen von jedem SLM-Pixel beträgt die kleinste Rastergröße 2 Nanometer.
  • Der Mustergenerator hat einen Substratträger zur Feinpositionierung mit Interferometer-Positionssteuersystem. Er besteht aus einem luftgelagerten verfahrbaren xy-Tisch 605 aus Zerodur mit minimaler Wärmeausdehnung. Ein Servosystem mit Interferometer-Positionsrückmeldemesssystem 606 steuert die Trägerposition in jeder Richtung. In einer Richtung, nämlich der y-Richtung, hält das Servosystem den Träger in fixierter Position, und in der anderen Richtung, nämlich der x-Richtung, bewegt sich der Träger mit gleichbleibender Geschwindigkeit. Das Interferometer-Positionsmeßsystem wird in x-Richtung zum Auslösen der Belichtungslaserblitze verwendet, damit die Position zwischen den einzelnen Bildern des SLM auf dem Substrat gleich bleibt. Wenn das Substrat mit einer ganzen Reihe SLM-Bilder belichtet ist, fährt der Träger in die Ausgangsposition in x-Richtung zurück und bewegt sich um einen SLM-Bild-Schritt in y-Richtung, um das Substrat mit einer weiteren Reihe SLM-Bilder zu belichten. Dieser Vorgang wird so oft wiederholt, bis das gesamte Substrat belichtet ist.
  • Die SLM-Bilder überlagern sich mit einer Reihe von Pixeln in x- und y-Richtung, und das Belichtungsdatenmuster wird lokal in den Überlagerungspixeln modifi ziert, um einen Ausgleich für die höhere Anzahl von Belichtungen zu schaffen, die zu derartigen Überlappungsbereichen führen.
  • Unterschiede in der Pulsstärke des Excimerlasers werden dadurch ausgeglichen, dass das Muster in zwei Durchgängen belichtet wird, wobei der erste Durchgang bei einer Stärke erfolgt, die nominell 90 % der korrekten Stärke entspricht. Beim ersten Durchgang wird die tatsächliche Stärke eines jeden Laserblitzes gemessen und gespeichert. Beim zweiten Durchgang wird dann auf der Basis der gemessenen Intensitätswerte des ersten Durchgangs die richtige Stärke für jede SLM-Bild-Belichtung verwendet. Auf diese Weise ist es möglich, den Einfluß von Pulsstärkenschwankungen des Excimerlasers um eine Größenordnung zu reduzieren.
  • Die Funktionsweise des SLM wird an anderer Stelle dieser Beschreibung ausführlich beschrieben. Er hat 2048×256 Pixel bei einer Pixelgröße von 16 Mikrometern, und es ist möglich, innerhalb von 1 Millisekunde alle Pixel anzusteuern. Der SLM wird auf einem Feinjustierungsträger befestigt. Dieser Feinjustierungsträger ist zwischen den einzelnen Blitzbelichtungen um 100 Mikron in x- und y-Richtung mit einer Genauigkeit besser 100 Nanometer verfahrbar. Die Feinjustierung des SLM dient der Korrektur von Positionsungenauigkeiten des Substratpositionierungsträgers, um Musteranschlussfehler weiter zu reduzieren. Zusätzlich zur xy-Positionierung ist der SLM-Träger drehbar, damit ein Muster auf einem Substrat unter einem anderen Winkel als dem vom Substratträger-Koordinatensystem vorgegebenen belichtet werden kann. Der Zweck einer solchen Drehung ist es, die Möglichkeit einer Ausrichtung von Substraten zu schaffen, die bereits ein Muster tragen, dem jedoch weitere Merkmale hinzugefügt werden sollen. Die genaue Position des auf den Träger geladenen Substrats kann mittels eines achsversetzten optischen Kanals bzw. einer CCD-Kamera gemessen werden, die durch die Linse blicken, um für eine Reihe von Ausrichtungsmarken auf dem Substrat die Systemkoordinaten zu ermitteln. Während der Belichtung wird die Trägerposition dann auf der Grundlage der für die Ausrichtungsmarken gemessenen Positionen in x- und y-Richtung korrigiert. Die Drehausrichtung wird erreicht, indem das Trägerservosystem verwendet wird, das dem gedrehten Koordinatensystem folgt, und auch der SLM- Feinjustierungsträger in der beschriebenen Weise gedreht wird. Die Möglichkeit der Drehung des SLM ermöglicht auch das Schreiben in einem verzerrten Koordinatensystem, beispielsweise um einen Ausgleich für eine spätere Wellung des Musters zu schaffen.
  • Ein beliebiges Datenmuster in einem beliebigen Format wird in einem Musterrasterer 610 in ein komprimiertes gerastertes Pixelmuster mit 32 Graustufen (5 Bit) pro Pixel umgewandelt. Da die Graustufenschritte eines belichteten Pixels nicht linear zur an die Pixelelektrode angelegten Spannung sind, werden die Eingabedaten in einem Pixellinearisierer 611 linearisiert, so dass die 32 Graustufen einem gleichmäßigen Anstieg der Belichtungsstärke bei jeder der aufeinanderfolgenden Stufen entsprechen. Dies geschieht unter Verwendung von 8-Bit-D/A-Wandlern (DAC) 612, wobei jede Graustufe aus dem Pixelmuster nach einer zuvor empirisch kalibrierten Linearisierungsfunktion eine Spannung aus dem DAC auswählt. Ein zusätzlicher Versatz bei der Wahl der Analogstufe vom DAC wird mittels einer Nachschlagtabelle vorgenommen, in der jeder Wert einem SLM-Pixel entspricht und jeder dieser Werte Anomalien des entsprechenden Pixels korrigiert. Die Kalibrierungswerte in der Nachschlagtabelle werden mittels eines empirischen Kalibrierungsverfahrens erzeugt, bei dem eine Reihe von Testmustern an den SLM übermittelt wird und die entstehenden Belichtungsmuster gemessen und zur Korrektur einzelner Pixel verwendet werden. Das heißt, dass jede Graustufe im Pixelmuster eine analoge Spannung wählt, die für jeden entsprechenden SLM-Pixel eine Pixelverformung erzeugt, um die richtige Belichtungsstärke zu ergeben.
  • Entgegenhaltungen

Claims (6)

  1. Verfahren zum Modulieren der räumlichen Lichtintensität zur Anwendung in optischen Projektionssystemen, aufweisend: Bereitstellen eines regelmäßigen Gitters von einzeln ansteuerbaren Spiegelelementen, die schwenkbar sind, wobei die Spiegelelemente in dem Gitter so angeordnet sind, dass ein erstes Spiegelelement ein benachbartes zweites Spiegelelement mit deutlich unterschiedlicher Schwenkbewegung hat, Bewegen der Spiegelelemente zur Bildung eines Musters und Projizieren der Strahlung von den Spiegelelementen auf eine Bildebene zur Wiedergabe des Musters; wobei die Strahlung aus einer einzigen Lichtquelle stammt, dadurch gekennzeichnet, dass das Bewegen zur Bildung eines Musters im Schwenken des ersten und des zweiten Spiegelelements besteht, um durch die unterschiedlichen Schwenkbewegungen Symmetrie in der Blende des optischen Projektionssystems zu erzeugen.
  2. Verfahren nach Anspruch 1, wobei das Gitter ein kartesisches Gitter ist.
  3. Verfahren nach Anspruch 1, wobei das Gitter Reihen von Pixeln hat und die Spiegelelemente einer Reihe in dieselbe Richtung geschwenkt werden und die Spiegelelemente benachbarter Reihen eine Schwenkbewegung in entgegengesetzte Richtung ausführen.
  4. Verfahren nach Anspruch 1, wobei das Spiegelgitter auf einer integrierten Schaltung angeordnet ist.
  5. Modulator zum Modulieren der räumlichen Intensität von Licht zum Einsatz in einem optischen Projektionssystem, das Strahlung von Spiegelelementen auf eine Bildebene projiziert, um ein Muster wiederzugeben, aufweisend: ein regelmäßiges Gitter einzeln ansteuerbarer Spiegelelemente, die eine Schwenkbewegung ausführen, wobei die Spiegelelemente des Gitters so angeordnet sind, dass ein erstes Spiegelelement ein benachbartes zweites Spiegelelement mit deutlich unterschiedlicher Schwenkbewegung hat, und eine einzige Strahlungsquelle, die optisch so gekoppelt ist, dass sie Strahlung auf die Spiegelelemente projiziert, dadurch gekennzeichnet, dass die unterschiedlichen Schwenkbewegungen so aussehen, dass der Modulator zum Modulieren der räumlichen Lichtintensität mittels des ersten und des zweiten Spiegelelements ein Muster bilden kann, um durch die unterschiedlichen Schwenkbewegungen in der Blende des optischen Projektionssystems Symmetrie zu erzeugen.
  6. Vorrichtung nach Anspruch 5, bei der das Gitter ein kartesisches Gitter ist.
DE69936950T 1998-03-02 1999-03-02 Mustergenerator-Spiegelkonfigurationen Expired - Lifetime DE69936950T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SE9800665 1998-03-02
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM

Publications (2)

Publication Number Publication Date
DE69936950D1 DE69936950D1 (de) 2007-10-04
DE69936950T2 true DE69936950T2 (de) 2008-05-15

Family

ID=20410382

Family Applications (6)

Application Number Title Priority Date Filing Date
DE69928232T Expired - Lifetime DE69928232T2 (de) 1998-03-02 1999-03-02 Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen
DE69938895T Expired - Lifetime DE69938895D1 (de) 1998-03-02 1999-03-02 Prüfmustergenerator mit verbesserter adressenauflösung
DE69938921T Expired - Lifetime DE69938921D1 (de) 1998-03-02 1999-03-02 Verbessertes modulator-design für prüfmustergeneratoren
DE69936950T Expired - Lifetime DE69936950T2 (de) 1998-03-02 1999-03-02 Mustergenerator-Spiegelkonfigurationen
DE69943040T Expired - Lifetime DE69943040D1 (de) 1998-03-02 1999-03-02 Mustergenerator für euv
DE69943041T Expired - Lifetime DE69943041D1 (de) 1998-03-02 1999-03-02 Verbesserter mustergenerator

Family Applications Before (3)

Application Number Title Priority Date Filing Date
DE69928232T Expired - Lifetime DE69928232T2 (de) 1998-03-02 1999-03-02 Verbesserter mustererzeuger zur vermeidung von fehlern in naht-bereichen
DE69938895T Expired - Lifetime DE69938895D1 (de) 1998-03-02 1999-03-02 Prüfmustergenerator mit verbesserter adressenauflösung
DE69938921T Expired - Lifetime DE69938921D1 (de) 1998-03-02 1999-03-02 Verbessertes modulator-design für prüfmustergeneratoren

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69943040T Expired - Lifetime DE69943040D1 (de) 1998-03-02 1999-03-02 Mustergenerator für euv
DE69943041T Expired - Lifetime DE69943041D1 (de) 1998-03-02 1999-03-02 Verbesserter mustergenerator

Country Status (12)

Country Link
US (16) US6428940B1 (de)
EP (6) EP1060439B1 (de)
JP (12) JP2002506236A (de)
KR (2) KR100451026B1 (de)
CN (3) CN1189794C (de)
AT (5) ATE398299T1 (de)
AU (7) AU2756899A (de)
DE (6) DE69928232T2 (de)
ES (1) ES2357473T3 (de)
RU (2) RU2232411C2 (de)
SE (1) SE9800665D0 (de)
WO (7) WO1999045440A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays

Families Citing this family (510)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69841370D1 (de) * 1997-04-14 2010-01-21 Dicon As Belichtungseinheit und verfahren zur punktmässigen belichtung eines trägers
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
WO2001023914A1 (en) * 1999-09-30 2001-04-05 Nikon Corporation Optical device with multilayer thin film and aligner with the device
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
CN100410725C (zh) * 2001-09-12 2008-08-13 麦克罗尼克激光系统公司 使用空间光调制器的改进方法和装置
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
CN1791839A (zh) 2001-11-07 2006-06-21 应用材料有限公司 光点格栅阵列光刻机
JP2005533365A (ja) 2001-11-07 2005-11-04 アプライド マテリアルズ インコーポレイテッド マスクレスの光子−電子スポット格子アレイ印刷装置
US6618185B2 (en) 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
SE0104238D0 (sv) 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
TW594445B (en) * 2002-02-06 2004-06-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) * 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
EP1543451A4 (de) * 2002-07-12 2010-11-17 Cadence Design Systems Inc Verfahren und system zum kontextspezifischen maskenschreiben
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004017069A1 (ja) * 2002-08-16 2004-02-26 Kabushiki Kaisha Hayashi Soken バイオチップ分析装置およびオンライン分析システム
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
KR101049608B1 (ko) * 2002-08-24 2011-07-14 매스크리스 리소그래피 인코퍼레이티드 연속적인 직접-기록 광 리쏘그래피 장치 및 방법
TWI300308B (en) 2002-10-25 2008-08-21 Mapper Lithography Ip Bv Lithography system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
KR20050086953A (ko) * 2003-01-15 2005-08-30 마이크로닉 레이저 시스템즈 에이비 결함 픽셀을 탐지하는 방법
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
US20060104413A1 (en) * 2003-03-05 2006-05-18 Tadahiro Ohmi Mask repeater and mask manufacturing method
TWI356973B (en) * 2003-04-11 2012-01-21 Tadahiro Ohmi Pattern drawing apparatus and pattern drawing meth
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
JP4188322B2 (ja) * 2003-04-24 2008-11-26 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン 基板表面の露光を制御する方法および装置
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
TWI304522B (en) * 2003-05-28 2008-12-21 Asml Netherlands Bv Lithographic apparatus, method of calibrating and device manufacturing method
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
EP1482373A1 (de) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
US7755657B2 (en) 2003-06-12 2010-07-13 Micronic Laser Systems Ab Method for high precision printing of patterns
EP1489449A1 (de) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Räumlicher Lichtmodulator
US7016015B2 (en) * 2003-06-20 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
EP1491966A1 (de) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Eichverfahren für einen lithographischen Apparat
SG119224A1 (en) 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
EP1652006A1 (de) * 2003-08-04 2006-05-03 Micronic Laser Systems Ab Psm-ausrichtverfahren und einrichtung
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2007503723A (ja) * 2003-08-22 2007-02-22 プレックス・エルエルシー 光学アドレス式極紫外線モジュレータ及びこのモジュレータを含むリソグラフィー装置
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
JP4717813B2 (ja) * 2003-09-12 2011-07-06 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ投影露光設備のための照明系
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
KR20060097711A (ko) * 2003-09-22 2006-09-14 오르보테크 엘티디. 칼라 필터의 직접 영상을 위한 시스템 및 방법
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN100452057C (zh) * 2003-10-07 2009-01-14 睿初科技公司 用于平板印刷仿真的系统和方法
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100451723C (zh) * 2003-11-12 2009-01-14 麦克罗尼克激光系统公司 用于修正slm戳图像缺陷的方法和器件
US7842926B2 (en) * 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
JP4464971B2 (ja) * 2003-12-11 2010-05-19 マイクロニック レーザー システムズ アクチボラゲット 加工物にパターン形成するための方法及び装置、並びにその製造方法
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI609410B (zh) * 2004-02-06 2017-12-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7133118B2 (en) 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005081070A1 (en) * 2004-02-25 2005-09-01 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
WO2005091068A1 (ja) 2004-03-24 2005-09-29 Fuji Photo Film Co., Ltd. 画像形成方法、平版印刷版原版および平版印刷方法
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602005026375D1 (de) * 2004-03-30 2011-03-31 Zeiss Carl Smt Ag Projektionsobjektiv und projektionsbelichtungsvorrichtung
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
JP2007538320A (ja) * 2004-05-18 2007-12-27 シルバーブルック リサーチ ピーティワイ リミテッド 製品アイテムを追跡する方法およびコンピュータシステム
EP1747500A2 (de) * 2004-05-19 2007-01-31 Fuji Photo Film Co. Ltd. Bildaufzeichnungsverfahren
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US7123348B2 (en) 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100476499C (zh) 2004-06-23 2009-04-08 艺术科学魁恩传媒公司 利用光学瓦片的浮雕成像
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) * 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006021406A2 (en) * 2004-08-23 2006-03-02 Micronic Laser Systems Ab Pupil improvement of incoherent imaging systems for enhanced cd linearity
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) * 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7391676B2 (en) 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
KR20070104444A (ko) * 2005-01-28 2007-10-25 에이에스엠엘 홀딩 엔.브이. 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
KR100898848B1 (ko) * 2005-04-15 2009-05-21 마이크로닉 레이저 시스템즈 에이비 다중 노출 광선 리소그래피 툴 방법
US7221514B2 (en) 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
EP1896901A1 (de) * 2005-04-15 2008-03-12 Micronic Laser Systems Ab Bildverbesserungstechnik
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7209275B2 (en) 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
JP4991729B2 (ja) * 2005-09-26 2012-08-01 マイクロニック レーザー システムズ アクチボラゲット 設計データの多数の形態に基づいたパターン生成のための方法及びシステム
JP5025157B2 (ja) * 2005-09-29 2012-09-12 大日本スクリーン製造株式会社 画像記録装置および画像記録方法
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
DE102006019963B4 (de) 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
JP5180446B2 (ja) * 2006-07-20 2013-04-10 株式会社ナノシステムソリューションズ 露光装置及び露光方法
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
JP4937705B2 (ja) * 2006-11-14 2012-05-23 株式会社オーク製作所 多重露光装置
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US8125407B2 (en) * 2006-12-27 2012-02-28 Silicon Quest Kabushiki-Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) * 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) * 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
EP2145509A1 (de) * 2007-04-30 2010-01-20 Koninklijke Philips Electronics N.V. Modulares festkörperbeleuchtungssystem
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
JP5630634B2 (ja) * 2007-07-13 2014-11-26 株式会社ニコン 露光方法及び装置、並びにデバイス製造方法
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) * 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
JP2010537414A (ja) * 2007-08-30 2010-12-02 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置においてマスクを照明するための照明システム
US8111380B2 (en) * 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
WO2009050976A1 (en) * 2007-10-16 2009-04-23 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
JP5582287B2 (ja) * 2007-11-06 2014-09-03 株式会社ニコン 照明光学装置及び露光装置
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
WO2009078223A1 (ja) * 2007-12-17 2009-06-25 Nikon Corporation 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
EP2232334B1 (de) 2007-12-21 2013-02-20 Carl Zeiss SMT GmbH Beleuchtungssystem für eine mikrolithographische projektionsbelichtungsvorrichtung
JP5554245B2 (ja) 2007-12-21 2014-07-23 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置のマスク照明用の照明系
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
WO2009130603A2 (en) * 2008-04-24 2009-10-29 Micronic Laser Systems Ab Spatial light modulator with structured mirror surfaces
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
EP3138654B1 (de) * 2008-08-26 2018-03-14 Hamamatsu Photonics K.K. Laserbearbeitungsvorrichtung und laserbearbeitungsverfahren
WO2010024106A1 (ja) * 2008-08-28 2010-03-04 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) * 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) * 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) * 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2317386B1 (de) * 2008-12-23 2012-07-11 Carl Zeiss SMT GmbH Beleuchtungssystem eines mikrolithographischen Projektionsbelichtungsgeräts
WO2010092188A1 (en) 2009-02-16 2010-08-19 Micronic Laser Systems Ab Improved slm device and method
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
KR101757837B1 (ko) * 2009-05-20 2017-07-26 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8434887B2 (en) 2009-08-27 2013-05-07 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
US20120244723A1 (en) * 2009-09-18 2012-09-27 Applied Materials, Inc. Laser drilling of vias in back contact solar cells
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
WO2012013227A1 (en) * 2010-07-28 2012-02-02 Carl Zeiss Smt Gmbh Facet mirror device
KR101964407B1 (ko) * 2010-09-27 2019-04-01 가부시키가이샤 니콘 공간 광변조기의 구동 방법, 노광용 패턴의 생성 방법, 노광 방법, 및 노광 장치
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
WO2012076629A2 (en) * 2010-12-07 2012-06-14 Micronic Mydata AB Criss-cross writing strategy
US10054858B2 (en) 2010-12-13 2018-08-21 Nikon Corporation Spatial light modulator, method of driving same, and exposure method and apparatus
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
RU2583003C2 (ru) * 2011-07-11 2016-04-27 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Литографическая система и способ хранения позиционных данных мишени
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US10317346B2 (en) 2011-09-02 2019-06-11 Nikon Corporation Method and device for inspecting spatial light modulator, and exposure method and device
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) * 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) * 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法
SG11201503354XA (en) * 2012-10-29 2015-06-29 Univ Northwestern Heat actuated and projected lithography systems and methods
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (de) * 2013-01-17 2017-09-20 IMS Nanofabrication AG Hochspannungsisolationsvorrichtung für eine optische Vorrichtung mit geladenen Partikeln
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
JP6453780B2 (ja) 2013-03-12 2019-01-16 マイクロニック アーベーMycronic Ab 機械的に形成されるアライメント基準体の方法及び装置
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (de) 2013-07-25 2016-05-04 IMS Nanofabrication AG Verfahren zur Ladungsteilchen-Mehrstrahlbelichtung
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
CA3148330A1 (en) * 2013-10-20 2015-04-20 Mtt Innovation Incorporated Light field projectors and methods
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
US9646898B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (de) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Kompensation defekter Beamlets in einem Ladungsträger-Mehrstrahlbelichtungswerkzeug
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927944A1 (de) 2014-04-04 2015-10-07 Nordson Corporation Röntgeninspektionsvorrichtung zum Prüfen von Halbleiterscheiben
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
EP2937889B1 (de) 2014-04-25 2017-02-15 IMS Nanofabrication AG Mehrstrahliges werkzeug zum schneiden von mustern
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
EP3143763B8 (de) 2014-05-15 2023-12-27 MTT Innovation Incorporated Lichtprojektor und verfahren zur bildanzeige
EP3358599B1 (de) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Kompensation von dosisinhomogenität mittels zeilenkalibrierung
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (de) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bidirektionales mehrstrahliges schreiben mit doppeldurchgang
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) * 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10239178B2 (en) * 2016-10-17 2019-03-26 Virtek Vision International, ULC Laser projector with dynamically adaptable focus
JP7143320B2 (ja) * 2016-12-27 2022-09-28 デピュイ・シンセス・プロダクツ・インコーポレイテッド 内視鏡撮像環境において照明を提供するためのシステム、方法、及びデバイス
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
EP3598236A4 (de) * 2017-03-16 2021-01-20 Nikon Corporation Steuerungsvorrichtung und steuerungsverfahren, belichtungsvorrichtung und belichtungsverfahren, vorrichtungsherstellungsverfahren, datenerzeugungsverfahren und programm
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) * 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) * 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
DE102019115554A1 (de) 2019-06-07 2020-12-10 Bystronic Laser Ag Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US20230286081A1 (en) 2020-08-14 2023-09-14 Creamic Data Solutions GmbH High-Speed Data Recording and Reading
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (de) * 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4675702A (en) 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
WO1991017483A1 (de) * 1990-05-02 1991-11-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Belichtungsvorrichtung
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) * 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
EP0610183B1 (de) 1991-10-30 1995-05-10 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
EP0558781B1 (de) * 1992-03-05 1998-08-05 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
WO1994010633A1 (en) * 1992-11-02 1994-05-11 Etec Systems, Inc. Rasterizer for a pattern generation apparatus
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (de) 1993-09-15 1995-06-14 Texas Instruments Incorporated Bildsimulations- und Projektionssystem
US5467146A (en) * 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
DE69535346T2 (de) * 1994-08-04 2007-05-24 Texas Instruments Inc., Dallas Anzeigevorrichtung
US5614990A (en) 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
WO1998004950A1 (en) * 1996-07-25 1998-02-05 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
ATE220465T1 (de) 1997-10-29 2002-07-15 Calum E Macaulay Gerät und verfahren zur mikroskopie unter verwendung räumlich modulierten lichtes
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) * 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) * 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays

Also Published As

Publication number Publication date
US6373619B1 (en) 2002-04-16
JP2006080539A (ja) 2006-03-23
US6504644B1 (en) 2003-01-07
DE69936950D1 (de) 2007-10-04
US20050225836A1 (en) 2005-10-13
WO1999045439A1 (en) 1999-09-10
DE69943041D1 (de) 2011-01-27
DE69928232D1 (de) 2005-12-15
US6428940B1 (en) 2002-08-06
EP1060443B1 (de) 2008-06-18
EP1600817B1 (de) 2007-08-22
US20030202233A1 (en) 2003-10-30
SE9800665D0 (sv) 1998-03-02
ATE398792T1 (de) 2008-07-15
JP2002506232A (ja) 2002-02-26
JP2010016404A (ja) 2010-01-21
US7009753B2 (en) 2006-03-07
RU2232411C2 (ru) 2004-07-10
EP1060442A1 (de) 2000-12-20
US6687041B1 (en) 2004-02-03
DE69938895D1 (de) 2008-07-24
DE69943040D1 (de) 2011-01-27
CN1173234C (zh) 2004-10-27
CN1292103A (zh) 2001-04-18
EP1060439A1 (de) 2000-12-20
US7365901B2 (en) 2008-04-29
RU2257603C2 (ru) 2005-07-27
ATE491971T1 (de) 2011-01-15
WO1999045440A1 (en) 1999-09-10
JP2010267978A (ja) 2010-11-25
US6987599B2 (en) 2006-01-17
EP1060443A1 (de) 2000-12-20
JP2002506234A (ja) 2002-02-26
US7034986B2 (en) 2006-04-25
ES2357473T3 (es) 2011-04-26
KR100451026B1 (ko) 2004-10-06
US6399261B1 (en) 2002-06-04
US6747783B1 (en) 2004-06-08
US6285488B1 (en) 2001-09-04
WO1999045436A1 (en) 1999-09-10
AU2755599A (en) 1999-09-20
US20090191489A1 (en) 2009-07-30
WO1999045438A1 (en) 1999-09-10
EP1060441A1 (de) 2000-12-20
AU2756999A (en) 1999-09-20
AU2755699A (en) 1999-09-20
US7800815B2 (en) 2010-09-21
JP2009033190A (ja) 2009-02-12
EP1060439B1 (de) 2005-11-09
AU2756899A (en) 1999-09-20
US7787174B2 (en) 2010-08-31
EP1060441B1 (de) 2010-12-15
JP2010015176A (ja) 2010-01-21
US7957055B2 (en) 2011-06-07
US20040165170A1 (en) 2004-08-26
ATE491970T1 (de) 2011-01-15
KR20010052196A (ko) 2001-06-25
JP2002506236A (ja) 2002-02-26
DE69928232T2 (de) 2006-08-03
US20090147345A1 (en) 2009-06-11
JP2002506231A (ja) 2002-02-26
US20060103914A1 (en) 2006-05-18
AU3284299A (en) 1999-09-20
ATE398299T1 (de) 2008-07-15
CN1189794C (zh) 2005-02-16
US20060187524A1 (en) 2006-08-24
WO1999045435A1 (en) 1999-09-10
ATE309557T1 (de) 2005-11-15
EP1060440A1 (de) 2000-12-20
JP2002506230A (ja) 2002-02-26
US20080079922A1 (en) 2008-04-03
JP2002506235A (ja) 2002-02-26
WO1999045437A1 (en) 1999-09-10
US7184192B2 (en) 2007-02-27
AU2757099A (en) 1999-09-20
WO1999045441A1 (en) 1999-09-10
KR20010052197A (ko) 2001-06-25
EP1060440B1 (de) 2010-12-15
JP2002506233A (ja) 2002-02-26
EP1060442B1 (de) 2008-06-11
EP1600817A1 (de) 2005-11-30
KR100474121B1 (ko) 2005-05-06
DE69938921D1 (de) 2008-07-31
US7710634B2 (en) 2010-05-04
AU2755799A (en) 1999-09-20
CN1550902A (zh) 2004-12-01
US20100208327A1 (en) 2010-08-19
CN1292102A (zh) 2001-04-18

Similar Documents

Publication Publication Date Title
DE69936950T2 (de) Mustergenerator-Spiegelkonfigurationen
DE60215852T2 (de) Defekt-pixel-kompensationsverfahren
EP0610183B1 (de) Belichtungsvorrichtung
EP0610184B1 (de) Belichtungsvorrichtung
US6816302B2 (en) Pattern generator
EP0527166B1 (de) Belichtungsvorrichtung
WO2008122419A1 (de) Verfahren und vorrichtung zum abbilden einer programmierbaren maske auf einem substrat

Legal Events

Date Code Title Description
8364 No opposition during term of opposition