EP2117033A1 - Manifold for processing a surface of a substrate using multiple process windows - Google Patents

Manifold for processing a surface of a substrate using multiple process windows Download PDF

Info

Publication number
EP2117033A1
EP2117033A1 EP20090168725 EP09168725A EP2117033A1 EP 2117033 A1 EP2117033 A1 EP 2117033A1 EP 20090168725 EP20090168725 EP 20090168725 EP 09168725 A EP09168725 A EP 09168725A EP 2117033 A1 EP2117033 A1 EP 2117033A1
Authority
EP
European Patent Office
Prior art keywords
wafer
manifold
fluid
proximity
head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP20090168725
Other languages
German (de)
French (fr)
Other versions
EP2117033B1 (en
Inventor
Carl Woods
James P. Garcia
John De Larios
Mike Ravkin
Fred C. Redeker
Afshin Nickhou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/261,839 external-priority patent/US7234477B2/en
Priority claimed from US10/404,270 external-priority patent/US7069937B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority claimed from EP03798814A external-priority patent/EP1472720B1/en
Publication of EP2117033A1 publication Critical patent/EP2117033A1/en
Application granted granted Critical
Publication of EP2117033B1 publication Critical patent/EP2117033B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the present invention rebates to semiconductor wafer processing, cleaning, and drying and, more particularly, to apparatuses and techniques for more efficiently adding and removing fluids from wafer surfaces while reducing contamination and decreasing wafer processing costs.
  • the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable. In order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted resides.
  • the wafer After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain, on the wafer surface after evaporation (e.g., and form spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying.
  • FIG. 1 illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process.
  • a wet wafer is rotated at a high rate by rotation 14.
  • the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16.
  • a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e ., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses.
  • the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension ( i.e. , as a result of molecular hydrogen bonding).
  • the present invention fills these needs by providing a cleaning and drying apparatus that is capable of removing fluids from wafer surfaces quickly while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • a substrate preparation system which includes a drying system where the drying system includes at least one proximity head for drying a substrate.
  • the system also includes a cleaning system for cleaning the substrate.
  • a cluster architecture system for processing a wafer includes an integrated drying system where the integrated drying system includes at least one proximity head for drying a substrate.
  • the system further includes processing modules coupled to the integrated drying system where the processing modules are selected from one or more of a chemical mechanical planarization module, a megasonic processing module, a cleaning module, and an etching module.
  • a method for cluster processing a substrate includes performing at least one of etching a substrate, planarizing the substrate, megasonically processing the substrate, cleaning the substrate.
  • the method also includes drying of the substrate.
  • the drying includes applying a first fluid onto a first region of a surface of the substrate, applying a second fluid onto a second region of the surface of the substrate, and removing the first fluid and the second fluid from the surface of the substrate.
  • the removing occurs from a third region that substantially surrounds the first region.
  • the second region substantially surrounds at least a portion of the third region, and the applying and the removing being capable of forming a controlled fluid meniscus.
  • a substrate preparation system which includes a head for use in preparing a wafer surface including a first surface of the head where the first surface capable of being placed in close proximity to the wafer surface.
  • the head also includes a first conduit region on the head where the first conduit region is defined for delivery of a first fluid to wafer of the surface and the first conduit region is defined in a center portion of the head.
  • the head further includes a second conduit regions on the head where the second conduit region being configured to surround the first conduit region, and the head also includes a third conduit region on the head where the third conduit region is defined for delivery of a second fluid to the wafer surface, and the third conduit region defines a semi-enclosure of the first conduit region and the second conduit region.
  • the second conduit region enables a removal of the first fluid and the second fluid, and wherein the delivery of the first fluid and the second fluid combined with the removal by the third conduit region of the head defines a controllable meniscus that is defined between the head and the wafer surface when in operation and the head is proximate to the wafer surface.
  • a substrate preparation system which includes a head having a head surface where the head surface is proximate to a surface of the substrate when in operation.
  • the head also includes at least one of a first conduit for delivering a first fluid to the surface of the substrate through the head and at least one of a second conduit for delivering a second fluid to the surface of the substrate through the head where the second fluid being different than the first fluid.
  • the head further includes at least one of a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate where the at least one of the third conduit is located to substantially surround the at least one of the first conduit, wherein the at least one of the first conduit, the at least one of the second conduit, and the at least one of the third conduit act substantially simultaneously when in operation.
  • the at least one of the second conduit is located to substantially surround at least a portion of the at least one of the third conduit.
  • a method for preparing a wafer surface includes supplying a first fluid at a first region on the wafer surface, surrounding the first region with a vacuum region, and semi-enclosing the vacuum region with an applied surface tension reducing fluid region where the semi-enclosing defines an opening that leads to the vacuum region.
  • the method also includes scanning the first region where the vacuum region and the applied surface tension reduces fluid region over the wafer surface where the scanning leads with the opening.
  • a wafer preparation module which includes a wafer brush scrubbing unit where the wafer blush scrubbing unit is capable of scrubbing a wafer while applying cleaning fluids to the wafer.
  • the module also includes a wafer drying insert where the wafer drying insert is capable of being integrated into the wafer brush scrubbing unit where the wafer drying insert including a proximity head for drying a surface of the wafer without contacting the surface.
  • a method for processing a substrate includes generating a fluid meniscus on the surface of the vertically oriented substrate, and moving the fluid meniscus over the surface of the vertically oriented substrate to process the surface of the substrate.
  • a substrate preparation apparatus to be used in substrate processing operation which includes arm capable of vertical movement between a first edge of the substrate to a second edge of the substrate.
  • the apparatus further includes a head coupled to the arm, the head being capable of forming a fluid meniscus on a surface of the substrate and capable of being moved over the surface of the substrate.
  • a manifold for use in preparing a wafer surface includes a first process window in a first portion of the manifold being configured generate a first fluid meniscus on the wafer surface.
  • the manifold further includes a second process window in a second portion of the manifold being configured to generate a second fluid meniscus on the wafer surface.
  • the apparatuses and methods described herein efficiently dry and clean a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer drying with lower levels of contamination.
  • the present invention enables the improved drying and cleaning through the use of vacuum fluid removal in conjunction with fluid input. The pressures generated on a fluid film at the wafer surface by the aforementioned forces enable optimal removal of fluid at the wafer surface with a significant reduction in remaining contamination as compared with other cleaning and drying techniques.
  • the present invention may utilize application of an isopropyl alcohol (IPA) vapor and deionized water towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time.
  • IPA isopropyl alcohol
  • This enables both the generation and intelligent control of a meniscus and the reduction of water surface tension along a deionized water interface and therefore enables optimal removal of fluids from the wafer surface without leaving contaminants.
  • the meniscus generated by input of IPA, DIW and output of fluids may be moved along the surface of the wafer to clean and dry the wafer. Therefore, the present invention evacuates fluid from wafer surfaces with extreme effectiveness while substantially reducing contaminant formation due to ineffective drying such as for example, spin drying.
  • the present invention also can be incorporated into numerous types of systems to generate wafer processing systems with cluster tools giving the systems multiple types of processing capabilities.
  • wafers can be processed in a more efficient manner.
  • cluster tools in the wafer processing system, there may be less time in wafer transport time because the modules/tools are integrated on one system.
  • there may space savings so less footprint is needed to house the wafer processing apparatuses. Therefore, the present invention may be incorporated into any suitable variety of systems to make wafer processing more efficient and cost effective.
  • FIGS 2A through 2D below illustrate embodiments of an exemplary wafer processing system. It should be appreciated that the system is exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized.
  • the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a spiral motion, in a zig-zag motion, etc.
  • the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments maybe utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer.
  • the proximity head and the wafer cleaning and drying system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc.
  • the wafer cleaning and drying system may be utilized for either or both cleaning and drying the wafer depending on the configuration of the system.
  • FIG. 2A shows a wafer cleaning and drying system 100 in accordance with one embodiment of the present invention.
  • the system 100 includes rollers 102a, 102b, and 102c, which may hold and rotate a wafer to enable wafer surfaces to be dried.
  • the system 100 also includes proximity heads 106a and 106b that, in one embodiment, are attached to an upper arm 104a and to a lower arm 104b respectively.
  • the upper arm 104a and the lower arm 104b are part of a proximity head carrier assembly 104 which enables substantially linear movement of the proximity heads 106a and 106b along a radius of the wafer.
  • the proximity head carrier assembly 104 is configured to hold the proximity head 106a above the wafer and the proximity head 106b below the wafer in close proximity to the wafer. This may be accomplished by having the upper arm 104a and the lower arm 104b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106a and 106b can be moved vertically to a position in close proximity to the wafer.
  • the upper arm 104a and the lower arm 104b may be configured in any suitable way so the proximity heads 106a and 106b can be moved to enable wafer processing as described herein.
  • the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus as discussed below in reference to Figures 6D through 8B . It should also be understood that close proximity may be any suitable distance from the wafer as long as a meniscus as discussed in further reference to Figure 6D through 8B may be maintained.
  • the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.1 mm to about 10 mm from the wafer to initiate wafer processing operations.
  • the proximity heads 106a and 106b may each be moved to between about 0.5 mm to about 4.5 mm from the wafer to initiate wafer processing operations, and in more preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may be moved to about 2 mm from the wafer to initiate wafer processing operations.
  • FIG. 2B shows an alternate view of the wafer cleaning and drying system 100 in accordance with one embodiment of present invention.
  • the system 100 in one embodiment, has the proximity head carrier assembly 104 that is configured to enable the proximity heads 106a and 106b to be moved from the center of the wafer towards the edge of the wafer. It should be appreciated that the proximity head carrier assembly 104 may be movable in any suitable manner that would enable movement of the proximity heads 106a and 106b to clean and/or dry the wafer as desired. In one embodiment, the proximity head carrier assembly 104 can be motorized to move the proximity head 106a and 106b from the center of the wafer to the edge of the wafer.
  • the wafer cleaning and drying system 100 is shown with the proximity heads 106a and 106b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc.
  • the proximity heads 106a and/or 106b of the wafer cleaning and drying system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein.
  • the different configurations described herein generate a fluid meniscus between the proximity head and the wafer.
  • the fluid meniscus may be moved across the wafer to clean and dry the wafer by applying fluid to the wafer surface and removing the fluids from the surface. Therefore, the proximity heads 106a and 106b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein.
  • the system 100 may clean and dry one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • the system 100 may also be configured to clean one side of the wafer and dry another side of the wafer if desired by inputting and outputting different types of fluids. It should be appreciated that the system 100 may utilize the application of different chemicals top and bottom in the proximity heads 106a and 106b respectively depending on the operation desired.
  • the proximity heads can be configured to clean and dry the bevel edge of the wafer in addition to cleaning and/or drying the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off the edge the wafer which cleans the bevel edge.
  • the proximity heads 106a and 106b may be the same type of apparatus or different types of proximity heads.
  • FIG 2C illustrates a side close-up view of the wafer cleaning and drying system 100 holding a wafer 108 in accordance with one embodiment of the present invention.
  • the wafer 108 may be held and rotated by the rollers 102a, 102b, and 102c in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be cleaned or dried.
  • the roller 102b may be rotated by using a spindle 111, and the roller 102c may held and rotated by a roller arm 109.
  • the roller 102a may also be rotated by its own spindle (as shown in Figure 3B .
  • the rollers 102a, 102b, and 102c can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction. It should be understood that the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired.
  • the rotation imparted on the wafer 108 by the rollers 102a, 102b, and 102c serves to move a wafer area that has not been processed into close proximity to the proximity heads 106a and 106b. However, the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer.
  • the wet areas of the wafer would be presented to the proximity heads 106a and 106b through both the linear motion of the proximity heads 106a and 106b and through the rotation of the wafer 108.
  • the drying or cleaning operation itself is conducted by at least one of the proximity heads. Consequently, in one embodiment, a dry area of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as a drying operation progresses.
  • the dry are of the wafer 108 would move around the wafer 108 and the wafer 108 would be dry in one rotation (if the length of the proximity heads 106a and 106b are at least a radius of the wafer 108).
  • the proximity heads 106a and 106b may be configured to have at least one of first source inlet configured to input deionized water (DIW) (also known as a DIW inlet), at least one of a second source inlet configured to input isopropyl alcohol (IPA) in vapor form (also known as IPA inlet), and at least one source outlet configured to output fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet).
  • the vacuum utilized herein may also be suction.
  • other types of solutions may be inputted into the first source inlet and the second source inlet such as, for example, cleaning solutions, ammonia, HF, etc.
  • IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, etc. that may be miscible with water.
  • the at least one IPA vapor inlet is adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one DIW inlet to form an IPA-vacuum-DIW orientation.
  • orientations such as IPA-DIW-vacuum, DIW-vacuum-IPA, vacuum-IPA-DIW, etc. may be utilized depending on the wafer processes desired and what type of wafer cleaning and drying mechanism is sought to be enhanced,
  • the IPA-vacumn-DIW orientation may be utilized to intelligently and powerfully generate, control, and move the meniscus located between a proximity head and a wafer to clean and dry wafers.
  • the DIW inlets, the IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained.
  • the exact configuration of the IPA-vacuum-DIW orientation may be varied depending on the application.
  • the distance between the IPA input, vacuum, and DIW input locations may be varied so the distances are consistent or so the distances are inconsistent.
  • the distances between the IPA input, vacuum, and DIW output may differ in magnitude depending on the size, shape, and configuration of the proximity head 106a and the desired size of a process window as described in further detail in reference to Figure 10 .
  • the IPA-vacuum-DIW orientation is configured so a vacuum region substantially surrounds a DIW region and the IPA region substantially surrounds at least the trailing edge region of the vacuum region.
  • FIG. 2D shows another side close-up view of the wafer cleaning and drying system 100 in accordance with one embodiment of the present invention.
  • the proximity heads 106a and 106b have been positioned in close proximity to a top surface 108a and a bottom surface 108b of the wafer 108 respectively by utilization of the proximity head carrier assembly 104.
  • the proximity heads 106a and 106b may utilize the IPA and DIW source inlets and a vacuum source outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of removing fluids from a top surface 108a and a bottom surface 108b.
  • the wafer processing meniscus may be generated in accordance with the descriptions in reference to Figures 6 through 9B where IPA vapor and DIW are inputted into the region between the wafer 108 and the proximity heads 106a and 106b. At substantially the same time the IPA and DIW is inputted, a vacuum may be applied in close proximity to the wafer surface to output the IPA vapor, the DIW, and the fluids that may be on a wafer surface.
  • IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, etc. that may be miscible with water.
  • the term "output" can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term “input” can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • the proximity heads 106a and 106b may be moved in a manner so all parts of the wafer 108 are cleaned, dried, or both without the wafer 108 being rotated.
  • the proximity head carrier assembly 104 may be configured to enable movement of the either one or both of the proximity heads 106a and 106b to close proximity of any suitable region of the wafer 108.
  • the proximity heads may be configured to move in a spiral manner from the center to the edge of the wafer 108 or vice versa.
  • the proximity heads 106a and 106b may be moved over the entire surface of the wafer in one rotation.
  • the proximity heads 104a and 104b may be configured to move in a linear fashion back and forth across the wafer 108 so all parts of the wafer surfaces 108a and/or 108b may be processed.
  • configurations as discussed below in reference to Figure 5C through 5H may be utilized. Consequently, countless different configurations of the system 100 may be utilized in order to obtain an optimization of the wafer processing operation.
  • Figure 3A shows a top view illustrating the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention.
  • the upper arm 104a may be configured to move and hold the proximity head 106a in a position in close proximity over the wafer 108.
  • the upper arm 104a may also be configured to move the proximity head 106a from a center portion of the wafer 108 towards the edge of the wafer 108 in a substantially linear fashion 113.
  • the proximity head 106a is capable of removing a fluid film from the top surface 108a of the wafer 108 using a process described in further detail in reference to Figures 6 through 8 . Therefore, the proximity head 106a may dry the wafer 108 in a substantially spiral path over the wafer 108. In another embodiment as shown in reference to Figure 3B , there may be a second proximity head located below the wafer 108 to remove a fluid film from the bottom surface 108b of the wafer 108.
  • Figure 3B illustrates a side view of the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention.
  • the system 100 includes both the proximity head 106a capable of processing a top surface of the wafer 108 and the proximity head 106b capable of processing a bottom surface of the wafer 108.
  • spindles 111a and 111b along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may be presented to the proximity heads 106a and 106b for drying and/or cleaning.
  • the proximity heads 106a and 106b are brought to close proximity of the wafer surfaces 108a and 108b by the arms 104a and 104b respectively. Once the proximity heads 106a and 106b are brought into close proximity to the wafer 108, the wafer drying or cleaning may be begun. In operation, the proximity heads 106a and 106b may each remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as described in reference to Figure 6 .
  • the system 100 may dry a 200 mm wafer in less than 45 seconds.
  • the drying time for a wafer may be less than 30 seconds. It should be understood that drying or cleaning time may be decreased by increasing the speed at which the proximity heads 106a and 106b travels from the center of the wafer 108 to the edge of the wafer 108.
  • the proximity heads 106a and 106b may be utilized with a faster wafer rotation to dry the wafer 108 in less time.
  • the rotation of the wafer 108 and the movement of the proximity heads 106a and 106b may be adjusted in conjunction to obtain an optimal drying/cleaning speed.
  • the proximity heads 106a and 106b may move linearly from a center region of the wafer 108 to the edge of the wafer 108 at between about 0 mm per second to about 50 mm per second.
  • Figure 4A shows a top view of a wafer cleaning and drying system 100-1 which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention.
  • the system 100-1 includes an upper arm 104a-1 and an upper arm 104a-2.
  • the system 100-1 also may include lower arm 104b-1 and lower arm 104b-2 connected to proximity heads 106b- and 106b-2 respectively.
  • the proximity heads 106a-1 and 106a-2 (as well as 106b-1 and 106b-2 if top and bottom surface processing is being conducted) work in conjunction so, by having two proximity heads processing a particular surface of the wafer 108, drying time or cleaning time may be cut to about half of the time. Therefore, in operation, while the wafer 108 is rotated, the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 start processing the wafer 108 near the center of the wafer 108 and move outward toward the edge of the wafer 108 in a substantially linear fashion.
  • the rotation 112 of the wafer 108 brings all regions of the wafer 108 in proximity with the proximity heads so as to process all parts of the wafer 108. Therefore, with the linear movement of the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 and the rotational movement of the wafer 108, the wafer surface being dried moves in a spiral fashion from the center of the wafer 108 to the edge of the wafer 108.
  • the proximity heads 106a-1 and 106b-1 may start processing the wafer 108 and after they have moved away from the center region of the wafer 108, the proximity heads 106a-2 and 106b-2 may be moved into place in the center region of the wafer 108 to augment in wafer processing operations. Therefore, the wafer processing time may be decreased significantly by using multiple proximity heads to process a particular wafer surface.
  • Figure 4B shows a side view of the wafer cleaning and drying system 100-1 which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention.
  • the system 100-1 includes both the proximity heads 106a-1 and 106a-2 that are capable of processing the top surface 108a of the wafer 108, and proximity heads 106b-1 and 106b-2 capable of processing the bottom surface 108b of the wafer 108.
  • the spindles 111a and 111b along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively.
  • This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may brought in close proximity to the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 for wafer processing operations.
  • each of the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 may remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as shown, for example, in Figure 6 through 8 .
  • the wafer processing operation i.e., cleaning and/or drying
  • the speed of the wafer rotation may be varied to any suitable speed as long as the configuration enables proper wafer processing.
  • the wafer processing time may be decreased when half a rotation of the wafer 108 is used to dry the entire wafer.
  • the wafer processing speed may be about half of the processing speed when only one proximity head is utilized per wafer side.
  • Figure 5A shows a top view of a wafer cleaning and drying system 100-2 with a proximity head 106a-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity head 106a-3 is held by an upper arm 104a-3 that extends across a diameter of the wafer 108.
  • the proximity head 106a-3 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-3 so the proximity head 106a-3 can be in a position that is in close proximity to the wafer 108.
  • the wafer processing operation of a top surface of the wafer 108 can take place.
  • Figure 5B shows a side view of a wafer cleaning and drying system 100-2 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity head 106a-3 and the proximity head 106b-3 both are elongated to be able to span the diameter of the wafer 108.
  • the proximity heads 106a-3 and 106b-3 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-3 respectively. Because the proximity heads 106a-3 and 106b-3 extend across the wafer 108, only half of a full rotation may be needed to clean/dry the wafer 108.
  • Figure 5C shows a top view of a wafer cleaning and drying system 100-3 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the wafer 108 may be held stationary by any suitable type of wafer holding device such as, for example, an edge grip, fingers with edge attachments, etc.
  • the proximity head carrier assembly 104"' is configured to be movable from one edge of the wafer 108 across the diameter of the wafer 1 08 to an edge on the other side of the wafer 108 after crossing the entire wafer diameter.
  • the proximity head 106a-3 and/or the proximity head 106b-3 may move across the wafer following a path along a diameter of the wafer 108 from one edge to an opposite edge. It should be appreciated that the proximity heads 106a-3 and/or 106b-3 may be move from any suitable manner that would enable moving from one edge of the wafer 108 to another diametrically opposite edge. In one embodiment, the proximity head 106a-3 and/or the proximity head 106b-3 may move in directions 121 (e.g., top to bottom or bottom to top of Figure 5C ).
  • the wafer 108 may stay stationary without any rotation or movement and the proximity heads 106a-3 and/or the proximity head 106b-3 may move into close proximity of the wafer and, through one pass over the wafer 108, clean/dry the top and/or bottom surface of the wafer 108.
  • Figure 5D shows a side view of a wafer cleaning and drying system 100-3 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the proximity head 106a-3 is in a horizontal position with the wafer 108 also in a horizontal position.
  • the wafer 108 may be cleaned and/or dried in one pass by moving proximity heads 106a-3 and 106b-3 in the direction 121 as discussed in reference to Figure 5C .
  • Figure 5E shows a side view of a wafer cleaning and drying system 100-4 with the proximity heads 106a-3 and 106b-3 in a vertical configuration enabled to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention.
  • the proximity heads 106a-3 and 106b-3 are in a vertical configuration, and the proximity heads 106a-3 and 106b-3 are configured to move either from left to right, or from right to left, beginning from a first edge of the wafer 108 to a second edge of the wafer 108 that is diametrically opposite to the first edge.
  • the proximity head carrier assembly 104"' may move the proximity heads 104a-3 and 104b-3 in close proximity with the wafer 108 and also enable the movement of the proximity heads 104a-3 and 104b-3 across the wafer from one edge to another so the wafer 108 may be processed in one pass thereby decreasing the time to clean and/or dry the wafer 108.
  • Figure 5F shows an alternate side view of a wafer cleaning and drying system 100-4 that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention.
  • the proximity head carrier assembly 104"' may be oriented in any suitable manner such as for example, having the proximity head carrier assembly 104"' rotated 180 degrees as compared with what is shown in Figure 5F .
  • Figure 5G shows a top view of a wafer cleaning and drying system 100-5 with a proximity head 106a-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity head 106a-4 extends across less than a radius of a substrate being processed.
  • the proximity head 106a-4 may extend the radius of the substrate being processed.
  • the proximity head 106a-4 extends over a radius of the wafer 108 so the proximity head may process both the center point of the wafer 108 as well as an edge of the wafer 108 so the proximity head 106a-4 can cover and process the center point of the wafer and the edge of the wafer.
  • the proximity head 106a-4 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-4 so the proximity head 106a-4 can be in a position that is in close proximity to the wafer 108. Once the proximity head 106a-4 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place. Because, in one embodiment, the proximity head 106a-4 extends over the radius of the wafer, the wafer may be cleaned and/or dried in one rotation.
  • Figure 5H shows a side view of a wafer cleaning and drying system 100-5 with the proximity heads 106a-4 and 106b-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity head 106a-4 and the proximity head 106b-4 both are elongated to be able to extend over and beyond the radius of the wafer 108.
  • the proximity head 106a-4 may extend less than a radius, exactly a radius, or greater than a radius of the wafer 108.
  • the proximity heads 106a-4 and 106b-4 are brought to close proximity of the wafer surfaces 108a and 1 08b by the top arm 104a and a bottom arm 106b-4 respectively. Because in one embodiment, the proximity heads 106a-4and 106b-4 extend across greater than the radius of the wafer 108, only a full rotation may be needed to clean/dry the wafer 108.
  • a cluster tool is an apparatus that maybe incorporated into a frame assembly (such as those discussed in further detail in reference to Figures 17 through 21 below with other wafer processing equipment so multiple wafers and/or multiple types of wafer processing may be conducted in one system.
  • Figure 6A shows a proximity head inlet/outlet orientation 117 that maybe utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention.
  • the orientation 117 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 117 shown.
  • the orientation 117 may include a source inlet 306 on a leading edge 109 with a source outlet 304 in between the source inlet 306 and the source outlet 302.
  • Figure 6B shows another proximity head inlet/outlet orientation 119 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention.
  • the orientation 119 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown.
  • the orientation 119 may include a source outlet 304 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source inlet 306.
  • Figure 6C shows a further proximity head inlet/outlet orientation 121 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention.
  • the orientation 121 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown.
  • the orientation 119 may include a source inlet 306 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source outlet 306.
  • Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head 106a in accordance with one embodiment of the present invention.
  • Figure 6 shows a top surface 108a being dried, it should be appreciated that the wafer drying process may be accomplished in substantially the same way for the bottom surface 108b of the wafer 108.
  • a source inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108a of the wafer 108
  • DIW deionized water
  • a source outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108a. It should be appreciated that any suitable combination of source inlets and source outlets may be utilized as long as at least one combination exists where at least one of the source inlet 302 is adjacent to at least one of the source outlet 304 which is in turn adjacent to at least one of the source inlet 306.
  • the IPA may be in any suitable form such as, for example, IPA vapor where IPA in vapor form is inputted through use of a N 2 gas.
  • DIW any other suitable fluid may be utilized that may enable or enhance the wafer processing such as, for example, water purified in other ways, cleaning fluids, etc.
  • an IPA inflow 310 is provided through the source inlet 302
  • a vacuum 312 may be applied through the source outlet 304
  • DIW inflow 314 may be provided through the source inlet 306. Therefore, an embodiment of the IPA-vacuum-DIW orientation as described above in reference to Figure 2 is utilized.
  • a first fluid pressure may be applied to the wafer surface by the IPA inflow 310
  • a second fluid pressure may be applied to the wafer surface by the DIW inflow 314
  • a third fluid pressure may be applied by the vacuum 312 to remove the DIW, IPA and the fluid film on the wafer surface.
  • any fluid on the wafer surface is intermixed with the DIW inflow 314.
  • the DIW inflow 314 that is applied toward the wafer surface encounters the IPA inflow 310.
  • the IPA forms an interface 118 (also known as an IPA/DIW interface 118) with the DIW inflow 314 and along with the vacuum 312 assists in the removal of the DIW inflow 314 along with any other fluid from the surface of the wafer 108.
  • the IPA/DIW interface 118 reduces the surface of tension of the DIW.
  • the DIW is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the source outlet 304.
  • the DIW that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the meniscus 116 are the IPA/DIW interfaces 118. Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface.
  • the nearly immediate removal of the DIW from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination drying on the wafer 108.
  • the pressure (which is caused by the flow rate of the IPA) of the downward injection of IPA also helps contain the meniscus 116.
  • the flow rate of the IPA assists in causing a shift or a push of water flow out of the region between the proximity head and the wafer surface and into the source outlets 304 through which the fluids may be outputted from the proximity head. Therefore, as the IPA and the DIW is pulled into the source outlets 304, the boundary making up the IPA/DIW interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the source outlets 304 along with the fluids. In one embodiment, as the vacuum from the source outlet 304 pulls the DIW, IPA, and the fluid on the wafer surface, the flow into the source outlet 304 is discontinuous.
  • This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas. Consequently, as the proximity head 106a moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the IPA/DIW interface 118. It should also be understood that the any suitable number of source inlets 302, source outlets 304 and source inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired. In another embodiment, the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet.
  • any suitable flow rate may be utilized for the IPA, DIW, and vacuum as long as the meniscus 116 can be maintained.
  • the flow rate of the DIW through a set of the source inlets 306 is between about 25 ml per minute to about 3,000 ml per minute. In a preferable embodiment, the flow rate of the DIW through the set of the source inlets 306 is about 400 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more source inlets 302 and 306 and source outlets 304 More flow for larger head.
  • the flow rate of the IPA vapor through a set of the source inlets 302 is between about 1 standard cubic feet per hour (SCFH) to about 100 SCFH. In a preferable embodiment, the IPA flow rate is between about 5 and 50 SCFM.
  • the flow rate for the vacuum through a set of the source outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH. In a preferable embodiment, the flow rate for a vacuum though the set of the source outlets 304 is about 350 SCFH. In an exemplary embodiment, a flow meter may be utilized to measure the flow rate of the IPA, DIW, and the vacuum.
  • Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head 106a in accordance with one embodiment of the present invention.
  • the proximity head 106a may be moved over the top surface 108a of the wafer 108 so the meniscus may be moved along the wafer surface 108a.
  • the meniscus applies fluid to the wafer surface and removes fluid from the wafer surface thereby cleaning and drying the wafer simultaneously.
  • the source inlet 306 applies a DIW flow 314 toward the wafer surface 108a
  • the source inlet 302 applies IPA flow 310 toward the wafer surface 108a
  • the source outlet 312 removes fluid from the wafer surface 108a.
  • the meniscus may be managed and controlled in any suitable manner.
  • the outflow through the source outlet 304 may be nearly all DIW and the fluids being removed from the wafer surface 108a.
  • the outflow through the source outlet 304 maybe substantially a combination of DIW and air as well as fluids being removed from the wafer surface 108a.
  • Figure 6F shows another source inlet and outlet orientation where an additional source outlet 307 may be utilized to input an additional fluid in accordance with one embodiment of the present invention.
  • the orientation of inlets and outlets as shown in Figure 6E is the orientation described in further detail in reference to Figure 6D except the additional source outlet 307 is included adjacent to the source inlet 306 on a side opposite that of the source outlet 304.
  • DIW may be inputted through the source inlet 306 while a different solution such as, for example, a cleaning solution may be inputted through the source inlet 307. Therefore, a cleaning solution flow 315 may be utilized to enhance cleaning of the wafer 108 while at substantially the same time drying the top surface 108a of the wafer 108.
  • FIG. 7A illustrates a proximity head 106 performing a drying operation in accordance with one embodiment of the present invention.
  • the proximity head 106 moves while in close proximity to the top surface 108a of the wafer 108 to conduct a cleaning and/or drying operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, etc.) the bottom surface 108b of the wafer 108. In one embodiment, the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while fluid is removed from the top surface 108a.
  • the IPA 310 through the source inlet 302
  • the vacuum 312 through source outlet 304, and the deionized water 314 through the source inlet 306 the meniscus 116 as discussed in reference to Figure 6 maybe generated.
  • Figure 7B shows a top view of a portion of a proximity head 106 in accordance with one embodiment of the present invention.
  • the source inlet 302 from left to right are a set of the source inlet 302, a set of the source outlet 304, a set of the source inlet 306, a set of the source outlet 304, and a set of the source inlet 302. Therefore, as IPA and DIW are inputted into the region between the proximity head 106 and the wafer 108, the vacuum removes the IPA and the DIW along with any fluid film that may reside on the wafer 108.
  • the source inlets 302, the source inlets 306, and the source outlets 304 described herein may also be any suitable type of geometry such as for example, circular opening, square opening, etc. In one embodiment, the source inlets 302 and 306 and the source outlets 304 have circular openings.
  • Figure 7C illustrates a proximity head 106 with angled source inlets 302' performing a drying operation in accordance with one embodiment of the present invention.
  • the source inlets 302' and 306 and the source outlet(s) 304 described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
  • the angled source inlets 302' that input IPA vapor onto the wafer 108 is angled toward the source inlets 306 such that the IPA vapor flow is directed to contain the meniscus 116.
  • Figure 7D illustrates a proximity head 106 with angled source inlets 302' and angled source outlets 304' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the angled source outlet(s) 304' described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
  • the angled source inlets 302' that input IPA vapor onto the wafer 108 is angled at an angle ⁇ 500 toward the source inlets 306 such that the IPA vapor flow is directed to contain the meniscus 116.
  • the angled source outlet 304' may, in one embodiment, be angled at an angle ⁇ 500 towards the meniscus 116.
  • the angle ⁇ 500 and the angle ⁇ 502 may be any suitable angle that would optimize the management and control of the meniscus 116.
  • the angle ⁇ 500 is greater than 0 degrees and less than 90 degrees
  • the angle ⁇ 502 is greater than 0 degrees and less than 90 degrees.
  • the angle ⁇ 500 is about 15 degrees, and in another preferable embodiment, the angle angled at an angle ⁇ 502 is about 15 degrees.
  • the angle ⁇ 500 and the angle ⁇ 502 adjusted in any suitable manner to optimize meniscus management. In one embodiment, the angle ⁇ 500 and the angle ⁇ 502 may be the same, and in another embodiment, the angle ⁇ 500 and the angle ⁇ 502 may be different.
  • Figure 8A illustrates a side view of the proximity heads 106 and 106b for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • the meniscus 116 may be generated.
  • the source inlets 302 and 306 may be utilized for IPA inflow 310 and DIW inflow 314 respectively while the source outlet 304 may be utilized to apply vacuum 312.
  • any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 may be utilized.
  • the proximity heads 106 and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figure 7A and 7B .
  • the proximity heads 106 and 106b may be of a configuration as shown below in reference to Figures 9 through 15 . Any suitable surface coming into contact with the meniscus 116 may be dried by the movement of the meniscus 116 into and away from the surface.
  • Figure 8B shows the proximity heads 106 and 106b in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
  • the proximity head 106 processes the top surface 108a of the wafer 108
  • the proximity head 106b processes the bottom surface of 108b of the wafer 108.
  • the meniscus 116 may be formed between the proximity head 106 and the wafer 108 and between the proximity head 106b and the wafer 108.
  • the proximity heads 106 and 106b, and therefore the meniscus 116 may be moved over the wet areas of the wafer surface in an manner so the entire wafer 108 can be dried.
  • Figure 9A illustrates a processing window 538-1 in accordance with one embodiment of the present invention.
  • the processing window 538-1 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304.
  • the processing window 538-1 is a region on a proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-1 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner.
  • the processing window 538-1 is a substantially rectangular shape. It should be appreciated that the size of the processing window 538-1 (or any other suitable processing window described herein) may be any suitable length and width (as seen from a top view).
  • Figure 9B illustrates a substantially circular processing window 538-2 in accordance with one embodiment of the present invention.
  • the processing window 538-2 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304.
  • the processing window 538-2 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-2 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner.
  • the processing window 538-2 is a substantially circular shape.
  • Figure 9C illustrates a processing window 538-3 in accordance with one embodiment of the present invention.
  • the processing window 538-3 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304.
  • the processing window 538-3 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-3 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner.
  • the processing window 538-3 is a substantially oval in shape.
  • Figure 9D illustrates a processing window 538-4 in accordance with one embodiment of the present invention.
  • the processing window 538-4 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304.
  • the processing window 538-4 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-4 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner.
  • the processing window 538-4 is a substantially square shape.
  • Figure 10A shows an exemplary process window 538-1 with the plurality of source inlets 302 and 306 as well as the plurality of source outlets 304 in accordance with one embodiment of the present invention.
  • the process window 538-1 in operation may be moved in direction 546 across a wafer during, for example, a wafer drying operation.
  • a proximity head 106 may encounter fluids on a wafer surface on a leading edge region 548.
  • the leading edge region 548 is an area of the proximity head 106 that, in a drying process, encounters fluids first.
  • a trailing edge region 560 is an area of the proximity head 106 that encounters the area being processed last.
  • the process window 538-1 may be any suitable shape such as, for example, rectangular, square, circular, oval, semi-circular, etc.
  • FIG 10B shows processing regions 540, 542, and 544 of a proximity head 106 in accordance with one embodiment of the present invention.
  • the processing regions 540, 542, and 544 make up the processing window as discussed in reference to Figure 10A .
  • the processing regions 540, 542, and 544 may be any suitable size and/or shape such as, for example, circular, ring, semi-circular, square, semi-square, free form, etc. as long as a stable and controllable fluid meniscus can be generated that can apply and remove fluids from a surface in an efficient manner.
  • the processing region 540 includes the plurality of source inlets 302, the processing region 542 (also known as a vacuum ring) includes the plurality of source outlets 304, and the processing region 544 includes the plurality of source inlets 306.
  • the region 542 surrounds (or substantially surrounds) the region 544 with a ring of source outlets 304 (e.g., a vacuum ring),
  • the region 540 substantially surrounds the region 544 but has an opening 541 where there are no source inlets 302 exist on a leading edge side of the process window 538-1.
  • the proximity head 106 generates a fluid meniscus by application of IPA, DIW, and vacuum, in the regions 540, 542, and 544 in the process window 538 (as shown in Figure 10A ).
  • the proximity head 106 is moving over the wafer surface in an exemplary drying operation, the wafer surface that moves through the opening 541 in the region 542 and contacts the meniscus 116 within the process window 538 is dried.
  • the drying occurs because fluid that is on that portion of the wafer surface that contacts the meniscus 116 is removed as the meniscus moves over the surface. Therefore, wet surfaces of a wafer may enter the process window 538 through the opening 541 in the region 540 and by contacting the fluid meniscus may undergo a drying process.
  • the plurality of source inlets 302, the plurality of source inlets 306, and the plurality of source outlets 304 are shown in this embodiment, other embodiments may be utilized where any suitable number of the source inlets 302, the source inlets 306, and the source outlets 304 may be utilized as long as the configuration and number of the plurality of source inlets 302, the source inlets 306, and the source outlets 306 may generate a stable, controllable fluid meniscus that can dry a surface of a substrate. It should be understood that any suitable type of substrate such as, for example, a semiconductor wafer may be processed by the apparatuses and methodology described herein.
  • FIGs 11 through 14 illustrate exemplary embodiments of the proximity head 106. It should be appreciated any of the different embodiments of the proximity head 106 described may be used as one or both of the proximity heads 106a and 106b described above in reference to Figures 2A through 5H . As shown by the exemplary figures that follow, the proximity head may be any suitable configuration or size that may enable the fluid removal process as described in Figures 6 to 10 . Therefore, any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2A to 2D . In addition, the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306.
  • the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing.
  • All of the proximity heads described in Figures 11 through 14 are manifolds that enable usage of the IPA-vacuum-DIW orientation in a process window or a variant thereof as described above in reference to Figures 2 through 10 .
  • the embodiments of the proximity head 106 as described below in reference to Figures 11 through 14 all have embodiments of the process window 538, and regions 540, 542, and 544 as described in reference to Figures 9A through 10B above.
  • the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304.
  • the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows.
  • the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable.
  • the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter.
  • the size of the openings of the source inlets 306 and the source outlets 304 is about 0.06 inch, and the size of the openings of the source inlets 302 is about 0.03 inch.
  • the source inlets 302 and 306 in addition to the source outlets 304 are spaced about 0.03 inch and about 0.5 inch apart. In a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.125 inch apart and the source inlets 302 are spaced about 0.06 inch apart.
  • the proximity heads may not necessarily be a "head" in configuration but may be any suitable configuration, shape, and/or size such as, for example, a manifold, a circular puck, a bar, a square, an oval puck, a tube, a plate etc., as long as the source inlets 302, and 306, and the source outlets 304 may be configured in a manner that would enable the generation of a controlled, stable, manageable fluid meniscus.
  • the proximity head may be a type of manifold as described in reference to Figures 10A through 14C .
  • the size of the proximity heads may be varied to any suitable size depending on the application desired.
  • the length (from a top view showing the process window) of the proximity heads may be between 1.0 inch to about 18.0 inches and the width (from a top view showing the process window) may be between about 0.5 to about 6.0 inches.
  • the proximity head may be optimized to process any suitable size of wafers such as, for example, 200mm wafers, 300, wafers, etc.
  • the process windows of the proximity heads may be arranged in any suitable manner as long as such a configuration may generate a controlled stable and manageable fluid meniscus.
  • Figure 11A shows a top view of a proximity head 106-1 with a substantially rectangular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies IPA to a surface of the wafer 108.
  • the source inlets 302 are capable of applying IPA toward a wafer surface region
  • the source inlets 306 are capable of applying DIW toward the wafer surface region
  • the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108.
  • the vacuum By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • the proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired.
  • the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108.
  • the shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-1.
  • the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306.
  • the embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein.
  • the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • FIG 11B illustrates a side view of the proximity head 106-1 in accordance with one embodiment of present invention.
  • the proximity head 106-1 includes the ports 342a, 342b, and 342c.
  • the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively.
  • the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 11C shows a rear view of the proximity head 106-1 in accordance with one embodiment of the present invention.
  • the rear view of the proximity head 106-1 corresponds to the leading edge 548 of the proximity head 106-1.
  • the proximity head 106-1 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein.
  • the proximity head 106-1 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 11C .
  • Figure 12A shows a proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-2 includes one row of source inlets 306 that is adjacent on both sides to rows of source outlets 304.
  • One of the rows of source outlets 304 is adjacent to two rows of source inlets 302. Perpendicular to and at the ends of the rows described above are rows of source outlets 304.
  • Figure 12B shows a side view of the proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the proximity head 106-2 includes ports 342a, 342b, and 342c on a side of the proximity head 106-2.
  • the ports 342a, 342b, and 342c may be utilized to input and/or output fluids through the source inlets 302 and 306 and the source outlets 304.
  • the ports 342a, 342b, and 342c correspond to the source inlets 302, the source outlets 304, and the source inlets 306 respectively.
  • Figure 12C shows a back view of the proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
  • the back side as shown by the rear view is where the back side is the square end of the proximity head 106-2.
  • Figure 13A shows a rectangular proximity head 106-3 in accordance with one embodiment of the present invention.
  • the proximity head 106-3 includes a configuration of source inlets 302 and 306 and source outlets 304' that is similar to the proximity head 106-1 as discussed in reference to Figure 11A .
  • the rectangular proximity head 106-3 includes the source outlets 304' that are larger in diameter than the source outlets 304.
  • the diameter of the source inlets 302 and 306 as well as the source outlets 304 may be altered so meniscus generation, maintenance, and management may be optimized.
  • the source inlets 302 are capable of applying IPA toward a wafer surface region
  • the source inlets 306 are capable of applying DIW toward the wafer surface region
  • the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108.
  • the vacuum By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • the proximity head 106-3 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired.
  • the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108.
  • the shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-1.
  • the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306.
  • the embodiments of the ports 342a, 342b, and 342c described in relation to the proximity head 106-1 may be applicable to any of the proximity heads described in reference to the other Figures.
  • the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • Figure 13B shows a rear view of the proximity head 106-3 in accordance with one embodiment of the present invention.
  • the rear view of the proximity head 106-3 corresponds to the leading edge 548 of the proximity head 106-3.
  • the proximity head 106-3 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein.
  • the proximity head 106-3 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 13A .
  • FIG. 13C illustrates a side view of the proximity head 106-3 in accordance with one embodiment of present invention.
  • the proximity head 106-3 includes the ports 342a, 342b, and 342c.
  • the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively.
  • the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 14A shows a rectangular proximity head 106-4 in accordance with one embodiment of the present invention.
  • the proximity head 106-4 includes a configuration of source inlets 302 and 306 and source outlets 304' that is similar to the proximity head 106-3 as discussed in reference to Figure 13A .
  • the rectangular proximity head 106-3 includes the source outlets 304' that are larger in diameter than the source outlets 304.
  • the diameter of the source inlets 302 and 306 as well as the source outlets 304 may be altered so meniscus generation, maintenance, and management may be optimized.
  • the source outlets 304' are located closer to the source inlets 302 than the configuration discussed in reference to Figure 13A . With this type of configuration, a smaller meniscus may be generated.
  • the region spanned by the source inlets 302, 306 and source outlets 304' may be any suitable size and/or shape.
  • the process window may be between about 0.03 to about 9.0 square inches. In a preferable embodiment, the process window may be about 0.75 inch. Therefore, by adjusting the region of the In this embodiment, the source inlets 302 are capable of applying IPA toward a wafer surface region, the source inlets 306 are capable of applying DIW toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • the proximity head 106-3 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired.
  • the meniscus 116 may be formed by the process window between the proximity head 106-1 and the wafer 108.
  • the shape of the meniscus 116 may correspond with the shape of the process window and therefore the size and shape of the meniscus 116 may be varied depending on the configuration and dimensions of the regions of source inlets 302 and 306 and regions of the source outlets 304.
  • Figure 14B shows a rear view of the rectangular proximity head 106-4 in accordance with one embodiment of the present invention.
  • the rear view of the proximity head 106-4 corresponds to the leading edge 548 of the proximity head 106-4.
  • the proximity head 106-4 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein.
  • the proximity head 106-4 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 13A .
  • FIG 14C illustrates a side view of the rectangular proximity head 106-4 in accordance with one embodiment of present invention.
  • the proximity head 106-4 includes the ports 342a, 342b, and 342c.
  • the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively.
  • the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 15A shows a proximity head 106 in operation according to one embodiment of the present invention.
  • the proximity head 106 in one exemplary embodiment, is utilized in a configuration as described in reference to Figure 2A .
  • the wafer is a clear material so fluid meniscus dynamics can be seen with different flow rates, vacuum rates, and wafer rotations.
  • the flow rate of DIW and IPA as well as the vacuum and rotation of the wafer may be varied depending on the conditions encountered during drying.
  • the meniscus has been formed by input of DIW and vacuum without any IPA flow. Without the IPA flow, the meniscus has an uneven boundary.
  • the wafer rotation is zero and the DIW flow rate is 500 ml/min.
  • Figure 15B illustrates the proximity head 106 as described in Figure 15A with IPA input in accordance with one embodiment of the present invention.
  • the DIW flow rate is 500 ml/min and the IPA flow rate is 12 ml/min with the rotation of the wafer being zero.
  • the usage of IPA flow has made the boundary of the meniscus more even. Therefore, the fluid meniscus is more stable and controllable.
  • Figure 15C shows the proximity head 106 as described in Figure 15B , but with the IPA flow increased to 24 ml/min in accordance with one embodiment of the present invention.
  • the rotation has been kept at zero and the flow rate of the DIW is 500 ml/min.
  • the IPA flow rate is too high, the fluid meniscus becomes deformed and less controllable.
  • Figure 15D shows the proximity head 106 where the fluid meniscus is shown where the wafer is being rotated in accordance with one embodiment of the present invention.
  • the rotation of the wafer is 10 rotations per minute.
  • the flow rate of the DIW is 500 ml/min while the flow rate of the IPA is 12 SCFH.
  • the magnitude of the vacuum is about 30 in Hg@ 80 PSIG.
  • Figure 15E shows the proximity head 106 where the fluid meniscus is shown where the wafer is being rotated faster than the rotation shown in Figure 15D in accordance with one embodiment of the present invention.
  • the rotation of the wafer is 15 rotations per minute.
  • the flow rate of the DIW is 500 ml/min while the flow rate of the IPA is 12 SCFH.
  • the magnitude of the vacuum is about 30 in HG@ 80 PSIG.
  • Figure 15F shows the proximity head 106 where the IPA flow has been increased as compared to the IPA flow of Figure 15D in accordance with one embodiment of the present invention.
  • the variables such as the DIW flow rate, rate of wafer rotation, and vacuum magnitude are the same as that described in reference to Figure 15D .
  • the IPA flow rate was increased to 24 SCFH. With the IPA flow rate increased, the IPA holds the fluid meniscus along the border to generate a highly controllable and manageable fluid meniscus. Therefore, even with wafer rotation, the fluid meniscus looks stable with a consistent border that substantially corresponds to the region with the plurality of source inlets 302 and the region with the plurality of source outlets 304.
  • a stable and highly controllable, manageable, and maneuverable fluid meniscus is formed inside of the process window so, in an exemplary drying process, fluid that the proximity head 106 may encounter on a wafer surface is removed thereby quickly and efficiently drying the wafer surface.
  • Figure 16A shows a top view of a cleaning/drying system 602 in accordance with one embodiment of the present invention.
  • any of the embodiments of the drying system 100 e.g., cleaning systems 100-1, 100-2, 100-3, 100-4, and 100-5) described herein with the any of the embodiments of the proximity head 106 described in Figures 2A to 15F herein may be utilized in conjunction with other wafer processing technologies to generate an integrated system such as, for example, those described in Figure 16A through 20 below.
  • the cleaning and drying system 100 may be incorporated into a 2300 Brush Box Assembly manufactured by Lam Research of Fremont, California.
  • the cleaning/drying system 602 is the cleaning and drying system 100-5 described above in reference to Figures 5G and 5H with a brush core 604 and a spray manifold 606.
  • the cleaning and drying systems may also be known as a wafer drying insert.
  • the brush may be made out of any suitable material that may effectively clean a substrate such as, for example, polyvinyl alcohol (PVA), rubber, urethane, etc.
  • a brush such, as for example a polyvinyl alcohol (PVA) brush may be applied over the brush core 604.
  • the brush core 604 may be any suitable brush core configuration such as, for example, those known to those skilled in the art. Therefore, when the brush core 604 rotates, the brush on the brush core 604 may be applied to the wafer 102 to clean the surface of the wafer after wafer processing such as, for example, etching, planarization, etc.
  • the wafer 102 after the wafer 102 is cleaned by the brush, the wafer 102 does not have to be taken out of the cleaning/drying 602 (also known as a cleaning/drying module) for drying. Therefore, after wafer cleaning, the wafer 102 may be dried as discussed above in reference to Figures 2A through 15C above. In this fashion, time may be saved by having two wafer process operation in one module and chances for contamination are reduced because the wafer 102 does not have to be taken to a different module for cleaning.
  • the cleaning/drying 602 also known as a cleaning/drying module
  • FIG 16B shows an alternative view of the cleaning/drying system 602 in accordance with one embodiment of the present invention.
  • the cleaning/drying system 602 may be a module(s) (e.g., cluster tool) in a variety of wafer processing systems as discussed below in reference to Figure 17 though 21.
  • a cleaning system and a drying system in one module, space may be saved and the wafer processing system may be made smaller and more compact while retaining substantially the same functionality.
  • FIG 17 illustrates a wafer processing system 700 with front end frame assembly 705 with a drying module 704 in accordance with one embodiment of the present invention.
  • the drying module 704 maybe any of the systems 100, 100-1, 100-2, 100-3, 100-4, 100-5, and any suitable variant thereof. It should be appreciated that any suitable number of drying modules 704 such as, for example, 1,2, 3, 4, 5, 6, 7, 8, 9, 10, etc. may be connected to the front end frame assembly 705 to generate the wafer processing system 700 with varying levels of wafer processing capabilities. It should also be understood that any other types of wafer processing tools may be connected to the front end frame assembly 705 such as, for example, a planarization tool/nmodule, etching tool/module, cleaning tool/module, etc.
  • the wafer processing system 700 includes 6 drying modules 704 and also has a robot 712 that may feed and remove wafers into and out of the drying modules 704.
  • the robot 712 may also be configured to feed and remove wafers into and out of the front end loaders 710. It should be understood that any suitable number and types of robots 712 may be utilized as well as any suitable number and types of front end loaders 710.
  • the front end loaders 710 may receive a cartridge full of wafers which require processing by the wafer processing system 700.
  • FIG 18 shows a wafer processing system 800 which has multiple wafer processing tools in accordance with one embodiment of the present invention.
  • the wafer processing system 800 includes an etching module 722, the drying module 704, the front end loader 710, and the robot 712 located on a frame assembly 720.
  • the wafer processing system 700 as with the wafer processing system 800 may have any suitable number and any suitable types of modules/tools such as, CMP modules, megasonic processing modules, cleaning modules, and etching modules. Therefore an apparatus such as, for example, the wafer processing system 800 with different substrate/wafer processing modules may, in one embodiment, be called a cluster architecture system.
  • a drying system as described herein may be an integrated drying system when integrated with other modules to form the cluster architecture system.
  • the wafer processing system 800 may have the etching module 722, the drying module 704, and a cleaning module.
  • the wafer processing system 700 may include three of the etching modules 622, and 6 of the drying modules 704. When multiple wafer processing occurs, this may be known as cluster processing. It should also be appreciated that any or all of the drying modules 704 may be replaced with a module containing the cleaning/drying system 602 so both cleaning and drying may be accomplished in the same module.
  • FIG 19 shows a wafer processing system 800' without the etching module 722 in accordance with one embodiment of the present invention.
  • the wafer processing system 800 has the frame 720 containing a plurality of the drying modules 704.
  • the wafer processing system 800' may contain any suitable number of drying modules 704.
  • the wafer processing system 800' includes 8 of the drying modules 704.
  • the wafer 102 is shown being loaded into the wafer processing system 800 through use of the front end loader 710.
  • the robot 712 may take the wafer from the front end loader 710 and load the wafer 102 into any one of the plurality of drying modules 704.
  • the etching module 722 shown above in reference to Figure 18 has been removed to generate space to add more drying modules 704.
  • the drying modules 704 may include the cleaning and drying system 602 described in further detail in reference to Figure 16A . In this way both drying and cleaning may be accomplished within one module.
  • FIG 20 illustrates a wafer processing system 800" which includes a drying module 704 and a cleaning module 850 in accordance with one embodiment of the present invention.
  • the wafer processing system 800" can include a separate cleaning module such as, for example, the cleaning module 850.
  • any suitable number and/or types of cleaning apparatuses may be utilized within the wafer processing system 800", such as a brush box (or wafer brush scrubbing units), megasonic cleaning device, etc.
  • the cleaning module 850 may be a brush box.
  • the brush box may be any suitable type of brush box that can effectively clean wafers such as known to those skilled in the art.
  • the wafer processing system 800" may have a cleaning module 850 that is a megasonic module.
  • the megasonic module may conduct other types of processing besides cleaning. Any suitable megasonic processing device may be utilized as a megasonic module such as, for example, those described in U.S. Patent Application No. 10/259,023 entitled "MEGASONIC SUBSTRATA PROCESSING MODULE". The aforementioned patent application is hereby incorporated by reference. Therefore, by having various types of modules or wafer processing devices interconnected, wafer processing systems may be generated that have the capability to utilized multiple wafer processing methods.
  • Figures 21A through 23C show exemplary embodiments where a wafer that is oriented vertically may be processed by at least one proximity head where by either movement of the wafer and/or movement of the at least one proximity head, the wafer surface may be processed vertically from top to bottom.
  • wafer processing as described herein may include cleaning, drying, rinsing, etc.
  • the vertical processing of the wafer can enhance control of the meniscus and reduce random fluid movement on the wafer during wafer processing. Consequently, by use of vertical wafer processing by the proximity head(s) (also known as manifold), wafer processing such as, for example, cleaning, rinsing, and/or drying may be accomplished in an efficient manner.
  • the proximity head/manifold may be any suitable configuration or size as long as the proximity head/manifold structure is consistent with the methods and apparatus described herein.
  • resident time of the meniscus on the wafer surface is uniform throughout the wafer. Therefore, scanning direction and speed may be controlled so the meniscus area is scanned evenly over the wafer.
  • Figure 21A illustrates a proximity head 106a beginning a wafer processing operation where the wafer 108 is scanned vertically in accordance with one embodiment of the present invention.
  • the wafer 108 is oriented in a vertical manner so a top portion 108c of the wafer 108 is presented for scanning to the proximity head 106a. In such an orientation, the surface of the wafer being processed is substantially parallel to a processing window 538 of the proximity head 106a. It should be appreciated that the wafer 108 may be held in place or moved depending on the configuration of the wafer processing system.
  • the wafer 108 is held into place and the proximity head is moved from a top to bottom scanning motion, where a top portion 108c of the wafer 108 is scanned before a bottom portion 108d of the wafer 108.
  • the wafer 108 is positioned in a substantially vertical orientation.
  • the position of the wafer 108 with respect to the y-axis can therefore be in any suitable angle as long as the top portion 108c of the wafer 108 is located higher along the y-axis than the bottom portion 108d of the wafer 108.
  • the wafer 108 is positioned to be vertical along the y-axis. Therefore, in such an embodiment, the proximity head 106a may move vertically in a downward fashion and process the wafer surface from top to bottom.
  • the proximity head 106a may be held stationary and the wafer 108 may be moved in a manner such that the wafer surface is processed in a vertical fashion where the top portion 108c of the wafer 108 is scanned before the bottom portion 108d of the wafer 108. It should be appreciated that any suitable device or apparatus may be used to move the proximity head 106a vertically so as to scan the surface of the wafer 108. In one embodiment, the proximity head 106a may be attached to an arm that is then attached to a mechanical device to move the proximity head 106a in a vertical manner.
  • the proximity head 106a may be directly attached to a mechanical device or apparatus that can facilitate movement of the proximity head 106a close to the surface of the wafer 108 and to move the proximity head 106 from the top portion 108c of the wafer 108 to the bottom portion 108d of the wafer 108.
  • a proximity head 106b (not visible in Figure 21A but shown as an exemplary embodiment in Figure 21F and 21G ) may be used along with the proximity head 106a to process both wafer surfaces on the two sides of the wafer 108. Therefore, the proximity heads 106a and 106b may be utilized, where one of the proximity heads may process one side of the wafer 108 and the other proximity head may process the other side of the wafer 108.
  • the proximity heads 106a and 106b may be any suitable proximity head described herein.
  • two proximity heads 106a and 106b may be oriented so that the processing windows face each other. The processing windows of the two proximity heads may then be oriented in close proximity to each other.
  • the space between the processing windows would be large enough so as to be greater than the thickness of the wafer 108. Therefore, when a meniscus is formed between the two processing windows, the proximity heads 106a and 106b may be moved down from above the wafer 108. It should be appreciated that the proximity heads 106a and 106b (or any other proximity heads described herein) may be any suitable distance away from the wafer 108 as long as a stable controllable meniscus may be formed on the surface being processed. In one embodiment, the proximity heads 106a and 106b are about 0.1 mm to about 3 mm away from the respective surfaces being processed.
  • the proximity heads 106a and 106b are about 1 mm to about 2 mm away from the respective surfaces being processed, and in a preferable embodiment, the proximity heads 106a and 106b are about 1.5 mm away from the respective surfaces being processed.
  • the proximity head 106a and 106b move downward, the meniscus may contact the a top edge of the wafer 108 and one processing window would form a meniscus with one surface of the wafer 108 and the other processing windows would form a meniscus with the other surface of the wafer 108.
  • the wafer processing operation could be started where the proximity heads 106a and 106b starts by initially producing the meniscus on the wafer instead of moving the meniscus onto the wafer 108 from above the top portion 108a.
  • Figure 21B illustrates a wafer processing continuing from Figure 21A where the proximity head 106a has started scanning the wafer 108 in accordance with one embodiment of the present invention.
  • the top surface of the wafer 108 is positioned in a substantially vertical orientation so the top surface of the wafer 108 is visible when view along a horizontal axis.
  • the proximity head 106a comes into close proximity of the wafer 108, the meniscus 11d is formed between the process window 538 of the proximity head 106a and the wafer surface being processed.
  • the proximity head 106a is configured to dry the wafer 108.
  • the process window 538 intelligently controls and manages the meniscus 116 so drying takes place as the meniscus 116 moves from a top portion 108c of the wafer 108 to the bottom portion 108d of the wafer 108. Therefore, as the drying process takes place, the dried portion of the wafer 108 will become larger in a top to bottom direction.
  • the generation of the meniscus is described in further detail above.
  • the meniscus 116 may be optimally controlled by limiting the forces acting on the meniscus 116. In such a vertical orientation, only vertical forces exerted by gravity need be accounted for in the generation of a controlled and manageable meniscus.
  • the region of the wafer 108 that has already been dried may be kept dried in an optimal manner. This may occur because the fluids or moisture in the wet regions of the wafer 108 not yet processed would not move up against gravity into the already dried regions.
  • Figure 21C shows a continuation of a wafer processing operation from Figure 21B in accordance with one embodiment of the present invention.
  • the proximity head 106 has almost halfway (and processed about a semi-circle of the wafer 108) between the top portion 108c and the bottom portion 108d of the wafer 108.
  • Figure 21D illustrates the wafer processing operation continued from Figure 21C in accordance with one embodiment of the present invention.
  • the proximity head 106a has almost finished scanning the wafer surface.
  • both the proximity head 106a and 106b are processing the respective sides of the wafer 108, as portions of the meniscus 116 on each side finish processing and are no longer in contact with the wafer 108, the meniscuses on both sides of the wafer come into contact and become one meniscus.
  • Figure 21E shows the wafer processing operation continued from Figure 21D in accordance with one embodiment of the present invention.
  • the proximity head 106a (and 106b if a dual proximity head device is being utilized), has finished processing the wafer 108.
  • Figure 21F shows a side view of the proximity heads 106a and 106b situated over the top portion of the vertically positioned wafer 108 in accordance with one embodiment of the present invention.
  • the proximity heads 106b and 106a may form the meniscus 116 as described above.
  • the proximity heads 106a and 106b may be moved substantially together downward to process the wafer as described in further detail in reference to Figure 21G .
  • Figure 21G illustrates a side view of the proximity heads 106a and 106b during processing of dual surfaces of the wafer 108 in accordance with one embodiment of the present invention.
  • the proximity heads 106a and 106b move downward from above the wafer 108.
  • the proximity head 106a forms a meniscus 116a with the wafer 108 and the proximity head 106b forms a meniscus 116b with the wafer 108. Therefore, the proximity head 106a may process one side of the wafer 108 and the proximity head 106b may process the other side of the wafer.
  • the proximity heads 106a and 106b may be moved downward, or the wafer 108 may be moved upward, or the proximity heads 106a and 106b may be moved downward while the wafer 108 is moved upward. Consequently, the scanning of the wafer 108 may take place using any suitable movement as long as the proximity heads 106a and 106b are moved in a downward movement relative to the wafer 108. By using this relative downward scanning motion, the drying may take place from the top portion 108a of the wafer 108 to the bottom portion 108b of the wafer 108.
  • Figures 21A to 21G shows the proximity head 106a moving from off the edge of the wafer 108 across the diameter to leave the edge of the wafer 108
  • the proximity head 106a hovers over the wafer 108 near a top edge of the wafer 108 and moves toward the surface of the wafer 108.
  • the proximity head may process only a portion of the wafer surface.
  • Figure 22A shows a wafer processing system where the wafer is held stationary in accordance with one embodiment of the present invention.
  • the wafer 108 is held in place by holders 600.
  • the holders 600 may be any suitable type of device or apparatus that can hold the wafer 108 and still enable the scanning of the wafer surface by the proximity head 106 such as, for example, edge grip, fingers with edge attachments, etc.
  • the proximity head 106 may be held and moved by a proximity head carrier 602.
  • the proximity head carrier 602 may be any suitable type of apparatus or device that can move the proximity head 106 from above the wafer 108 and scan the proximity head 106 in a downward manner while keeping the proximity head 106 in close proximity to the wafer surface.
  • the proximity head carrier 602 may be similar to the proximity head carrier assembly as shown Figure 2A except that the wafer is oriented vertically and the proximity head carrier is configured to move from top to bottom in a vertical manner.
  • Figure 22B shows a wafer processing system where the proximity head extends about a radius of the wafer 108 in accordance with one embodiment of the present invention.
  • the wafer processing system may utilize a proximity head that is capable of producing a meniscus that may cover at least a radius of the wafer 108.
  • the proximity head 106 may scan a wafer surface from a top portion 108c to a bottom portion 108d of the wafer 108.
  • two proximity heads 106 may be utilized where one semi-circle of the wafer surface is processed by one of the proximity heads 106 while the other semi-circle of the wafer surface is processed by the other of the proximity heads 106.
  • Figure 22C shows a wafer processing system where the proximity head 106 moves vertically and the wafer 108 rotates in accordance with one embodiment of the present invention.
  • the proximity head 106 moves in the fashion as described in reference to Figure 22C while, at the same time, the wafer 108 is rotated in direction 112 by using rollers 102a, 102b, and 102c as discussed in reference to the Figures.
  • Figure 23A shows a proximity head 106-5 that may be utilized for vertical scanning of a wafer in accordance with one embodiment of the present invention.
  • the proximity head 106-5 is at least as long as the diameter of the wafer 108 so the proximity head 106-5 can produce a meniscus that encompasses at least a diameter of the wafer.
  • the proximity head 106-5 is long enough so the meniscus produced by the proximity head 106-5 can extend across the diameter of the wafer so as to include the regions of the wafer surface enclosed within the exclusion region. Therefore, by use of the proximity head 106-5, an entire wafer surface may be scanned in one pass.
  • the proximity head 106-5 includes source inlets 302 and 306 and source outlets 304.
  • the source inlets 302 and 306 as well as the source outlets 304 may make up the process window where the meniscus 116 may be formed.
  • the proximity head 106-5 as well as the other proximity heads described herein may be varied in size to have different sizes and configurations of process windows. By varying the configuration of the process windows, the size, shape, and the functionality of the meniscus may be changed.
  • the range of sizes of the proximity head, the sizes of the source inlets 302 and 306 as well as source outlets 304, and the sizes of the ports 342a, 342b, and 342c (as shown in Figures 23B and 23C ) are as described above in reference to Figures 11-14 . Therefore, the proximity head 106-5 may be any suitable size and configuration depending on the application desired.
  • the proximity head 106-5 may have to have a process window that produces a meniscus that is at least 200 mm in length. If the exclusionary region of the 200 mm is not desired to be processed, the meniscus may be less that 200 mm in length. In another example, if one proximity head is desired to scan an entire 300 mm wafer in one pass, the proximity head 106-5 may have to have a process window that produces a meniscus that is at least 300 mm in length. If the exclusionary region of the 300 mm is not desired to be processed, the meniscus may be less that 300 mm in length.
  • the process window may be a size that would produce a meniscus length that is at least a radius of the wafer. Therefore, the size of the manifold, process window, and the meniscus may be changed depending on the application desired.
  • Figure 23B shows a side view of the proximity head 106-5 in accordance with one embodiment of the present invention.
  • the proximity head 106-5 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively.
  • ports 342a, 342b, and 342c By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306.
  • the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-5 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-5. As shown in Figure 23B , the portion 342c and the source inlet 306 may be configured to angle the input of IPA to the surface of the wafer.
  • source inlet 306 may be angled between about 0 degrees and about 90 degrees in the direction of the source outlet 304 where angle 90 would be pointing toward the wafer and the angle 0 would be pointing inward to the source outlet 304.
  • the source inlet 306 is angled about 15 degrees. It should be understood that the source inlet 302 and source outlet 304 may be angled in any suitable angle that may optimize the generation, control, and management of a stable fluid meniscus.
  • Figure 23C shows an isometric view of the proximity head 106-5 in accordance with one embodiment of the present invention.
  • the view of the proximity head 106-5 shown in Figure 23C shows a back side opposite the process window which includes connecting holes 580 and aligning holes 582.
  • the connecting holes 580 may be used to attach the proximity head 106-5 to a proximity head carrier.
  • the aligning holes may be utilized to align the manifold depending on the application desired.
  • the proximity head 106-5 also includes ports 342a, 342b, and 342, on a side of the proximity head 106-5 opposite the leading edge of the proximity head 106-5.
  • ports 342a, 342b, 342c, and connecting holes 580, and the aligning holes 582 may be application dependent and therefore may be any suitable configuration and location as long as the meniscus may be managed in accordance with the descriptions herein.

Abstract

A manifold for use in preparing a surface of a substrate, comprising:
the manifold defined by a head that includes,
a first portion in the manifold having a plurality of conduits to deliver a first fluid onto the surface of the substrate and a plurality of conduits for removing the first fluid from the surface of the substrate to define a first process window in the first portion of the manifold, a first fluid meniscus configured to be maintained in the first process window of the manifold; and
a second portion in the manifold having a plurality of conduits to deliver a second fluid onto the surface of the substrate and a plurality of conduits for removing the second fluid from the surface of the substrate to define a second process window in the second portion of the manifold, a second fluid meniscus configured to be maintained in the second process window of the manifold;
wherein the head is operable to be placed in proximity to the surface of the substrate so as to orient the first process window and the second process window toward the surface of the substrate.

Description

    BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The present invention rebates to semiconductor wafer processing, cleaning, and drying and, more particularly, to apparatuses and techniques for more efficiently adding and removing fluids from wafer surfaces while reducing contamination and decreasing wafer processing costs.
  • 2. Description of the Related Art
  • In the semiconductor chip fabrication process, it is well-known that there is a need to clean and dry a wafer where a fabrication operation has been performed that leaves unwanted residues on the surfaces of wafers. Examples of such a fabrication operation include plasma etching (e.g., tungsten etch back (WEB)) and chemical mechanical polishing (CMP). In CMP, a wafer is placed in a holder which pushes a wafer surface against a rolling conveyor belt. This conveyor belt uses a slurry which consists of chemicals and abrasive materials to cause the polishing. Unfortunately, this process tends to leave an accumulation of slurry particles and residues at the wafer surface. If left on the wafer, the unwanted residual material and particles may cause, among other things, defects such as scratches on the wafer surface and inappropriate interactions between metallization features. In some cases, such defects may cause devices on the wafer to become inoperable, In order to avoid the undue costs of discarding wafers having inoperable devices, it is therefore necessary to clean the wafer adequately yet efficiently after fabrication operations that leave unwanted resides.
  • After a wafer has been wet cleaned, the wafer must be dried effectively to prevent water or cleaning fluid remnants from leaving residues on the wafer. If the cleaning fluid on the wafer surface is allowed to evaporate, as usually happens when droplets form, residues or contaminants previously dissolved in the cleaning fluid will remain, on the wafer surface after evaporation (e.g., and form spots). To prevent evaporation from taking place, the cleaning fluid must be removed as quickly as possible without the formation of droplets on the wafer surface. In an attempt to accomplish this, one of several different drying techniques are employed such as spin drying, IPA, or Marangoni drying. All of these drying techniques utilize some form of a moving liquid/gas interface on a wafer surface which, if properly maintained, results in drying of a wafer surface without the formation of droplets. Unfortunately, if the moving liquid/gas interface breaks down, as often happens with all of the aforementioned drying methods, droplets form and evaporation occurs resulting in contaminants being left on the wafer surface.
  • The most prevalent drying technique used today is spin rinse drying (SRD). Figure 1 illustrates movement of cleaning fluids on a wafer 10 during an SRD drying process. In this drying process, a wet wafer is rotated at a high rate by rotation 14. In SRD, by use of centrifugal force, the water or cleaning fluid used to clean the wafer is pulled from the center of the wafer to the outside of the wafer and finally off of the wafer as shown by fluid directional arrows 16. As the cleaning fluid is being pulled off of the wafer, a moving liquid/gas interface 12 is created at the center of the wafer and moves to the outside of the wafer (i.e., the circle produced by the moving liquid/gas interface 12 gets larger) as the drying process progresses. In the example of Figure 1, the inside area of the circle formed by the moving liquid/gas interface 12 is free from the fluid and the outside area of the circle formed by the moving liquid/gas interface 12 is the cleaning fluid. Therefore, as the drying process continues, the section inside (the dry area) of the moving liquid/gas interface 12 increases while the area (the wet area) outside of the moving liquid/gas interface 12 decreases. As stated previously, if the moving liquid/gas interface 12 breaks down, droplets of the cleaning fluid form on the wafer and contamination may occur due to evaporation of the droplets. As such, it is imperative that droplet formation and the subsequent evaporation be limited to keep contaminants off of the wafer surface. Unfortunately, the present drying methods are only partially successful at the prevention of moving liquid interface breakdown.
  • In addition, the SRD process has difficulties with drying wafer surfaces that are hydrophobic. Hydrophobic wafer surfaces can be difficult to dry because such surfaces repel water and water based (aqueous) cleaning solutions. Therefore, as the drying process continues and the cleaning fluid is pulled away from the wafer surface, the remaining cleaning fluid (if aqueous based) will be repelled by the wafer surface. As a result, the aqueous cleaning fluid will want the least amount of area to be in contact with the hydrophobic wafer surface. Additionally, the aqueous cleaning solution tends cling to itself as a result of surface tension (i.e., as a result of molecular hydrogen bonding). Therefore, because of the hydrophobic interactions and the surface tension, balls (or droplets) of aqueous cleaning fluid forms in an uncontrolled manner on the hydrophobic wafer surface. This formation of droplets results in the harmful evaporation and the contamination discussed previously. The limitations of the SRD are particularly severe at the center of the wafer, where centrifugal force acting on the droplets is the smallest. Consequently, although the SRD process is presently the most common way of wafer drying, this method can have difficulties reducing formation of cleaning fluid droplets on the wafer surface especially when used on hydrophobic wafer surfaces.
  • Therefore, there is a need for a method and an apparatus that allows quick and efficient cleaning, processing and drying of a semiconductor wafer, but at the same time reducing the formation of numerous water or cleaning fluid droplets which may cause contamination to deposit on the wafer surface. Such deposits as often occurs today reduce the yield of acceptable wafers and increase the cost of manufacturing semiconductor wafers.
  • Various examples of prior art references can be found in European Patent Application No. EP-A-0 905 746 (IMEC INTER UNI MICRO ELECTR); International Patent Application No. WO 99/16109 A (IMEC INTER UNI MICRO ELECTR;MEURIS MARC (BE); HEYNS MARC (BE); ME); US-A-5 660 642 (BRITTEN, JERALD A); US 2002/125212 A1 (MEURIS MARC ET AL); International Patent Application No. WO 02/32825 A (UNIV CALIFORNIA). However, none of these prior art references describe a contactless arrangement wherein the head defines a controllable meniscus that is defined between the head and the wafer surface when in operation and the head is proximate to the wafer surface.
  • SUMMARY OF THE INVENTION
  • Broadly speaking, the present invention fills these needs by providing a cleaning and drying apparatus that is capable of removing fluids from wafer surfaces quickly while at the same time reducing wafer contamination. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device or a method. Several inventive embodiments of the present invention are described below.
  • In one embodiment, a substrate preparation system is provided which includes a drying system where the drying system includes at least one proximity head for drying a substrate. The system also includes a cleaning system for cleaning the substrate.
  • In another embodiment, a cluster architecture system for processing a wafer is provided. The system includes an integrated drying system where the integrated drying system includes at least one proximity head for drying a substrate. The system further includes processing modules coupled to the integrated drying system where the processing modules are selected from one or more of a chemical mechanical planarization module, a megasonic processing module, a cleaning module, and an etching module.
  • In yet another embodiment, a method for cluster processing a substrate is provided. The method includes performing at least one of etching a substrate, planarizing the substrate, megasonically processing the substrate, cleaning the substrate. The method also includes drying of the substrate. The drying includes applying a first fluid onto a first region of a surface of the substrate, applying a second fluid onto a second region of the surface of the substrate, and removing the first fluid and the second fluid from the surface of the substrate. The removing occurs from a third region that substantially surrounds the first region. The second region substantially surrounds at least a portion of the third region, and the applying and the removing being capable of forming a controlled fluid meniscus.,
  • In one embodiment, a substrate preparation system is provided which includes a head for use in preparing a wafer surface including a first surface of the head where the first surface capable of being placed in close proximity to the wafer surface. The head also includes a first conduit region on the head where the first conduit region is defined for delivery of a first fluid to wafer of the surface and the first conduit region is defined in a center portion of the head. The head further includes a second conduit regions on the head where the second conduit region being configured to surround the first conduit region, and the head also includes a third conduit region on the head where the third conduit region is defined for delivery of a second fluid to the wafer surface, and the third conduit region defines a semi-enclosure of the first conduit region and the second conduit region. The second conduit region enables a removal of the first fluid and the second fluid, and wherein the delivery of the first fluid and the second fluid combined with the removal by the third conduit region of the head defines a controllable meniscus that is defined between the head and the wafer surface when in operation and the head is proximate to the wafer surface.
  • In another embodiment, a substrate preparation system is provided which includes a head having a head surface where the head surface is proximate to a surface of the substrate when in operation. The head also includes at least one of a first conduit for delivering a first fluid to the surface of the substrate through the head and at least one of a second conduit for delivering a second fluid to the surface of the substrate through the head where the second fluid being different than the first fluid. The head further includes at least one of a third conduit for removing each of the first fluid and the second fluid from the surface of the substrate where the at least one of the third conduit is located to substantially surround the at least one of the first conduit, wherein the at least one of the first conduit, the at least one of the second conduit, and the at least one of the third conduit act substantially simultaneously when in operation. The at least one of the second conduit is located to substantially surround at least a portion of the at least one of the third conduit.
  • In yet another embodiment, a method for preparing a wafer surface is provided that includes supplying a first fluid at a first region on the wafer surface, surrounding the first region with a vacuum region, and semi-enclosing the vacuum region with an applied surface tension reducing fluid region where the semi-enclosing defines an opening that leads to the vacuum region. The method also includes scanning the first region where the vacuum region and the applied surface tension reduces fluid region over the wafer surface where the scanning leads with the opening.
  • In another embodiment, a wafer preparation module is provided which includes a wafer brush scrubbing unit where the wafer blush scrubbing unit is capable of scrubbing a wafer while applying cleaning fluids to the wafer. The module also includes a wafer drying insert where the wafer drying insert is capable of being integrated into the wafer brush scrubbing unit where the wafer drying insert including a proximity head for drying a surface of the wafer without contacting the surface.
  • In one embodiment, a method for processing a substrate is provided which includes generating a fluid meniscus on the surface of the vertically oriented substrate, and moving the fluid meniscus over the surface of the vertically oriented substrate to process the surface of the substrate.
  • In another embodiment, a substrate preparation apparatus to be used in substrate processing operation is provided which includes arm capable of vertical movement between a first edge of the substrate to a second edge of the substrate. The apparatus further includes a head coupled to the arm, the head being capable of forming a fluid meniscus on a surface of the substrate and capable of being moved over the surface of the substrate.
  • In yet another embodiment, a manifold for use in preparing a wafer surface is provided. The manifold includes a first process window in a first portion of the manifold being configured generate a first fluid meniscus on the wafer surface. The manifold further includes a second process window in a second portion of the manifold being configured to generate a second fluid meniscus on the wafer surface.
  • The advantages of the present invention are numerous. Most notably, the apparatuses and methods described herein efficiently dry and clean a semiconductor wafer while reducing fluids and contaminants remaining on a wafer surface. Consequently, wafer processing and production may be increased and higher wafer yields may be achieved due to efficient wafer drying with lower levels of contamination. The present invention enables the improved drying and cleaning through the use of vacuum fluid removal in conjunction with fluid input. The pressures generated on a fluid film at the wafer surface by the aforementioned forces enable optimal removal of fluid at the wafer surface with a significant reduction in remaining contamination as compared with other cleaning and drying techniques.
  • In addition, the present invention may utilize application of an isopropyl alcohol (IPA) vapor and deionized water towards a wafer surface along with generation of a vacuum near the wafer surface at substantially the same time. This enables both the generation and intelligent control of a meniscus and the reduction of water surface tension along a deionized water interface and therefore enables optimal removal of fluids from the wafer surface without leaving contaminants. The meniscus generated by input of IPA, DIW and output of fluids may be moved along the surface of the wafer to clean and dry the wafer. Therefore, the present invention evacuates fluid from wafer surfaces with extreme effectiveness while substantially reducing contaminant formation due to ineffective drying such as for example, spin drying.
  • Moreover the present invention also can be incorporated into numerous types of systems to generate wafer processing systems with cluster tools giving the systems multiple types of processing capabilities. By having a system that can conduct different types of wafer processing, wafers can be processed in a more efficient manner. By having different types of cluster tools in the wafer processing system, there may be less time in wafer transport time because the modules/tools are integrated on one system. In addition, there may space savings so less footprint is needed to house the wafer processing apparatuses. Therefore, the present invention may be incorporated into any suitable variety of systems to make wafer processing more efficient and cost effective.
  • Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the present invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings. To facilitate this description, like reference numerals designate like structural elements.
    • Figure 1 illustrates movement of cleaning fluids on a wafer during an SRD drying process.
    • Figure 2A shows a wafer cleaning and drying system in accordance with one embodiment of the present invention.
    • Figure 2B shows an alternate view of the wafer cleaning and drying system in accordance with one embodiment of present invention.
    • Figure 2C illustrates a side close-up view of the wafer cleaning and drying system holding a wafer in accordance with one embodiment of the present invention.
    • Figure 2D shows another side close-up view of the wafer cleaning and drying system in accordance with one embodiment of the present invention.
    • Figure 3A shows a top view illustrating the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention.
    • Figure 3B illustrates a side view of the wafer cleaning and drying system with dual proximity heads in accordance with one embodiment of the present invention.
    • Figure 4A shows a top view of a wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention.
    • Figure 4B shows a side view of the wafer cleaning and drying system which includes multiple proximity heads for a particular surface of the wafer in accordance with one embodiment of the present invention.
    • Figure 5A shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention.
    • Figure 5B shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which extends across a diameter of the wafer in accordance with one embodiment of the present invention.
    • Figure 5C shows a top view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
    • Figure 5D shows a side view of a wafer cleaning and drying system with the proximity heads in a horizontal configuration which is configured to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
    • Figure 5E shows a side view of a wafer cleaning and drying system with the proximity heads in a vertical configuration enabled to clean and/or dry the wafer that is stationary in accordance with one embodiment of the present invention.
    • Figure 5F shows an alternate side view of a wafer cleaning and drying system that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention.
    • Figure 5G shows a top view of a wafer cleaning and drying system with a proximity head in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention.
    • Figure 5H shows a side view of a wafer cleaning and drying system with the proximity heads and in a horizontal configuration which extends across a radius of the wafer in accordance with one embodiment of the present invention.
    • Figure 6A shows a proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
    • Figure 6B shows another proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
    • Figure 6C shows a further proximity head inlet/outlet orientation that may be utilized to clean and dry the wafer in accordance with one embodiment of the present invention.
    • Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head in accordance with one embodiment of the present invention.
    • Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head in accordance with one embodiment of the present invention.
    • Figure 6F shows another source inlet and outlet orientation where an additional source outlet may be utilized to input an additional fluid in accordance with one embodiment of the present invention.
    • Figure 7A illustrates a proximity head performing a drying operation in accordance with one embodiment of the present invention.
    • Figure 7B shows a top view of a portion of a proximity head in accordance with one embodiment of the present invention.
    • Figure 7C illustrates a proximity head with angled source inlets performing a drying operation in accordance with one embodiment of the present invention.
    • Figure 7D illustrates a proximity head with angled source inlets and angled source outlets performing a drying operation in accordance with one embodiment of the present invention.
    • Figure 8A illustrates a side view of the proximity heads for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
    • Figure 8B shows the proximity heads in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention.
    • Figure 9A illustrates a processing window in accordance with one embodiment of the present invention.
    • Figure 9B illustrates a substantially circular processing window in accordance with one embodiment of the present invention.
    • Figure 9C illustrates a processing window in accordance with one embodiment of the present invention.
    • Figure 9D illustrates a processing window in accordance with one embodiment of the present invention.
    • Figure 10A shows an exemplary process window with the plurality of source inlets and as well as the plurality of source outlets in accordance with one embodiment of the present invention.
    • Figure 10B shows processing regions of a proximity head in accordance with one embodiment of the present invention.
    • Figure 11A shows a top view of a proximity head with a substantially rectangular shape in accordance with one embodiment of the present invention.
    • Figure 11B illustrates a side view of the proximity head in accordance with one embodiment of present invention.
    • Figure 11C shows a rear view of the proximity head in accordance with one embodiment of the present invention.
    • Figure 12A shows a proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
    • Figure 12B shows a side view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
    • Figure 12C shows a back view of the proximity head with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention.
    • Figure 13A shows a rectangular proximity head in accordance with one embodiment of the present invention.
    • Figure 13B shows a rear view of the proximity head in accordance with one embodiment of the present invention.
    • Figure 13C illustrates a side view of the proximity head in accordance with one embodiment of present invention.
    • Figure 14A shows a rectangular proximity head in accordance with one embodiment of the present invention.
    • Figure 14B shows a rear view of the rectangular proximity head in accordance with one embodiment of the present invention.
    • Figure 14C illustrates a side view of the rectangular proximity bead in accordance with one embodiment of present invention.
    • Figure 15A shows a proximity head in operation according to one embodiment of the present invention.
    • Figure 15B illustrates the proximity head as described in Figure 15A with IPA input in accordance with one embodiment of the present invention.
    • Figure 15C shows the proximity head as described in Figure 15B, but with the IPA flow increased to 24 ml/min in accordance with one embodiment of the present invention.
    • Figure 15D shows the proximity head where the fluid meniscus is shown where the wafer is being rotated in accordance with one embodiment of the present invention.
    • Figure 15E shows the proximity head where the fluid meniscus is shown where the wafer is being rotated faster than the rotation shown in Figure 15D in accordance with one embodiment of the present invention.
    • Figure 15F shows the proximity head where the IPA flow has been increased as compared to the IPA flow of Figure 15D in accordance with one embodiment of the present invention.
    • Figure 16A shows a top view of a cleaning/drying system in accordance with one embodiment of the present invention.
    • Figure 16B shows an alternative view of the cleaning/drying system in accordance with one embodiment of the present invention.
    • Figure 17 illustrates a wafer processing system with front end frame assembly with a drying module in accordance with one embodiment of the present invention.
    • Figure 18 shows a wafer processing system which has multiple wafer processing tools in accordance with one embodiment of the present invention.
    • Figure 19 shows a wafer processing system without the etching module in accordance with one embodiment of the present invention.
    • Figure 20 illustrates a wafer processing system which includes a drying module and a cleaning module in accordance with one embodiment of the present invention.
    • Figure 21A illustrates a proximity head beginning a wafer processing operation where the wafer is scanned vertically in accordance with one embodiment of the present invention.
    • Figure 21B illustrates a wafer processing continuing from Figure 16A where the proximity head has started scanning the wafer in accordance with one embodiment of the present invention.
    • Figure 21C shows a continuation of a wafer processing operation from Figure 16B in accordance with one embodiment of the present invention.
    • Figure 21D illustrates the wafer processing operation continued from Figure 16C in accordance with one embodiment of the present invention.
    • Figure 21E shows the wafer processing operation continued from Figure 16D in accordance with one embodiment of the present invention.
    • Figure 21F shows a side view of the proximity heads situated over the top portion of the vertically positioned wafer in accordance with one embodiment of the present invention.
    • Figure 21G illustrates a side view of the proximity heads during processing of dual surfaces of the wafer in accordance with one embodiment of the present invention.
    • Figure 22A shows a wafer processing system where the wafer is held stationary in accordance with one embodiment of the present invention.
    • Figure 22B shows a wafer processing system where the proximity head extends about a radius of the wafer in accordance with one embodiment of the present invention.
    • Figure 22C shows a wafer processing system where the proximity head moves vertically and the wafer rotates in accordance with one embodiment of the present invention.
    • Figure 23A shows a proximity head that may be utilized for vertical scanning of a wafer in accordance with one embodiment of the present invention.
    • Figure 23B shows a side view of the proximity head in accordance with one embodiment of the present invention.
    • Figure 23C shows an isometric view of the proximity head in accordance with one embodiment of the present invention.
    DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • An invention for methods and apparatuses for cleaning and/or drying a wafer is disclosed. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be understood, however, by one of ordinary skill in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
  • While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention.
  • Figures 2A through 2D below illustrate embodiments of an exemplary wafer processing system. It should be appreciated that the system is exemplary, and that any other suitable type of configuration that would enable movement of the proximity head(s) into close proximity to the wafer may be utilized. In the embodiments shown, the proximity head(s) may move in a linear fashion from a center portion of the wafer to the edge of the wafer. It should be appreciated that other embodiments may be utilized where the proximity head(s) move in a linear fashion from one edge of the wafer to another diametrically opposite edge of the wafer, or other non-linear movements may be utilized such as, for example, in a radial motion, in a spiral motion, in a zig-zag motion, etc. In addition, in one embodiment, the wafer may be rotated and the proximity head moved in a linear fashion so the proximity head may process all portions of the wafer. It should also be understood that other embodiments maybe utilized where the wafer is not rotated but the proximity head is configured to move over the wafer in a fashion that enables processing of all portions of the wafer. In addition, the proximity head and the wafer cleaning and drying system described herein may be utilized to clean and dry any shape and size of substrates such as for example, 200 mm wafers, 300 mm wafers, flat panels, etc. The wafer cleaning and drying system may be utilized for either or both cleaning and drying the wafer depending on the configuration of the system.
  • Figure 2A shows a wafer cleaning and drying system 100 in accordance with one embodiment of the present invention. The system 100 includes rollers 102a, 102b, and 102c, which may hold and rotate a wafer to enable wafer surfaces to be dried. The system 100 also includes proximity heads 106a and 106b that, in one embodiment, are attached to an upper arm 104a and to a lower arm 104b respectively. The upper arm 104a and the lower arm 104b are part of a proximity head carrier assembly 104 which enables substantially linear movement of the proximity heads 106a and 106b along a radius of the wafer.
  • In one embodiment the proximity head carrier assembly 104 is configured to hold the proximity head 106a above the wafer and the proximity head 106b below the wafer in close proximity to the wafer. This may be accomplished by having the upper arm 104a and the lower arm 104b be movable in a vertical manner so once the proximity heads are moved horizontally into a location to start wafer processing, the proximity heads 106a and 106b can be moved vertically to a position in close proximity to the wafer. The upper arm 104a and the lower arm 104b may be configured in any suitable way so the proximity heads 106a and 106b can be moved to enable wafer processing as described herein. It should be appreciated that the system 100 may be configured in any suitable manner as long as the proximity head(s) may be moved in close proximity to the wafer to generate and control a meniscus as discussed below in reference to Figures 6D through 8B. It should also be understood that close proximity may be any suitable distance from the wafer as long as a meniscus as discussed in further reference to Figure 6D through 8B may be maintained. In one embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.1 mm to about 10 mm from the wafer to initiate wafer processing operations. In a preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may each be moved to between about 0.5 mm to about 4.5 mm from the wafer to initiate wafer processing operations, and in more preferable embodiment, the proximity heads 106a and 106b (as well as any other proximity head described herein) may be moved to about 2 mm from the wafer to initiate wafer processing operations.
  • Figure 2B shows an alternate view of the wafer cleaning and drying system 100 in accordance with one embodiment of present invention. The system 100, in one embodiment, has the proximity head carrier assembly 104 that is configured to enable the proximity heads 106a and 106b to be moved from the center of the wafer towards the edge of the wafer. It should be appreciated that the proximity head carrier assembly 104 may be movable in any suitable manner that would enable movement of the proximity heads 106a and 106b to clean and/or dry the wafer as desired. In one embodiment, the proximity head carrier assembly 104 can be motorized to move the proximity head 106a and 106b from the center of the wafer to the edge of the wafer. It should be understood that although the wafer cleaning and drying system 100 is shown with the proximity heads 106a and 106b, that any suitable number of proximity heads may be utilized such as, for example, 1, 2, 3, 4, 5, 6, etc. The proximity heads 106a and/or 106b of the wafer cleaning and drying system 100 may also be any suitable size or shape as shown by, for example, any of the proximity heads as described herein. The different configurations described herein generate a fluid meniscus between the proximity head and the wafer. The fluid meniscus may be moved across the wafer to clean and dry the wafer by applying fluid to the wafer surface and removing the fluids from the surface. Therefore, the proximity heads 106a and 106b can have any numerous types of configurations as shown herein or other configurations that enable the processes described herein. It should also be appreciated that the system 100 may clean and dry one surface of the wafer or both the top surface and the bottom surface of the wafer.
  • In addition, besides cleaning or drying both the top and bottom surfaces and of the wafer, the system 100 may also be configured to clean one side of the wafer and dry another side of the wafer if desired by inputting and outputting different types of fluids. It should be appreciated that the system 100 may utilize the application of different chemicals top and bottom in the proximity heads 106a and 106b respectively depending on the operation desired. The proximity heads can be configured to clean and dry the bevel edge of the wafer in addition to cleaning and/or drying the top and/or bottom of the wafer. This can be accomplished by moving the meniscus off the edge the wafer which cleans the bevel edge. It should also be understood that the proximity heads 106a and 106b may be the same type of apparatus or different types of proximity heads.
  • Figure 2C illustrates a side close-up view of the wafer cleaning and drying system 100 holding a wafer 108 in accordance with one embodiment of the present invention. The wafer 108 may be held and rotated by the rollers 102a, 102b, and 102c in any suitable orientation as long as the orientation enables a desired proximity head to be in close proximity to a portion of the wafer 108 that is to be cleaned or dried. In one embodiment, the roller 102b may be rotated by using a spindle 111, and the roller 102c may held and rotated by a roller arm 109. The roller 102a may also be rotated by its own spindle (as shown in Figure 3B. In one embodiment, the rollers 102a, 102b, and 102c can rotate in a clockwise direction to rotate the wafer 108 in a counterclockwise direction. It should be understood that the rollers may be rotated in either a clockwise or a counterclockwise direction depending on the wafer rotation desired. In one embodiment, the rotation imparted on the wafer 108 by the rollers 102a, 102b, and 102c serves to move a wafer area that has not been processed into close proximity to the proximity heads 106a and 106b. However, the rotation itself does not dry the wafer or move fluid on the wafer surfaces towards the edge of the wafer. Therefore, in an exemplary drying operation, the wet areas of the wafer would be presented to the proximity heads 106a and 106b through both the linear motion of the proximity heads 106a and 106b and through the rotation of the wafer 108. The drying or cleaning operation itself is conducted by at least one of the proximity heads. Consequently, in one embodiment, a dry area of the wafer 108 would expand from a center region to the edge region of the wafer 108 in a spiral movement as a drying operation progresses. In a preferable embodiment, the dry are of the wafer 108 would move around the wafer 108 and the wafer 108 would be dry in one rotation (if the length of the proximity heads 106a and 106b are at least a radius of the wafer 108). By changing the configuration of the system 100 and the orientation of and movement of the proximity head 106a and/or the proximity head 106b, the drying movement may be changed to accommodate nearly any suitable type of drying path.
  • It should be understood that the proximity heads 106a and 106b may be configured to have at least one of first source inlet configured to input deionized water (DIW) (also known as a DIW inlet), at least one of a second source inlet configured to input isopropyl alcohol (IPA) in vapor form (also known as IPA inlet), and at least one source outlet configured to output fluids from a region between the wafer and a particular proximity head by applying vacuum (also known as vacuum outlet). It should be appreciated that the vacuum utilized herein may also be suction. In addition, other types of solutions may be inputted into the first source inlet and the second source inlet such as, for example, cleaning solutions, ammonia, HF, etc. It should be appreciated that although IPA vapor is used in some of the exemplary embodiments, any other type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, etc. that may be miscible with water.
  • In one embodiment, the at least one IPA vapor inlet is adjacent to the at least one vacuum outlet which is in turn adjacent to the at least one DIW inlet to form an IPA-vacuum-DIW orientation. It should be appreciated that other types of orientations such as IPA-DIW-vacuum, DIW-vacuum-IPA, vacuum-IPA-DIW, etc. may be utilized depending on the wafer processes desired and what type of wafer cleaning and drying mechanism is sought to be enhanced, In a preferable embodiment, the IPA-vacumn-DIW orientation may be utilized to intelligently and powerfully generate, control, and move the meniscus located between a proximity head and a wafer to clean and dry wafers. The DIW inlets, the IPA vapor inlets, and the vacuum outlets may be arranged in any suitable manner if the above orientation is maintained. For example, in addition to the IPA vapor inlet, the vacuum outlet, and the DIW inlet, in an additional embodiment, there may be additional sets of IPA vapor outlets, DIW inlets and/or vacuum outlets depending on the configuration of the proximity head desired. Therefore, another embodiment may utilize an IPA-vacuum-DIW-DIW-vacuum-IPA or other exemplary embodiments with an IPA source inlet, vacuum source outlet, and DIW source inlet configurations are described herein with a preferable embodiment being described in reference to Figure 6D. It should be appreciated that the exact configuration of the IPA-vacuum-DIW orientation may be varied depending on the application. For example, the distance between the IPA input, vacuum, and DIW input locations may be varied so the distances are consistent or so the distances are inconsistent. In addition, the distances between the IPA input, vacuum, and DIW output may differ in magnitude depending on the size, shape, and configuration of the proximity head 106a and the desired size of a process window as described in further detail in reference to Figure 10. In addition, as discussed in reference to Figure 10, the IPA-vacuum-DIW orientation is configured so a vacuum region substantially surrounds a DIW region and the IPA region substantially surrounds at least the trailing edge region of the vacuum region.
  • Figure 2D shows another side close-up view of the wafer cleaning and drying system 100 in accordance with one embodiment of the present invention. In this embodiment, the proximity heads 106a and 106b have been positioned in close proximity to a top surface 108a and a bottom surface 108b of the wafer 108 respectively by utilization of the proximity head carrier assembly 104. Once in this position, the proximity heads 106a and 106b may utilize the IPA and DIW source inlets and a vacuum source outlet(s) to generate wafer processing meniscuses in contact with the wafer 108 which are capable of removing fluids from a top surface 108a and a bottom surface 108b. The wafer processing meniscus may be generated in accordance with the descriptions in reference to Figures 6 through 9B where IPA vapor and DIW are inputted into the region between the wafer 108 and the proximity heads 106a and 106b. At substantially the same time the IPA and DIW is inputted, a vacuum may be applied in close proximity to the wafer surface to output the IPA vapor, the DIW, and the fluids that may be on a wafer surface. It should be appreciated that although IPA is utilized in the exemplary embodiment, any other suitable type of vapor may be utilized such as for example, nitrogen, any suitable alcohol vapor, organic compounds, hexanol, ethyl glycol, etc. that may be miscible with water. The portion of the DIW that is in the region between the proximity head and the wafer is the meniscus. It should be appreciated that as used herein, the term "output" can refer to the removal of fluid from a region between the wafer 108 and a particular proximity head, and the term "input" can be the introduction of fluid to the region between the wafer 108 and the particular proximity head.
  • In another exemplary embodiment, the proximity heads 106a and 106b may be moved in a manner so all parts of the wafer 108 are cleaned, dried, or both without the wafer 108 being rotated. In such an embodiment, the proximity head carrier assembly 104 may be configured to enable movement of the either one or both of the proximity heads 106a and 106b to close proximity of any suitable region of the wafer 108. In one embodiment, of the proximity heads are smaller in length than a radius of the wafer, the proximity heads may be configured to move in a spiral manner from the center to the edge of the wafer 108 or vice versa. In a preferable embodiment, when the proximity heads are larger in length than a radius of the wafer, the proximity heads 106a and 106b may be moved over the entire surface of the wafer in one rotation. In another embodiment, the proximity heads 104a and 104b may be configured to move in a linear fashion back and forth across the wafer 108 so all parts of the wafer surfaces 108a and/or 108b may be processed. In yet another embodiment, configurations as discussed below in reference to Figure 5C through 5H may be utilized. Consequently, countless different configurations of the system 100 may be utilized in order to obtain an optimization of the wafer processing operation.
  • Figure 3A shows a top view illustrating the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention. As described above in reference to Figures 2A to 2D, the upper arm 104a may be configured to move and hold the proximity head 106a in a position in close proximity over the wafer 108. The upper arm 104a may also be configured to move the proximity head 106a from a center portion of the wafer 108 towards the edge of the wafer 108 in a substantially linear fashion 113. Consequently, in one embodiment, as the wafer 108 moves as shown by rotation 112, the proximity head 106a is capable of removing a fluid film from the top surface 108a of the wafer 108 using a process described in further detail in reference to Figures 6 through 8. Therefore, the proximity head 106a may dry the wafer 108 in a substantially spiral path over the wafer 108. In another embodiment as shown in reference to Figure 3B, there may be a second proximity head located below the wafer 108 to remove a fluid film from the bottom surface 108b of the wafer 108.
  • Figure 3B illustrates a side view of the wafer cleaning and drying system 100 with dual proximity heads in accordance with one embodiment of the present invention. In this embodiment, the system 100 includes both the proximity head 106a capable of processing a top surface of the wafer 108 and the proximity head 106b capable of processing a bottom surface of the wafer 108. In one embodiment, spindles 111a and 111b along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may be presented to the proximity heads 106a and 106b for drying and/or cleaning. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a and 106b are brought to close proximity of the wafer surfaces 108a and 108b by the arms 104a and 104b respectively. Once the proximity heads 106a and 106b are brought into close proximity to the wafer 108, the wafer drying or cleaning may be begun. In operation, the proximity heads 106a and 106b may each remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as described in reference to Figure 6.
  • In one embodiment, by using the proximity heads 106a and 106b, the system 100 may dry a 200 mm wafer in less than 45 seconds. In another embodiment, where the proximity heads 106a and 106b are at least a radius of the wafer in length, the drying time for a wafer may be less than 30 seconds. It should be understood that drying or cleaning time may be decreased by increasing the speed at which the proximity heads 106a and 106b travels from the center of the wafer 108 to the edge of the wafer 108. In another embodiment, the proximity heads 106a and 106b may be utilized with a faster wafer rotation to dry the wafer 108 in less time. In yet another embodiment, the rotation of the wafer 108 and the movement of the proximity heads 106a and 106b may be adjusted in conjunction to obtain an optimal drying/cleaning speed. In one embodiment, the proximity heads 106a and 106b may move linearly from a center region of the wafer 108 to the edge of the wafer 108 at between about 0 mm per second to about 50 mm per second.
  • Figure 4A shows a top view of a wafer cleaning and drying system 100-1 which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the system 100-1 includes an upper arm 104a-1 and an upper arm 104a-2. As shown in Figure 4B, the system 100-1 also may include lower arm 104b-1 and lower arm 104b-2 connected to proximity heads 106b- and 106b-2 respectively. In the system 100-1, the proximity heads 106a-1 and 106a-2 (as well as 106b-1 and 106b-2 if top and bottom surface processing is being conducted) work in conjunction so, by having two proximity heads processing a particular surface of the wafer 108, drying time or cleaning time may be cut to about half of the time. Therefore, in operation, while the wafer 108 is rotated, the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 start processing the wafer 108 near the center of the wafer 108 and move outward toward the edge of the wafer 108 in a substantially linear fashion. In this way, as the rotation 112 of the wafer 108 brings all regions of the wafer 108 in proximity with the proximity heads so as to process all parts of the wafer 108. Therefore, with the linear movement of the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 and the rotational movement of the wafer 108, the wafer surface being dried moves in a spiral fashion from the center of the wafer 108 to the edge of the wafer 108.
  • In another embodiment, the proximity heads 106a-1 and 106b-1 may start processing the wafer 108 and after they have moved away from the center region of the wafer 108, the proximity heads 106a-2 and 106b-2 may be moved into place in the center region of the wafer 108 to augment in wafer processing operations. Therefore, the wafer processing time may be decreased significantly by using multiple proximity heads to process a particular wafer surface.
  • Figure 4B shows a side view of the wafer cleaning and drying system 100-1 which includes multiple proximity heads for a particular surface of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the system 100-1 includes both the proximity heads 106a-1 and 106a-2 that are capable of processing the top surface 108a of the wafer 108, and proximity heads 106b-1 and 106b-2 capable of processing the bottom surface 108b of the wafer 108. As in the system 100, the spindles 111a and 111b along with a roller arm 109 may rotate the rollers 102a, 102b, and 102c respectively. This rotation of the rollers 102a, 102b, and 102c may rotate the wafer 108 so substantially all surfaces of the wafer 108 may brought in close proximity to the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 for wafer processing operations.
  • In operation, each of the proximity heads 106a-1, 106a-2, 106b-1, and 106b-2 may remove fluids from the wafer 108 by applying IPA, deionized water and vacuum to the top surface and the bottom surface of the wafer 108 as shown, for example, in Figure 6 through 8. By having two proximity heads per wafer side, the wafer processing operation (i.e., cleaning and/or drying) may be accomplished in substantially less time. It should be appreciated that as with the wafer processing system described in reference to Figure 3A and 3B, the speed of the wafer rotation may be varied to any suitable speed as long as the configuration enables proper wafer processing. In one embodiment, the wafer processing time may be decreased when half a rotation of the wafer 108 is used to dry the entire wafer. In such an embodiment, the wafer processing speed may be about half of the processing speed when only one proximity head is utilized per wafer side.
  • Figure 5A shows a top view of a wafer cleaning and drying system 100-2 with a proximity head 106a-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-3 is held by an upper arm 104a-3 that extends across a diameter of the wafer 108. In this embodiment, the proximity head 106a-3 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-3 so the proximity head 106a-3 can be in a position that is in close proximity to the wafer 108. Once the proximity head 106a-3 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place.
  • Figure 5B shows a side view of a wafer cleaning and drying system 100-2 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which extends across a diameter of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-3 and the proximity head 106b-3 both are elongated to be able to span the diameter of the wafer 108. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a-3 and 106b-3 are brought to close proximity of the wafer surfaces 108a and 108b by the top arm 104a and a bottom arm 106b-3 respectively. Because the proximity heads 106a-3 and 106b-3 extend across the wafer 108, only half of a full rotation may be needed to clean/dry the wafer 108.
  • Figure 5C shows a top view of a wafer cleaning and drying system 100-3 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the wafer 108 may be held stationary by any suitable type of wafer holding device such as, for example, an edge grip, fingers with edge attachments, etc. The proximity head carrier assembly 104"' is configured to be movable from one edge of the wafer 108 across the diameter of the wafer 1 08 to an edge on the other side of the wafer 108 after crossing the entire wafer diameter. In this fashion, the proximity head 106a-3 and/or the proximity head 106b-3 (as shown below in reference to Figure 5D) may move across the wafer following a path along a diameter of the wafer 108 from one edge to an opposite edge. It should be appreciated that the proximity heads 106a-3 and/or 106b-3 may be move from any suitable manner that would enable moving from one edge of the wafer 108 to another diametrically opposite edge. In one embodiment, the proximity head 106a-3 and/or the proximity head 106b-3 may move in directions 121 (e.g., top to bottom or bottom to top of Figure 5C). Therefore, the wafer 108 may stay stationary without any rotation or movement and the proximity heads 106a-3 and/or the proximity head 106b-3 may move into close proximity of the wafer and, through one pass over the wafer 108, clean/dry the top and/or bottom surface of the wafer 108.
  • Figure 5D shows a side view of a wafer cleaning and drying system 100-3 with the proximity heads 106a-3 and 106b-3 in a horizontal configuration which is configured to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-3 is in a horizontal position with the wafer 108 also in a horizontal position. By use of the proximity head 106a-3 and the proximity head 106b-3 that spans at least the diameter of the wafer 108, the wafer 108 may be cleaned and/or dried in one pass by moving proximity heads 106a-3 and 106b-3 in the direction 121 as discussed in reference to Figure 5C.
  • Figure 5E shows a side view of a wafer cleaning and drying system 100-4 with the proximity heads 106a-3 and 106b-3 in a vertical configuration enabled to clean and/or dry the wafer 108 that is stationary in accordance with one embodiment of the present invention. In this embodiment, the proximity heads 106a-3 and 106b-3 are in a vertical configuration, and the proximity heads 106a-3 and 106b-3 are configured to move either from left to right, or from right to left, beginning from a first edge of the wafer 108 to a second edge of the wafer 108 that is diametrically opposite to the first edge. Therefore, in such as embodiment, the proximity head carrier assembly 104"' may move the proximity heads 104a-3 and 104b-3 in close proximity with the wafer 108 and also enable the movement of the proximity heads 104a-3 and 104b-3 across the wafer from one edge to another so the wafer 108 may be processed in one pass thereby decreasing the time to clean and/or dry the wafer 108.
  • Figure 5F shows an alternate side view of a wafer cleaning and drying system 100-4 that is shifted 90 degrees from the side view shown in Figure 5E in accordance with one embodiment of the present invention. It should be appreciated that the proximity head carrier assembly 104"' may be oriented in any suitable manner such as for example, having the proximity head carrier assembly 104"' rotated 180 degrees as compared with what is shown in Figure 5F.
  • Figure 5G shows a top view of a wafer cleaning and drying system 100-5 with a proximity head 106a-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106a-4 extends across less than a radius of a substrate being processed. In another embodiment, the proximity head 106a-4 may extend the radius of the substrate being processed. In a preferable embodiment, the proximity head 106a-4 extends over a radius of the wafer 108 so the proximity head may process both the center point of the wafer 108 as well as an edge of the wafer 108 so the proximity head 106a-4 can cover and process the center point of the wafer and the edge of the wafer. In this embodiment, the proximity head 106a-4 may be moved into a cleaning/drying position by a vertical movement of the upper arm 104a-4 so the proximity head 106a-4 can be in a position that is in close proximity to the wafer 108. Once the proximity head 106a-4 is in close proximity to the wafer 108, the wafer processing operation of a top surface of the wafer 108 can take place. Because, in one embodiment, the proximity head 106a-4 extends over the radius of the wafer, the wafer may be cleaned and/or dried in one rotation.
  • Figure 5H shows a side view of a wafer cleaning and drying system 100-5 with the proximity heads 106a-4 and 106b-4 in a horizontal configuration which extends across a radius of the wafer 108 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a-4 and the proximity head 106b-4 both are elongated to be able to extend over and beyond the radius of the wafer 108. As discussed in reference to Figure 5G, depending on the embodiment desired, the proximity head 106a-4 may extend less than a radius, exactly a radius, or greater than a radius of the wafer 108. In one embodiment, while the wafer 108 is being rotated, the proximity heads 106a-4 and 106b-4 are brought to close proximity of the wafer surfaces 108a and 1 08b by the top arm 104a and a bottom arm 106b-4 respectively. Because in one embodiment, the proximity heads 106a-4and 106b-4 extend across greater than the radius of the wafer 108, only a full rotation may be needed to clean/dry the wafer 108.
  • It should be understood that any of the systems 100, 100-1, 100-2, 100-3, 100-4, 100-5, and any suitable variant thereof, may be utilized as a cluster tool within a wafer processing system. A cluster tool is an apparatus that maybe incorporated into a frame assembly (such as those discussed in further detail in reference to Figures 17 through 21 below with other wafer processing equipment so multiple wafers and/or multiple types of wafer processing may be conducted in one system.
  • Figure 6A shows a proximity head inlet/outlet orientation 117 that maybe utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the orientation 117 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 117 shown. The orientation 117 may include a source inlet 306 on a leading edge 109 with a source outlet 304 in between the source inlet 306 and the source outlet 302.
  • Figure 6B shows another proximity head inlet/outlet orientation 119 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the orientation 119 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown. The orientation 119 may include a source outlet 304 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source inlet 306.
  • Figure 6C shows a further proximity head inlet/outlet orientation 121 that may be utilized to clean and dry the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the orientation 121 is a portion of a proximity head 106a where other source inlets 302 and 306 in addition to other source outlets 304 may be utilized in addition to the orientation 119 shown. The orientation 119 may include a source inlet 306 on a leading edge 109 with a source inlet 302 in between the source outlet 304 and the source outlet 306.
  • Figure 6D illustrates a preferable embodiment of a wafer drying process that may be conducted by a proximity head 106a in accordance with one embodiment of the present invention. Although Figure 6 shows a top surface 108a being dried, it should be appreciated that the wafer drying process may be accomplished in substantially the same way for the bottom surface 108b of the wafer 108. In one embodiment, a source inlet 302 may be utilized to apply isopropyl alcohol (IPA) vapor toward a top surface 108a of the wafer 108, and a source inlet 306 may be utilized to apply deionized water (DIW) toward the top surface 108a of the wafer 108. In addition, a source outlet 304 may be utilized to apply vacuum to a region in close proximity to the wafer surface to remove fluid or vapor that may located on or near the top surface 108a. It should be appreciated that any suitable combination of source inlets and source outlets may be utilized as long as at least one combination exists where at least one of the source inlet 302 is adjacent to at least one of the source outlet 304 which is in turn adjacent to at least one of the source inlet 306. The IPA may be in any suitable form such as, for example, IPA vapor where IPA in vapor form is inputted through use of a N2 gas. Moreover, although DIW is utilized herein, any other suitable fluid may be utilized that may enable or enhance the wafer processing such as, for example, water purified in other ways, cleaning fluids, etc. In one embodiment, an IPA inflow 310 is provided through the source inlet 302, a vacuum 312 may be applied through the source outlet 304 and DIW inflow 314 may be provided through the source inlet 306. Therefore, an embodiment of the IPA-vacuum-DIW orientation as described above in reference to Figure 2 is utilized. Consequently, if a fluid film resides on the wafer 108, a first fluid pressure may be applied to the wafer surface by the IPA inflow 310, a second fluid pressure may be applied to the wafer surface by the DIW inflow 314, and a third fluid pressure may be applied by the vacuum 312 to remove the DIW, IPA and the fluid film on the wafer surface.
  • Therefore, in one embodiment, as the DIW inflow 314 and the IPA inflow 310 is applied toward a wafer surface, any fluid on the wafer surface is intermixed with the DIW inflow 314. At this time, the DIW inflow 314 that is applied toward the wafer surface encounters the IPA inflow 310. The IPA forms an interface 118 (also known as an IPA/DIW interface 118) with the DIW inflow 314 and along with the vacuum 312 assists in the removal of the DIW inflow 314 along with any other fluid from the surface of the wafer 108. In one embodiment, the IPA/DIW interface 118 reduces the surface of tension of the DIW. In operation, the DIW is applied toward the wafer surface and almost immediately removed along with fluid on the wafer surface by the vacuum applied by the source outlet 304. The DIW that is applied toward the wafer surface and for a moment resides in the region between a proximity head and the wafer surface along with any fluid on the wafer surface forms a meniscus 116 where the borders of the meniscus 116 are the IPA/DIW interfaces 118. Therefore, the meniscus 116 is a constant flow of fluid being applied toward the surface and being removed at substantially the same time with any fluid on the wafer surface. The nearly immediate removal of the DIW from the wafer surface prevents the formation of fluid droplets on the region of the wafer surface being dried thereby reducing the possibility of contamination drying on the wafer 108. The pressure (which is caused by the flow rate of the IPA) of the downward injection of IPA also helps contain the meniscus 116.
  • The flow rate of the IPA assists in causing a shift or a push of water flow out of the region between the proximity head and the wafer surface and into the source outlets 304 through which the fluids may be outputted from the proximity head. Therefore, as the IPA and the DIW is pulled into the source outlets 304, the boundary making up the IPA/DIW interface 118 is not a continuous boundary because gas (e.g., air) is being pulled into the source outlets 304 along with the fluids. In one embodiment, as the vacuum from the source outlet 304 pulls the DIW, IPA, and the fluid on the wafer surface, the flow into the source outlet 304 is discontinuous. This flow discontinuity is analogous to fluid and gas being pulled up through a straw when a vacuum is exerted on combination of fluid and gas. Consequently, as the proximity head 106a moves, the meniscus moves along with the proximity head, and the region previously occupied by the meniscus has been dried due to the movement of the IPA/DIW interface 118. It should also be understood that the any suitable number of source inlets 302, source outlets 304 and source inlets 306 may be utilized depending on the configuration of the apparatus and the meniscus size and shape desired. In another embodiment, the liquid flow rates and the vacuum flow rates are such that the total liquid flow into the vacuum outlet is continuous, so no gas flows into the vacuum outlet.
  • It should be appreciated any suitable flow rate may be utilized for the IPA, DIW, and vacuum as long as the meniscus 116 can be maintained. In one embodiment, the flow rate of the DIW through a set of the source inlets 306 is between about 25 ml per minute to about 3,000 ml per minute. In a preferable embodiment, the flow rate of the DIW through the set of the source inlets 306 is about 400 ml per minute. It should be understood that the flow rate of fluids may vary depending on the size of the proximity head. In one embodiment a larger head may have a greater rate of fluid flow than smaller proximity heads. This may occur because larger proximity heads, in one embodiment, have more source inlets 302 and 306 and source outlets 304 More flow for larger head.
  • In one embodiment, the flow rate of the IPA vapor through a set of the source inlets 302 is between about 1 standard cubic feet per hour (SCFH) to about 100 SCFH. In a preferable embodiment, the IPA flow rate is between about 5 and 50 SCFM.
  • In one embodiment, the flow rate for the vacuum through a set of the source outlets 304 is between about 10 standard cubic feet per hour (SCFH) to about 1250 SCFH. In a preferable embodiment, the flow rate for a vacuum though the set of the source outlets 304 is about 350 SCFH. In an exemplary embodiment, a flow meter may be utilized to measure the flow rate of the IPA, DIW, and the vacuum.
  • Figure 6E shows another wafer drying process using another source inlet/outlet orientation that may be conducted by a proximity head 106a in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106a may be moved over the top surface 108a of the wafer 108 so the meniscus may be moved along the wafer surface 108a. The meniscus applies fluid to the wafer surface and removes fluid from the wafer surface thereby cleaning and drying the wafer simultaneously. In this embodiment, the source inlet 306 applies a DIW flow 314 toward the wafer surface 108a, the source inlet 302 applies IPA flow 310 toward the wafer surface 108a, and the source outlet 312 removes fluid from the wafer surface 108a. It should be appreciated that in this embodiment as well as other embodiments of the proximity head 106a described herein, additional numbers and types of source inlets and source outlets may be used in conjunction with the orientation of the source inlets 302 and 306 and the source outlets 304 shown in Figure 6E. In addition, in this embodiment as well as other proximity head embodiments, by controlling the amount of flow of fluids onto the wafer surface 108a and by controlling the vacuum applied, the meniscus may be managed and controlled in any suitable manner. For example, in one embodiment, by increasing the DIW flow 314 and/or decreasing the vacuum 312, the outflow through the source outlet 304 may be nearly all DIW and the fluids being removed from the wafer surface 108a. In another embodiment, by decreasing the DIW flow 314 and/or increasing the vacuum 312, the outflow through the source outlet 304 maybe substantially a combination of DIW and air as well as fluids being removed from the wafer surface 108a.
  • Figure 6F shows another source inlet and outlet orientation where an additional source outlet 307 may be utilized to input an additional fluid in accordance with one embodiment of the present invention. The orientation of inlets and outlets as shown in Figure 6E is the orientation described in further detail in reference to Figure 6D except the additional source outlet 307 is included adjacent to the source inlet 306 on a side opposite that of the source outlet 304. In such an embodiment, DIW may be inputted through the source inlet 306 while a different solution such as, for example, a cleaning solution may be inputted through the source inlet 307. Therefore, a cleaning solution flow 315 may be utilized to enhance cleaning of the wafer 108 while at substantially the same time drying the top surface 108a of the wafer 108.
  • Figure 7A illustrates a proximity head 106 performing a drying operation in accordance with one embodiment of the present invention. The proximity head 106, in one embodiment, moves while in close proximity to the top surface 108a of the wafer 108 to conduct a cleaning and/or drying operation. It should be appreciated that the proximity head 106 may also be utilized to process (e.g., clean, dry, etc.) the bottom surface 108b of the wafer 108. In one embodiment, the wafer 108 is rotating so the proximity head 106 may be moved in a linear fashion along the head motion while fluid is removed from the top surface 108a. By applying the IPA 310 through the source inlet 302, the vacuum 312 through source outlet 304, and the deionized water 314 through the source inlet 306, the meniscus 116 as discussed in reference to Figure 6 maybe generated.
  • Figure 7B shows a top view of a portion of a proximity head 106 in accordance with one embodiment of the present invention. In the top view of one embodiment, from left to right are a set of the source inlet 302, a set of the source outlet 304, a set of the source inlet 306, a set of the source outlet 304, and a set of the source inlet 302. Therefore, as IPA and DIW are inputted into the region between the proximity head 106 and the wafer 108, the vacuum removes the IPA and the DIW along with any fluid film that may reside on the wafer 108. The source inlets 302, the source inlets 306, and the source outlets 304 described herein may also be any suitable type of geometry such as for example, circular opening, square opening, etc. In one embodiment, the source inlets 302 and 306 and the source outlets 304 have circular openings.
  • Figure 7C illustrates a proximity head 106 with angled source inlets 302' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the source outlet(s) 304 described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process. In one embodiment, the angled source inlets 302' that input IPA vapor onto the wafer 108 is angled toward the source inlets 306 such that the IPA vapor flow is directed to contain the meniscus 116.
  • Figure 7D illustrates a proximity head 106 with angled source inlets 302' and angled source outlets 304' performing a drying operation in accordance with one embodiment of the present invention. It should be appreciated that the source inlets 302' and 306 and the angled source outlet(s) 304' described herein may be angled in any suitable way to optimize the wafer cleaning and/or drying process.
  • In one embodiment, the angled source inlets 302' that input IPA vapor onto the wafer 108 is angled at an angle θ500 toward the source inlets 306 such that the IPA vapor flow is directed to contain the meniscus 116. The angled source outlet 304' may, in one embodiment, be angled at an angle θ500 towards the meniscus 116. It should be appreciated that the angle θ500 and the angle θ502 may be any suitable angle that would optimize the management and control of the meniscus 116. In one embodiment, the angle θ500 is greater than 0 degrees and less than 90 degrees, and the angle θ502 is greater than 0 degrees and less than 90 degrees. In a preferable embodiment, the angle θ500 is about 15 degrees, and in another preferable embodiment, the angle angled at an angle θ502 is about 15 degrees. The angle θ500 and the angle θ502 adjusted in any suitable manner to optimize meniscus management. In one embodiment, the angle θ500 and the angle θ502 may be the same, and in another embodiment, the angle θ500 and the angle θ502 may be different. By angling the angled source inlet(s) 302' and/or angling the angled source outlet(s) 304', the border of the meniscus may be more clearly defined and therefore control the drying and/or cleaning the surface being processed.
  • Figure 8A illustrates a side view of the proximity heads 106 and 106b for use in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention. In this embodiment, by usage of source inlets 302 and 306 to input IPA and DEW respectively along with the source outlet 304 to provide a vacuum, the meniscus 116 may be generated. In addition, on the side of the source inlet 306 opposite that of the source inlet 302, there may be a source outlet 304 to remove DIW and to keep the meniscus 116 intact. As discussed above, in one embodiment, the source inlets 302 and 306 may be utilized for IPA inflow 310 and DIW inflow 314 respectively while the source outlet 304 may be utilized to apply vacuum 312. It should be appreciated that any suitable configuration of source inlets 302, source outlets 304 and source inlets 306 may be utilized. For example, the proximity heads 106 and 106b may have a configuration of source inlets and source outlets like the configuration described above in reference to Figure 7A and 7B. In addition, in yet more embodiments, the proximity heads 106 and 106b may be of a configuration as shown below in reference to Figures 9 through 15. Any suitable surface coming into contact with the meniscus 116 may be dried by the movement of the meniscus 116 into and away from the surface.
  • Figure 8B shows the proximity heads 106 and 106b in a dual wafer surface cleaning and drying system in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106 processes the top surface 108a of the wafer 108, and the proximity head 106b processes the bottom surface of 108b of the wafer 108. By the inputting of the IPA and the DIW by the source inlets 302 and 306 respectively, and by use of the vacuum from the source outlet 304, the meniscus 116 may be formed between the proximity head 106 and the wafer 108 and between the proximity head 106b and the wafer 108. The proximity heads 106 and 106b, and therefore the meniscus 116, may be moved over the wet areas of the wafer surface in an manner so the entire wafer 108 can be dried.
  • Figure 9A illustrates a processing window 538-1 in accordance with one embodiment of the present invention. In one embodiment, the processing window 538-1 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304. The processing window 538-1 is a region on a proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-1 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner. In one embodiment, the processing window 538-1 is a substantially rectangular shape. It should be appreciated that the size of the processing window 538-1 (or any other suitable processing window described herein) may be any suitable length and width (as seen from a top view).
  • Figure 9B illustrates a substantially circular processing window 538-2 in accordance with one embodiment of the present invention. In one embodiment, the processing window 538-2 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304. The processing window 538-2 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-2 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner. In one embodiment, the processing window 538-2 is a substantially circular shape.
  • Figure 9C illustrates a processing window 538-3 in accordance with one embodiment of the present invention. In one embodiment, the processing window 538-3 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304. The processing window 538-3 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-3 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner. In one embodiment, the processing window 538-3 is a substantially oval in shape.
  • Figure 9D illustrates a processing window 538-4 in accordance with one embodiment of the present invention. In one embodiment, the processing window 538-4 may include a plurality of source inlets 302 and 306 and also a plurality of source outlets 304. The processing window 538-4 is a region on the proximity head 106 (or any other proximity head referenced herein) that may generate and control the meniscus 116. Therefore, the processing window 538-4 may be a region that dries and/or cleans a wafer if the proximity head 106 is desired to be used in that manner. In one embodiment, the processing window 538-4 is a substantially square shape.
  • Figure 10A shows an exemplary process window 538-1 with the plurality of source inlets 302 and 306 as well as the plurality of source outlets 304 in accordance with one embodiment of the present invention. In one embodiment, the process window 538-1 in operation may be moved in direction 546 across a wafer during, for example, a wafer drying operation. In such an embodiment, a proximity head 106 may encounter fluids on a wafer surface on a leading edge region 548. The leading edge region 548 is an area of the proximity head 106 that, in a drying process, encounters fluids first. Conversely a trailing edge region 560 is an area of the proximity head 106 that encounters the area being processed last. As the proximity head 106 and the process window 538-1 included therein move across the wafer in the direction 546, the wet area of the wafer surface enter the process window 538-1 through the leading edge region 548. Then after processing of the wet region of the wafer surface by the meniscus that is generated and controllably maintained and managed by the process window 538-1, the wet region is dried and the dried region of the wafer (or substrate) leaves the process window 538-1 through a trailing edge region 560 of the proximity head 106. As discussed in reference to Figures 9A through 9D, the process window 538-1 may be any suitable shape such as, for example, rectangular, square, circular, oval, semi-circular, etc.
  • Figure 10B shows processing regions 540, 542, and 544 of a proximity head 106 in accordance with one embodiment of the present invention. In one embodiment, the processing regions 540, 542, and 544 (the regions being shown by the broken lines) make up the processing window as discussed in reference to Figure 10A. It should be appreciated that the processing regions 540, 542, and 544 may be any suitable size and/or shape such as, for example, circular, ring, semi-circular, square, semi-square, free form, etc. as long as a stable and controllable fluid meniscus can be generated that can apply and remove fluids from a surface in an efficient manner. In one embodiment, the processing region 540 includes the plurality of source inlets 302, the processing region 542 (also known as a vacuum ring) includes the plurality of source outlets 304, and the processing region 544 includes the plurality of source inlets 306. In a preferable embodiment, the region 542 surrounds (or substantially surrounds) the region 544 with a ring of source outlets 304 (e.g., a vacuum ring), The region 540 substantially surrounds the region 544 but has an opening 541 where there are no source inlets 302 exist on a leading edge side of the process window 538-1.
  • Therefore, in operation, the proximity head 106 generates a fluid meniscus by application of IPA, DIW, and vacuum, in the regions 540, 542, and 544 in the process window 538 (as shown in Figure 10A). When the proximity head 106 is moving over the wafer surface in an exemplary drying operation, the wafer surface that moves through the opening 541 in the region 542 and contacts the meniscus 116 within the process window 538 is dried. The drying occurs because fluid that is on that portion of the wafer surface that contacts the meniscus 116 is removed as the meniscus moves over the surface. Therefore, wet surfaces of a wafer may enter the process window 538 through the opening 541 in the region 540 and by contacting the fluid meniscus may undergo a drying process.
  • It should be appreciated that although the plurality of source inlets 302, the plurality of source inlets 306, and the plurality of source outlets 304 are shown in this embodiment, other embodiments may be utilized where any suitable number of the source inlets 302, the source inlets 306, and the source outlets 304 may be utilized as long as the configuration and number of the plurality of source inlets 302, the source inlets 306, and the source outlets 306 may generate a stable, controllable fluid meniscus that can dry a surface of a substrate. It should be understood that any suitable type of substrate such as, for example, a semiconductor wafer may be processed by the apparatuses and methodology described herein.
  • Figures 11 through 14 illustrate exemplary embodiments of the proximity head 106. It should be appreciated any of the different embodiments of the proximity head 106 described may be used as one or both of the proximity heads 106a and 106b described above in reference to Figures 2A through 5H. As shown by the exemplary figures that follow, the proximity head may be any suitable configuration or size that may enable the fluid removal process as described in Figures 6 to 10. Therefore, any, some, or all of the proximity heads described herein may be utilized in any suitable wafer cleaning and drying system such as, for example, the system 100 or a variant thereof as described in reference to Figures 2A to 2D. In addition, the proximity head may also have any suitable numbers or shapes of source outlets 304 and source inlets 302 and 306. It should be appreciated that the side of the proximity heads shown from a top view is the side that comes into close proximity with the wafer to conduct wafer processing. All of the proximity heads described in Figures 11 through 14 are manifolds that enable usage of the IPA-vacuum-DIW orientation in a process window or a variant thereof as described above in reference to Figures 2 through 10. The embodiments of the proximity head 106 as described below in reference to Figures 11 through 14 all have embodiments of the process window 538, and regions 540, 542, and 544 as described in reference to Figures 9A through 10B above. In addition, the proximity heads described herein may be utilized for either cleaning or drying operations depending on the fluid that is inputted and outputted from the source inlets 302 and 306, and the source outlets 304. In addition, the proximity heads described herein may have multiple inlet lines and multiple outlet lines with the ability to control the relative flow rates of liquid and/or vapor and/or gas through the outlets and inlets. It should be appreciated that every group of source inlets and source outlets can have independent control of the flows.
  • It should be appreciated that the size as well as the locations of the source inlets and outlets may be varied as long as the meniscus produced is stable. In one embodiment, the size of the openings to source inlets 302, source outlets 304, and source inlets 306 are between about 0.02 inch and about 0.25 inch in diameter. In a preferable embodiment, the size of the openings of the source inlets 306 and the source outlets 304 is about 0.06 inch, and the size of the openings of the source inlets 302 is about 0.03 inch.
  • In one embodiment the source inlets 302 and 306 in addition to the source outlets 304 are spaced about 0.03 inch and about 0.5 inch apart. In a preferable embodiment, the source inlets 306 are spaced 0.125 inch apart from each other and the source outlets 304 are spaced 0.125 inch apart and the source inlets 302 are spaced about 0.06 inch apart.
  • Additionally, the proximity heads may not necessarily be a "head" in configuration but may be any suitable configuration, shape, and/or size such as, for example, a manifold, a circular puck, a bar, a square, an oval puck, a tube, a plate etc., as long as the source inlets 302, and 306, and the source outlets 304 may be configured in a manner that would enable the generation of a controlled, stable, manageable fluid meniscus. In a preferable embodiment, the proximity head may be a type of manifold as described in reference to Figures 10A through 14C. The size of the proximity heads may be varied to any suitable size depending on the application desired. In one embodiment, the length (from a top view showing the process window) of the proximity heads may be between 1.0 inch to about 18.0 inches and the width (from a top view showing the process window) may be between about 0.5 to about 6.0 inches. Also when the proximity head may be optimized to process any suitable size of wafers such as, for example, 200mm wafers, 300, wafers, etc. The process windows of the proximity heads may be arranged in any suitable manner as long as such a configuration may generate a controlled stable and manageable fluid meniscus.
  • Figure 11A shows a top view of a proximity head 106-1 with a substantially rectangular shape in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-1 includes three of the source inlets 302 which, in one embodiment, applies IPA to a surface of the wafer 108.
  • In this embodiment, the source inlets 302 are capable of applying IPA toward a wafer surface region, the source inlets 306 are capable of applying DIW toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • The proximity head 106-1 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-1.
  • It should be appreciated that the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306. The embodiments of the ports 342a, 342b, and 342c described herein may be applicable to any of the proximity heads described herein. In one embodiment, the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • Figure 11B illustrates a side view of the proximity head 106-1 in accordance with one embodiment of present invention. The proximity head 106-1 includes the ports 342a, 342b, and 342c. In one embodiment, the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively. It should be understood that the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 11C shows a rear view of the proximity head 106-1 in accordance with one embodiment of the present invention. The rear view of the proximity head 106-1, in one embodiment, corresponds to the leading edge 548 of the proximity head 106-1. It should be appreciated that the proximity head 106-1 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein. In one embodiment, the proximity head 106-1 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 11C.
  • Figure 12A shows a proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-2 includes one row of source inlets 306 that is adjacent on both sides to rows of source outlets 304. One of the rows of source outlets 304 is adjacent to two rows of source inlets 302. Perpendicular to and at the ends of the rows described above are rows of source outlets 304.
  • Figure 12B shows a side view of the proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-2 includes ports 342a, 342b, and 342c on a side of the proximity head 106-2. The ports 342a, 342b, and 342c may be utilized to input and/or output fluids through the source inlets 302 and 306 and the source outlets 304. In one embodiment, the ports 342a, 342b, and 342c correspond to the source inlets 302, the source outlets 304, and the source inlets 306 respectively.
  • Figure 12C shows a back view of the proximity head 106-2 with a partial rectangular and partial circular shape in accordance with one embodiment of the present invention. The back side as shown by the rear view is where the back side is the square end of the proximity head 106-2.
  • Figure 13A shows a rectangular proximity head 106-3 in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-3 includes a configuration of source inlets 302 and 306 and source outlets 304' that is similar to the proximity head 106-1 as discussed in reference to Figure 11A. The rectangular proximity head 106-3 includes the source outlets 304' that are larger in diameter than the source outlets 304. In any of the proximity heads described herein, the diameter of the source inlets 302 and 306 as well as the source outlets 304 may be altered so meniscus generation, maintenance, and management may be optimized. In this embodiment, the source inlets 302 are capable of applying IPA toward a wafer surface region, the source inlets 306 are capable of applying DIW toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • The proximity head 106-3 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-1 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-1.
  • It should be appreciated that the ports 342a, 342b, and 342c for any of the proximity heads described herein may be any suitable orientation and dimension as long as a stable meniscus can be generated and maintained by the source inlets 302, source outlets 304, and source inlets 306. The embodiments of the ports 342a, 342b, and 342c described in relation to the proximity head 106-1 may be applicable to any of the proximity heads described in reference to the other Figures. In one embodiment, the port size of the ports 342a, 342b, and 342c may be between about 0.03 inch and about 0.25 inch in diameter. In a preferable embodiment, the port size is about 0.06 inch to 0.18 inch in diameter. In one embodiment, the distance between the ports is between about 0.125 inch and about 1 inch apart. In a preferable embodiment, the distance between the ports is between about 0.25 inch and about 0.37 inch apart.
  • Figure 13B shows a rear view of the proximity head 106-3 in accordance with one embodiment of the present invention. The rear view of the proximity head 106-3, in one embodiment, corresponds to the leading edge 548 of the proximity head 106-3. It should be appreciated that the proximity head 106-3 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein. In one embodiment, the proximity head 106-3 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 13A.
  • Figure 13C illustrates a side view of the proximity head 106-3 in accordance with one embodiment of present invention. The proximity head 106-3 includes the ports 342a, 342b, and 342c. In one embodiment, the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively. It should be understood that the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 14A shows a rectangular proximity head 106-4 in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-4 includes a configuration of source inlets 302 and 306 and source outlets 304' that is similar to the proximity head 106-3 as discussed in reference to Figure 13A. The rectangular proximity head 106-3 includes the source outlets 304' that are larger in diameter than the source outlets 304. In any of the proximity heads described herein, the diameter of the source inlets 302 and 306 as well as the source outlets 304 may be altered so meniscus generation, maintenance, and management may be optimized. In one embodiment, the source outlets 304' are located closer to the source inlets 302 than the configuration discussed in reference to Figure 13A. With this type of configuration, a smaller meniscus may be generated. The region spanned by the source inlets 302, 306 and source outlets 304' (or also source outlets 304 as described in reference to Figure 11A) may be any suitable size and/or shape. In one embodiment, the process window may be between about 0.03 to about 9.0 square inches. In a preferable embodiment, the process window may be about 0.75 inch. Therefore, by adjusting the region of the In this embodiment, the source inlets 302 are capable of applying IPA toward a wafer surface region, the source inlets 306 are capable of applying DIW toward the wafer surface region, and the source outlets 304 are capable of applying vacuum to a region in close proximity of a surface of the wafer 108. By the application of the vacuum, the IPA, DIW, and any other type of fluids that may reside on a wafer surface may be removed.
  • The proximity head 106-3 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed by the process window between the proximity head 106-1 and the wafer 108. The shape of the meniscus 116 may correspond with the shape of the process window and therefore the size and shape of the meniscus 116 may be varied depending on the configuration and dimensions of the regions of source inlets 302 and 306 and regions of the source outlets 304.
  • Figure 14B shows a rear view of the rectangular proximity head 106-4 in accordance with one embodiment of the present invention. The rear view of the proximity head 106-4, in one embodiment, corresponds to the leading edge 548 of the proximity head 106-4. It should be appreciated that the proximity head 106-4 is exemplary in nature and may be any suitable dimension as long as the source inlets 302 and 306 as well as the source outlet 304 are configured in a manner to enable cleaning and/or drying of the wafer 108 in the manner described herein. In one embodiment, the proximity head 106-4 includes the input ports 342c which may feed fluid to at least some of the source inlets 302a which run parallel to the input ports 342c shown in Figure 13A.
  • Figure 14C illustrates a side view of the rectangular proximity head 106-4 in accordance with one embodiment of present invention. The proximity head 106-4 includes the ports 342a, 342b, and 342c. In one embodiment, the ports 342a, 342b, and 342c feed source inlets 302, source outlets 304, and the source inlets 306 respectively. It should be understood that the ports may be any suitable number, size, or shape as long as the source inlets 302 and 306 as well as source outlets 304 may be utilized to generate, maintain, and manage the meniscus 116.
  • Figure 15A shows a proximity head 106 in operation according to one embodiment of the present invention. It should be appreciated that the flow rate of the DIW and the IPA, the magnitude of the vacuum, and rotation/movement of the wafer being processed may be varied in any suitable manner to provide optimal fluid meniscus controllability and management to generate enhanced wafer processing. The proximity head 106, in one exemplary embodiment, is utilized in a configuration as described in reference to Figure 2A. As shown in reference to Figures 15A through 15F, the wafer is a clear material so fluid meniscus dynamics can be seen with different flow rates, vacuum rates, and wafer rotations. The flow rate of DIW and IPA as well as the vacuum and rotation of the wafer may be varied depending on the conditions encountered during drying. In Figure 15A, the meniscus has been formed by input of DIW and vacuum without any IPA flow. Without the IPA flow, the meniscus has an uneven boundary. In this embodiment, the wafer rotation is zero and the DIW flow rate is 500 ml/min.
  • Figure 15B illustrates the proximity head 106 as described in Figure 15A with IPA input in accordance with one embodiment of the present invention. In this embodiment, the DIW flow rate is 500 ml/min and the IPA flow rate is 12 ml/min with the rotation of the wafer being zero. As shown by Figure 15B, the usage of IPA flow has made the boundary of the meniscus more even. Therefore, the fluid meniscus is more stable and controllable.
  • Figure 15C shows the proximity head 106 as described in Figure 15B, but with the IPA flow increased to 24 ml/min in accordance with one embodiment of the present invention. The rotation has been kept at zero and the flow rate of the DIW is 500 ml/min. When the IPA flow rate is too high, the fluid meniscus becomes deformed and less controllable.
  • Figure 15D shows the proximity head 106 where the fluid meniscus is shown where the wafer is being rotated in accordance with one embodiment of the present invention. In this embodiment, the rotation of the wafer is 10 rotations per minute. The flow rate of the DIW is 500 ml/min while the flow rate of the IPA is 12 SCFH. The magnitude of the vacuum is about 30 in Hg@ 80 PSIG. When the wafer is rotated, the fluid meniscus becomes less stable due to the added wafer dynamics as compared with Figure 15C which shows the same DIW and IPA flow rate but without wafer rotation. Figure 15E shows the proximity head 106 where the fluid meniscus is shown where the wafer is being rotated faster than the rotation shown in Figure 15D in accordance with one embodiment of the present invention. In this embodiment, the rotation of the wafer is 15 rotations per minute. The flow rate of the DIW is 500 ml/min while the flow rate of the IPA is 12 SCFH. The magnitude of the vacuum is about 30 in HG@ 80 PSIG. When the wafer is rotated faster, the fluid meniscus has a more uneven boundary as compared to the fluid meniscus discussed in reference to Figure 15D due to the added wafer dynamics as compared.
  • Figure 15F shows the proximity head 106 where the IPA flow has been increased as compared to the IPA flow of Figure 15D in accordance with one embodiment of the present invention. In this embodiment, the variables such as the DIW flow rate, rate of wafer rotation, and vacuum magnitude are the same as that described in reference toFigure 15D. In this embodiment, the IPA flow rate was increased to 24 SCFH. With the IPA flow rate increased, the IPA holds the fluid meniscus along the border to generate a highly controllable and manageable fluid meniscus. Therefore, even with wafer rotation, the fluid meniscus looks stable with a consistent border that substantially corresponds to the region with the plurality of source inlets 302 and the region with the plurality of source outlets 304. Therefore, a stable and highly controllable, manageable, and maneuverable fluid meniscus is formed inside of the process window so, in an exemplary drying process, fluid that the proximity head 106 may encounter on a wafer surface is removed thereby quickly and efficiently drying the wafer surface.
  • Figure 16A shows a top view of a cleaning/drying system 602 in accordance with one embodiment of the present invention. It should be appreciated that any of the embodiments of the drying system 100 (e.g., cleaning systems 100-1, 100-2, 100-3, 100-4, and 100-5) described herein with the any of the embodiments of the proximity head 106 described in Figures 2A to 15F herein may be utilized in conjunction with other wafer processing technologies to generate an integrated system such as, for example, those described in Figure 16A through 20 below. In one embodiment, the cleaning and drying system 100 may be incorporated into a 2300 Brush Box Assembly manufactured by Lam Research of Fremont, California.
  • In one embodiment, the cleaning/drying system 602 is the cleaning and drying system 100-5 described above in reference to Figures 5G and 5H with a brush core 604 and a spray manifold 606. In such an embodiment, when one of the cleaning and drying systems 100 are utilized in conjunction with a different wafer processing apparatus, the cleaning and drying systems (or components therein) may also be known as a wafer drying insert. It should be understood that the brush may be made out of any suitable material that may effectively clean a substrate such as, for example, polyvinyl alcohol (PVA), rubber, urethane, etc. In one embodiment, a brush such, as for example a polyvinyl alcohol (PVA) brush may be applied over the brush core 604. The brush core 604 may be any suitable brush core configuration such as, for example, those known to those skilled in the art. Therefore, when the brush core 604 rotates, the brush on the brush core 604 may be applied to the wafer 102 to clean the surface of the wafer after wafer processing such as, for example, etching, planarization, etc.
  • In one embodiment, after the wafer 102 is cleaned by the brush, the wafer 102 does not have to be taken out of the cleaning/drying 602 (also known as a cleaning/drying module) for drying. Therefore, after wafer cleaning, the wafer 102 may be dried as discussed above in reference to Figures 2A through 15C above. In this fashion, time may be saved by having two wafer process operation in one module and chances for contamination are reduced because the wafer 102 does not have to be taken to a different module for cleaning.
  • Figure 16B shows an alternative view of the cleaning/drying system 602 in accordance with one embodiment of the present invention. The cleaning/drying system 602 may be a module(s) (e.g., cluster tool) in a variety of wafer processing systems as discussed below in reference to Figure 17 though 21. By having both a cleaning system and a drying system in one module, space may be saved and the wafer processing system may be made smaller and more compact while retaining substantially the same functionality.
  • Figure 17 illustrates a wafer processing system 700 with front end frame assembly 705 with a drying module 704 in accordance with one embodiment of the present invention. The drying module 704 maybe any of the systems 100, 100-1, 100-2, 100-3, 100-4, 100-5, and any suitable variant thereof. It should be appreciated that any suitable number of drying modules 704 such as, for example, 1,2, 3, 4, 5, 6, 7, 8, 9, 10, etc. may be connected to the front end frame assembly 705 to generate the wafer processing system 700 with varying levels of wafer processing capabilities. It should also be understood that any other types of wafer processing tools may be connected to the front end frame assembly 705 such as, for example, a planarization tool/nmodule, etching tool/module, cleaning tool/module, etc.
  • In one embodiment, the wafer processing system 700 includes 6 drying modules 704 and also has a robot 712 that may feed and remove wafers into and out of the drying modules 704. The robot 712 may also be configured to feed and remove wafers into and out of the front end loaders 710. It should be understood that any suitable number and types of robots 712 may be utilized as well as any suitable number and types of front end loaders 710. In one embodiment, the front end loaders 710 may receive a cartridge full of wafers which require processing by the wafer processing system 700.
  • Figure 18 shows a wafer processing system 800 which has multiple wafer processing tools in accordance with one embodiment of the present invention. In one embodiment, the wafer processing system 800 includes an etching module 722, the drying module 704, the front end loader 710, and the robot 712 located on a frame assembly 720. The wafer processing system 700 as with the wafer processing system 800 may have any suitable number and any suitable types of modules/tools such as, CMP modules, megasonic processing modules, cleaning modules, and etching modules. Therefore an apparatus such as, for example, the wafer processing system 800 with different substrate/wafer processing modules may, in one embodiment, be called a cluster architecture system. In one embodiment, a drying system as described herein may be an integrated drying system when integrated with other modules to form the cluster architecture system. In an alternative embodiment, the wafer processing system 800 may have the etching module 722, the drying module 704, and a cleaning module. In one embodiment, the wafer processing system 700 may include three of the etching modules 622, and 6 of the drying modules 704. When multiple wafer processing occurs, this may be known as cluster processing. It should also be appreciated that any or all of the drying modules 704 may be replaced with a module containing the cleaning/drying system 602 so both cleaning and drying may be accomplished in the same module.
  • Figure 19 shows a wafer processing system 800' without the etching module 722 in accordance with one embodiment of the present invention. In one embodiment, the wafer processing system 800 has the frame 720 containing a plurality of the drying modules 704. The wafer processing system 800' may contain any suitable number of drying modules 704. In one embodiment, the wafer processing system 800' includes 8 of the drying modules 704. The wafer 102 is shown being loaded into the wafer processing system 800 through use of the front end loader 710. The robot 712 may take the wafer from the front end loader 710 and load the wafer 102 into any one of the plurality of drying modules 704. In this embodiment, the etching module 722 shown above in reference to Figure 18 has been removed to generate space to add more drying modules 704. In addition, the drying modules 704 may include the cleaning and drying system 602 described in further detail in reference to Figure 16A. In this way both drying and cleaning may be accomplished within one module.
  • Figure 20 illustrates a wafer processing system 800" which includes a drying module 704 and a cleaning module 850 in accordance with one embodiment of the present invention. In one embodiment, the wafer processing system 800" can include a separate cleaning module such as, for example, the cleaning module 850. It should be appreciated that any suitable number and/or types of cleaning apparatuses may be utilized within the wafer processing system 800", such as a brush box (or wafer brush scrubbing units), megasonic cleaning device, etc. In one embodiment, the cleaning module 850 may be a brush box. The brush box may be any suitable type of brush box that can effectively clean wafers such as known to those skilled in the art.
  • In yet another embodiment, the wafer processing system 800" may have a cleaning module 850 that is a megasonic module. In another embodiment, the megasonic module may conduct other types of processing besides cleaning. Any suitable megasonic processing device may be utilized as a megasonic module such as, for example, those described in U.S. Patent Application No. 10/259,023 entitled "MEGASONIC SUBSTRATA PROCESSING MODULE". The aforementioned patent application is hereby incorporated by reference. Therefore, by having various types of modules or wafer processing devices interconnected, wafer processing systems may be generated that have the capability to utilized multiple wafer processing methods.
  • Figures 21A through 23C show exemplary embodiments where a wafer that is oriented vertically may be processed by at least one proximity head where by either movement of the wafer and/or movement of the at least one proximity head, the wafer surface may be processed vertically from top to bottom. It should appreciated that wafer processing as described herein may include cleaning, drying, rinsing, etc. The vertical processing of the wafer can enhance control of the meniscus and reduce random fluid movement on the wafer during wafer processing. Consequently, by use of vertical wafer processing by the proximity head(s) (also known as manifold), wafer processing such as, for example, cleaning, rinsing, and/or drying may be accomplished in an efficient manner. It should be appreciated that the proximity head/manifold may be any suitable configuration or size as long as the proximity head/manifold structure is consistent with the methods and apparatus described herein. In a preferable embodiment, to achieve process uniformity, resident time of the meniscus on the wafer surface is uniform throughout the wafer. Therefore, scanning direction and speed may be controlled so the meniscus area is scanned evenly over the wafer.
  • Figure 21A illustrates a proximity head 106a beginning a wafer processing operation where the wafer 108 is scanned vertically in accordance with one embodiment of the present invention. In one embodiment, the wafer 108 is oriented in a vertical manner so a top portion 108c of the wafer 108 is presented for scanning to the proximity head 106a. In such an orientation, the surface of the wafer being processed is substantially parallel to a processing window 538 of the proximity head 106a. It should be appreciated that the wafer 108 may be held in place or moved depending on the configuration of the wafer processing system. In one embodiment, as discussed in further detail in reference to Figure 22A, the wafer 108 is held into place and the proximity head is moved from a top to bottom scanning motion, where a top portion 108c of the wafer 108 is scanned before a bottom portion 108d of the wafer 108. In such an embodiment, the wafer 108 is positioned in a substantially vertical orientation. The position of the wafer 108 with respect to the y-axis can therefore be in any suitable angle as long as the top portion 108c of the wafer 108 is located higher along the y-axis than the bottom portion 108d of the wafer 108. In a preferable embodiment, the wafer 108 is positioned to be vertical along the y-axis. Therefore, in such an embodiment, the proximity head 106a may move vertically in a downward fashion and process the wafer surface from top to bottom.
  • In another embodiment, the proximity head 106a may be held stationary and the wafer 108 may be moved in a manner such that the wafer surface is processed in a vertical fashion where the top portion 108c of the wafer 108 is scanned before the bottom portion 108d of the wafer 108. It should be appreciated that any suitable device or apparatus may be used to move the proximity head 106a vertically so as to scan the surface of the wafer 108. In one embodiment, the proximity head 106a may be attached to an arm that is then attached to a mechanical device to move the proximity head 106a in a vertical manner. In another embodiment, the proximity head 106a may be directly attached to a mechanical device or apparatus that can facilitate movement of the proximity head 106a close to the surface of the wafer 108 and to move the proximity head 106 from the top portion 108c of the wafer 108 to the bottom portion 108d of the wafer 108.
  • It should also be appreciated that a proximity head 106b (not visible in Figure 21A but shown as an exemplary embodiment in Figure 21F and 21G) may be used along with the proximity head 106a to process both wafer surfaces on the two sides of the wafer 108. Therefore, the proximity heads 106a and 106b may be utilized, where one of the proximity heads may process one side of the wafer 108 and the other proximity head may process the other side of the wafer 108. The proximity heads 106a and 106b may be any suitable proximity head described herein. In a preferable embodiment, two proximity heads 106a and 106b may be oriented so that the processing windows face each other. The processing windows of the two proximity heads may then be oriented in close proximity to each other. In such an embodiment, the space between the processing windows would be large enough so as to be greater than the thickness of the wafer 108. Therefore, when a meniscus is formed between the two processing windows, the proximity heads 106a and 106b may be moved down from above the wafer 108. It should be appreciated that the proximity heads 106a and 106b (or any other proximity heads described herein) may be any suitable distance away from the wafer 108 as long as a stable controllable meniscus may be formed on the surface being processed. In one embodiment, the proximity heads 106a and 106b are about 0.1 mm to about 3 mm away from the respective surfaces being processed. In another embodiment, the proximity heads 106a and 106b are about 1 mm to about 2 mm away from the respective surfaces being processed, and in a preferable embodiment, the proximity heads 106a and 106b are about 1.5 mm away from the respective surfaces being processed. As the proximity head 106a and 106b move downward, the meniscus may contact the a top edge of the wafer 108 and one processing window would form a meniscus with one surface of the wafer 108 and the other processing windows would form a meniscus with the other surface of the wafer 108.
  • It should also be appreciated that the wafer processing operation could be started where the proximity heads 106a and 106b starts by initially producing the meniscus on the wafer instead of moving the meniscus onto the wafer 108 from above the top portion 108a.
  • Figure 21B illustrates a wafer processing continuing from Figure 21A where the proximity head 106a has started scanning the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the top surface of the wafer 108 is positioned in a substantially vertical orientation so the top surface of the wafer 108 is visible when view along a horizontal axis. As the proximity head 106a comes into close proximity of the wafer 108, the meniscus 11d is formed between the process window 538 of the proximity head 106a and the wafer surface being processed. In one embodiment, the proximity head 106a is configured to dry the wafer 108. In such an embodiment, the process window 538 intelligently controls and manages the meniscus 116 so drying takes place as the meniscus 116 moves from a top portion 108c of the wafer 108 to the bottom portion 108d of the wafer 108. Therefore, as the drying process takes place, the dried portion of the wafer 108 will become larger in a top to bottom direction. The generation of the meniscus is described in further detail above.
  • By processing the wafer 108 in a vertical orientation from top to bottom, the meniscus 116 may be optimally controlled by limiting the forces acting on the meniscus 116. In such a vertical orientation, only vertical forces exerted by gravity need be accounted for in the generation of a controlled and manageable meniscus. In addition, by scanning the proximity head 106 in a downward manner from the top portion 108c of the vertically oriented wafer 108, the region of the wafer 108 that has already been dried may be kept dried in an optimal manner. This may occur because the fluids or moisture in the wet regions of the wafer 108 not yet processed would not move up against gravity into the already dried regions.
  • Figure 21C shows a continuation of a wafer processing operation from Figure 21B in accordance with one embodiment of the present invention. In Figure 21C, the proximity head 106 has almost halfway (and processed about a semi-circle of the wafer 108) between the top portion 108c and the bottom portion 108d of the wafer 108.
  • Figure 21D illustrates the wafer processing operation continued from Figure 21C in accordance with one embodiment of the present invention. In Figure 21D, the proximity head 106a has almost finished scanning the wafer surface. In one embodiment, when both the proximity head 106a and 106b are processing the respective sides of the wafer 108, as portions of the meniscus 116 on each side finish processing and are no longer in contact with the wafer 108, the meniscuses on both sides of the wafer come into contact and become one meniscus.
  • Figure 21E shows the wafer processing operation continued from Figure 21D in accordance with one embodiment of the present invention. As shown in Figure 21E, the proximity head 106a (and 106b if a dual proximity head device is being utilized), has finished processing the wafer 108.
  • Figure 21F shows a side view of the proximity heads 106a and 106b situated over the top portion of the vertically positioned wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the proximity heads 106b and 106a may form the meniscus 116 as described above. The proximity heads 106a and 106b may be moved substantially together downward to process the wafer as described in further detail in reference to Figure 21G.
  • Figure 21G illustrates a side view of the proximity heads 106a and 106b during processing of dual surfaces of the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, as the proximity heads 106a and 106b move downward from above the wafer 108. As the meniscus 116 contacts the wafer 108, the proximity head 106a forms a meniscus 116a with the wafer 108 and the proximity head 106b forms a meniscus 116b with the wafer 108. Therefore, the proximity head 106a may process one side of the wafer 108 and the proximity head 106b may process the other side of the wafer. As discussed above, it should be understood that the proximity heads 106a and 106b may be moved downward, or the wafer 108 may be moved upward, or the proximity heads 106a and 106b may be moved downward while the wafer 108 is moved upward. Consequently, the scanning of the wafer 108 may take place using any suitable movement as long as the proximity heads 106a and 106b are moved in a downward movement relative to the wafer 108. By using this relative downward scanning motion, the drying may take place from the top portion 108a of the wafer 108 to the bottom portion 108b of the wafer 108.
  • Although Figures 21A to 21G shows the proximity head 106a moving from off the edge of the wafer 108 across the diameter to leave the edge of the wafer 108, other embodiments may be utilized where the proximity head 106a hovers over the wafer 108 near a top edge of the wafer 108 and moves toward the surface of the wafer 108. Once in close proximity to the wafer surface, the meniscus is formed and the meniscus is scanned down along a diameter of the wafer 108. In yet another embodiment, the proximity head may process only a portion of the wafer surface.
  • Figure 22A shows a wafer processing system where the wafer is held stationary in accordance with one embodiment of the present invention. In one embodiment, the wafer 108 is held in place by holders 600. It should be appreciated that the holders 600 may be any suitable type of device or apparatus that can hold the wafer 108 and still enable the scanning of the wafer surface by the proximity head 106 such as, for example, edge grip, fingers with edge attachments, etc. In this embodiment, the proximity head 106 may be held and moved by a proximity head carrier 602. It should be appreciated that the proximity head carrier 602 may be any suitable type of apparatus or device that can move the proximity head 106 from above the wafer 108 and scan the proximity head 106 in a downward manner while keeping the proximity head 106 in close proximity to the wafer surface. In one embodiment, the proximity head carrier 602 may be similar to the proximity head carrier assembly as shown Figure 2A except that the wafer is oriented vertically and the proximity head carrier is configured to move from top to bottom in a vertical manner.
  • Figure 22B shows a wafer processing system where the proximity head extends about a radius of the wafer 108 in accordance with one embodiment of the present invention. In one embodiment, the wafer processing system may utilize a proximity head that is capable of producing a meniscus that may cover at least a radius of the wafer 108. In this embodiment, the proximity head 106 may scan a wafer surface from a top portion 108c to a bottom portion 108d of the wafer 108. In another embodiment, two proximity heads 106 may be utilized where one semi-circle of the wafer surface is processed by one of the proximity heads 106 while the other semi-circle of the wafer surface is processed by the other of the proximity heads 106.
  • Figure 22C shows a wafer processing system where the proximity head 106 moves vertically and the wafer 108 rotates in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106 moves in the fashion as described in reference to Figure 22C while, at the same time, the wafer 108 is rotated in direction 112 by using rollers 102a, 102b, and 102c as discussed in reference to the Figures.
  • Figure 23A shows a proximity head 106-5 that may be utilized for vertical scanning of a wafer in accordance with one embodiment of the present invention. In one embodiment, the proximity head 106-5 is at least as long as the diameter of the wafer 108 so the proximity head 106-5 can produce a meniscus that encompasses at least a diameter of the wafer. In another embodiment, the proximity head 106-5 is long enough so the meniscus produced by the proximity head 106-5 can extend across the diameter of the wafer so as to include the regions of the wafer surface enclosed within the exclusion region. Therefore, by use of the proximity head 106-5, an entire wafer surface may be scanned in one pass. The proximity head 106-5 includes source inlets 302 and 306 and source outlets 304. In one embodiment, there is a plurality of source inlets 306 that is in a shape of a line that is surrounded by a plurality of source outlets 304 that forms a rectangular shape. Two lines of source inlets 302 are adjacent to the plurality of source outlets 304. In one embodiment, the source inlets 302 and 306 as well as the source outlets 304 may make up the process window where the meniscus 116 may be formed. It should also be appreciated that the proximity head 106-5 as well as the other proximity heads described herein may be varied in size to have different sizes and configurations of process windows. By varying the configuration of the process windows, the size, shape, and the functionality of the meniscus may be changed. In one embodiment, the range of sizes of the proximity head, the sizes of the source inlets 302 and 306 as well as source outlets 304, and the sizes of the ports 342a, 342b, and 342c (as shown in Figures 23B and 23C) are as described above in reference to Figures 11-14. Therefore, the proximity head 106-5 may be any suitable size and configuration depending on the application desired.
  • For example, if one proximity head is desired to scan an entire 200 mm wafer in one pass, the proximity head 106-5 may have to have a process window that produces a meniscus that is at least 200 mm in length. If the exclusionary region of the 200 mm is not desired to be processed, the meniscus may be less that 200 mm in length. In another example, if one proximity head is desired to scan an entire 300 mm wafer in one pass, the proximity head 106-5 may have to have a process window that produces a meniscus that is at least 300 mm in length. If the exclusionary region of the 300 mm is not desired to be processed, the meniscus may be less that 300 mm in length. In yet another embodiment, if a semicircle of the wafer is desired to be processed by a proximity head in one pass, the process window may be a size that would produce a meniscus length that is at least a radius of the wafer. Therefore, the size of the manifold, process window, and the meniscus may be changed depending on the application desired.
  • Figure 23B shows a side view of the proximity head 106-5 in accordance with one embodiment of the present invention. In this embodiment, the proximity head 106-5 also includes ports 342a, 342b, and 342c that, in one embodiment, correspond to the source inlet 302, source outlet 304, and source inlet 306 respectively. By inputting or removing fluid through the ports 342a, 342b, and 342c, fluids may be inputted or outputted through the source inlet 302, the source outlet 304, and the source inlet 306. Although the ports 342a, 342b, and 342c correspond with the source inlet 302, the source outlet 304, and the source inlet 306 in this exemplary embodiment, it should be appreciated that the ports 342a, 342b, and 342c may supply or remove fluid from any suitable source inlet or source outlet depending on the configuration desired. Because of the configuration of the source inlets 302 and 306 with the source outlets 304, the meniscus 116 may be formed between the proximity head 106-5 and the wafer 108. The shape of the meniscus 116 may vary depending on the configuration and dimensions of the proximity head 106-5. As shown in Figure 23B, the portion 342c and the source inlet 306 may be configured to angle the input of IPA to the surface of the wafer. As discussed above in reference to Figure 7C and 7D, by use of an angled source inlet 306, the meniscus may be managed efficiently so the shape of the meniscus may be controlled and maintained in an optimal manner. In one embodiment, source inlet 306 may be angled between about 0 degrees and about 90 degrees in the direction of the source outlet 304 where angle 90 would be pointing toward the wafer and the angle 0 would be pointing inward to the source outlet 304. In a preferable embodiment, the source inlet 306 is angled about 15 degrees. It should be understood that the source inlet 302 and source outlet 304 may be angled in any suitable angle that may optimize the generation, control, and management of a stable fluid meniscus.
  • Figure 23C shows an isometric view of the proximity head 106-5 in accordance with one embodiment of the present invention. The view of the proximity head 106-5 shown in Figure 23C shows a back side opposite the process window which includes connecting holes 580 and aligning holes 582. The connecting holes 580 may be used to attach the proximity head 106-5 to a proximity head carrier. The aligning holes may be utilized to align the manifold depending on the application desired. The proximity head 106-5 also includes ports 342a, 342b, and 342, on a side of the proximity head 106-5 opposite the leading edge of the proximity head 106-5. It should be appreciated that the configuration and location of the ports 342a, 342b, 342c, and connecting holes 580, and the aligning holes 582 may be application dependent and therefore may be any suitable configuration and location as long as the meniscus may be managed in accordance with the descriptions herein.
  • While this invention has been described in terms of several preferred embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. It is therefore intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the scope of the invention.

Claims (14)

  1. A manifold for use in preparing a surface of a substrate, comprising:
    the manifold defined by a head that includes,
    a first portion in the manifold having a plurality of conduits to deliver a first fluid onto the surface of the substrate and a plurality of conduits for removing the first fluid from the surface of the substrate to define a first process window in the first portion of the manifold, a first fluid meniscus configured to be maintained in the first process window of the manifold; and
    a second portion in the manifold having a plurality of conduits to deliver a second fluid onto the surface of the substrate and a plurality of conduits for removing the second fluid from the surface of the substrate to define a second process window in the second portion of the manifold, a second fluid meniscus configured to be maintained in the second process window of the manifold;
    wherein the head is operable to be placed in proximity to the surface of the substrate so as to orient the first process window and the second process window toward the surface of the substrate.
  2. the manifold as recited in claim 1, further comprising:
    an arm coupled to the head, the arm being adjustable to move the head in proximity to the surface of the substrate.
  3. The manifold as recited in claim 1, further comprising:
    a lower head positioned below the substrate, the lower head having at least one process window that is oriented to face the first and second process windows of the head, the substrate configured to be positioned between the lower head and the head.
  4. The manifold as recited in claim 3, wherein the lower head is coupled to an arm, the arm being defined to establish a distance between a surface of the head and the surface of the lower head.
  5. The manifold as recited in claims 1 or 3, wherein a vacuum source is coupled to the head.
  6. The manifold as recited in claim 1, wherein the first fluid and the second fluid are delivered from facility sources including one of deionized water (DIW), isopropyl alcohol (IPA) vapour, organic compounds, hexanol, ethyl glycol and compounds miscible with water.
  7. The manifold as recited in claim 1, wherein the head is configured in one of a bar shape, a square shape, a circular chuck or a plate.
  8. The manifold as recited in claim 1, wherein the head extends at least a length of the substrate, or less than a length of the substrate.
  9. The manifold as recited in claim 1, wherein the manifold is configured as part of a processing module, and the processing module is connected to one or more of other types of wafer processing modules.
  10. The manifold as recited in claim 9, wherein other types of wafer processing modules includes a planarization tool/module, an etching tool/module, a megasonic processing module, a brush scrubbing unit, a spin, rinse and dry (SRD) apparatus, or a cleaning tool/module.
  11. The manifold as recited in claim 9, wherein a robot interfaces with the processing module having the manifold.
  12. The manifold as recited in claim 11, wherein additional manifolds are housed in the processing module, and connections to certain modules includes a load lock.
  13. A method for using manifold in preparing a surface of a substrate, the manifold defined by a head, comprising:
    providing in the head a first portion in the manifold having a plurality of conduits to deliver a first fluid onto the surface of the substrate and a plurality of conduits for removing the first fluid from the surface of the substrate to define a first process window in the first portion of the manifold, a first fluid meniscus configured to be maintained in the first process window of the manifold;
    providing a second portion in the manifold having a plurality of conduits to deliver a second fluid onto the surface of the substrate and a plurality of conduits for removing the second fluid from the surface of the substrate to define a second process window in the second portion of the manifold, a second fluid meniscus configured to be maintained in the second process window of the manifold;
    placing the head in proximity to the surface of the substrate so as to orient the first process window and the second process window toward the surface of the substrate; and
    flowing the first fluid and second fluid to and from the surface of the substrate so as to process two sections of the surface of the substrate at the same time.
  14. The method of claim 13, wherein the first fluid and the second fluid are selected from one of deionized water (DIW), isopropyl alcohol (IPA) vapour, organic compounds, hexanol, ethyl glycol, and compounds miscible with water, and the first and second process windows process either the same fluid or different fluid on the surface of the substrate.
EP20090168725 2002-09-30 2003-09-30 Manifold for processing a surface of a substrate using multiple process windows Expired - Lifetime EP2117033B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/261,839 US7234477B2 (en) 2000-06-30 2002-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US10/330,843 US7198055B2 (en) 2002-09-30 2002-12-24 Meniscus, vacuum, IPA vapor, drying manifold
US10/330,897 US7240679B2 (en) 2002-09-30 2002-12-24 System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US10/404,270 US7069937B2 (en) 2002-09-30 2003-03-31 Vertical proximity processor
EP03798814A EP1472720B1 (en) 2002-09-30 2003-09-30 Method for substrate processing and drying proximity head

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
EP03798814.4 Division 2003-09-30
EP03798814A Division EP1472720B1 (en) 2002-09-30 2003-09-30 Method for substrate processing and drying proximity head

Publications (2)

Publication Number Publication Date
EP2117033A1 true EP2117033A1 (en) 2009-11-11
EP2117033B1 EP2117033B1 (en) 2013-02-27

Family

ID=32030081

Family Applications (2)

Application Number Title Priority Date Filing Date
EP20070007143 Expired - Lifetime EP1801851B1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
EP20090168725 Expired - Lifetime EP2117033B1 (en) 2002-09-30 2003-09-30 Manifold for processing a surface of a substrate using multiple process windows

Family Applications Before (1)

Application Number Title Priority Date Filing Date
EP20070007143 Expired - Lifetime EP1801851B1 (en) 2002-09-30 2003-09-30 Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces

Country Status (8)

Country Link
US (9) US7198055B2 (en)
EP (2) EP1801851B1 (en)
KR (4) KR101056969B1 (en)
CN (1) CN101369522B (en)
AT (2) ATE556431T1 (en)
DE (1) DE60329978D1 (en)
IL (2) IL161550A (en)
SG (1) SG144740A1 (en)

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US20040031167A1 (en) 2002-06-13 2004-02-19 Stein Nathan D. Single wafer method and apparatus for drying semiconductor substrates using an inert gas air-knife
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7810513B1 (en) * 2002-09-30 2010-10-12 Lam Research Corporation Substrate preparation using megasonic coupling fluid meniscus and methods, apparatus, and systems for implementing the same
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7520285B2 (en) 2002-09-30 2009-04-21 Lam Research Corporation Apparatus and method for processing a substrate
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7367345B1 (en) * 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
EP3352015A1 (en) 2003-04-10 2018-07-25 Nikon Corporation Environmental system including a transport region for an immersion lithography apparatus
KR101319152B1 (en) 2003-04-10 2013-10-17 가부시키가이샤 니콘 Environmental system including vaccum scavange for an immersion lithography apparatus
US7238085B2 (en) * 2003-06-06 2007-07-03 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US6809794B1 (en) * 2003-06-27 2004-10-26 Asml Holding N.V. Immersion photolithography system and method using inverted wafer-projection optics interface
US7696141B2 (en) * 2003-06-27 2010-04-13 Lam Research Corporation Cleaning compound and method and system for using the cleaning compound
CN1894442B (en) 2003-10-22 2012-01-04 内克斯系统公司 Method and apparatus for fluid processing a workpiece
JP4295712B2 (en) 2003-11-14 2009-07-15 エーエスエムエル ネザーランズ ビー.ブイ. Lithographic apparatus and apparatus manufacturing method
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
KR101250155B1 (en) 2004-03-25 2013-04-05 가부시키가이샤 니콘 Exposure apparatus and method for manufacturing device
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US7645364B2 (en) 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
TWI267405B (en) * 2004-07-20 2006-12-01 Sez Ag Fluid discharging device
US7718009B2 (en) * 2004-08-30 2010-05-18 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
JPWO2006038472A1 (en) * 2004-10-06 2008-05-15 株式会社荏原製作所 Substrate processing apparatus and substrate processing method
US7362412B2 (en) * 2004-11-18 2008-04-22 International Business Machines Corporation Method and apparatus for cleaning a semiconductor substrate in an immersion lithography system
US20070093067A1 (en) * 2005-10-24 2007-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer edge cleaning process
SG154438A1 (en) * 2005-12-30 2009-08-28 Lam Res Corp Cleaning compound and method and system for using the cleaning compound
US9049520B2 (en) 2006-01-20 2015-06-02 Akrion Systems Llc Composite transducer apparatus and system for processing a substrate and method of constructing the same
US7784478B2 (en) * 2006-01-20 2010-08-31 Akrion Systems Llc Acoustic energy system, method and apparatus for processing flat articles
US9987666B2 (en) 2006-01-20 2018-06-05 Naura Akrion Inc. Composite transducer apparatus and system for processing a substrate and method of constructing the same
US7969548B2 (en) * 2006-05-22 2011-06-28 Asml Netherlands B.V. Lithographic apparatus and lithographic apparatus cleaning method
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8291921B2 (en) * 2008-08-19 2012-10-23 Lam Research Corporation Removing bubbles from a fluid flowing down through a plenum
US8813764B2 (en) * 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
US7946303B2 (en) * 2006-09-29 2011-05-24 Lam Research Corporation Carrier for reducing entrance and/or exit marks left by a substrate-processing meniscus
WO2008070295A2 (en) * 2006-10-17 2008-06-12 Akrion Technologies, Inc. System and method for the sonic-assisted cleaning of substrates utilizing a sonic-treated liquid
JP4755573B2 (en) * 2006-11-30 2011-08-24 東京応化工業株式会社 Processing apparatus and processing method, and surface treatment jig
US8327861B2 (en) * 2006-12-19 2012-12-11 Lam Research Corporation Megasonic precision cleaning of semiconductor process equipment components and parts
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080149147A1 (en) * 2006-12-22 2008-06-26 Lam Research Proximity head with configurable delivery
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US7866330B2 (en) * 2007-05-04 2011-01-11 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US7841352B2 (en) 2007-05-04 2010-11-30 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US8947629B2 (en) * 2007-05-04 2015-02-03 Asml Netherlands B.V. Cleaning device, a lithographic apparatus and a lithographic apparatus cleaning method
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8323460B2 (en) * 2007-06-20 2012-12-04 Lam Research Corporation Methods and systems for three-dimensional integrated circuit through hole via gapfill and overburden removal
US8673769B2 (en) * 2007-06-20 2014-03-18 Lam Research Corporation Methods and apparatuses for three dimensional integrated circuits
JP4971078B2 (en) * 2007-08-30 2012-07-11 東京応化工業株式会社 Surface treatment equipment
JP2009141081A (en) * 2007-12-05 2009-06-25 Sumco Corp Semiconductor wafer surface inspecting apparatus
US8084406B2 (en) 2007-12-14 2011-12-27 Lam Research Corporation Apparatus for particle removal by single-phase and two-phase media
SG188086A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp Apparatus for substantially uniform fluid flow rates relative to a proximity head in processing of a wafer surface by a meniscus
US7967916B2 (en) * 2008-03-14 2011-06-28 Lam Research Corporation Method of preventing pattern collapse during rinsing and drying
US8585825B2 (en) * 2008-10-30 2013-11-19 Lam Research Corporation Acoustic assisted single wafer wet clean for semiconductor wafer process
US8739805B2 (en) * 2008-11-26 2014-06-03 Lam Research Corporation Confinement of foam delivered by a proximity head
US20100224215A1 (en) * 2009-03-06 2010-09-09 Imec Method for Reducing the Damage Induced by a Physical Force Assisted Cleaning
FR2944624A1 (en) * 2009-04-16 2010-10-22 Miyowa METHOD FOR AUTHORIZING A CONNECTION BETWEEN A COMPUTER TERMINAL AND A SOURCE SERVER
US7849554B2 (en) * 2009-04-28 2010-12-14 Lam Research Corporation Apparatus and system for cleaning substrate
US8317934B2 (en) * 2009-05-13 2012-11-27 Lam Research Corporation Multi-stage substrate cleaning method and apparatus
US8845812B2 (en) * 2009-06-12 2014-09-30 Micron Technology, Inc. Method for contamination removal using magnetic particles
JP5140641B2 (en) * 2009-06-29 2013-02-06 株式会社荏原製作所 Substrate processing method and substrate processing apparatus
EP2315235B1 (en) * 2009-10-21 2019-04-24 IMEC vzw Method and apparatus for cleaning a semiconductor substrate
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110139183A1 (en) * 2009-12-11 2011-06-16 Katrina Mikhaylichenko System and method of preventing pattern collapse using low surface tension fluid
NL2005717A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv A lithographic apparatus and a device manufacturing method.
US9044794B2 (en) * 2009-12-31 2015-06-02 Lam Research Ag Ultrasonic cleaning fluid, method and apparatus
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
ITMI20100407A1 (en) * 2010-03-12 2011-09-13 Rise Technology S R L PHOTO-VOLTAIC CELL WITH REGIONS OF POROUS SEMICONDUCTOR FOR ANCHORING CONTACT TERMINALS
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9662686B2 (en) * 2010-09-24 2017-05-30 Lam Research Ag Ultrasonic cleaning method and apparatus
US9931017B2 (en) * 2010-11-16 2018-04-03 Martin A. Alpert Washing apparatus and method with spiral air flow for drying
US20120260517A1 (en) * 2011-04-18 2012-10-18 Lam Research Corporation Apparatus and Method for Reducing Substrate Pattern Collapse During Drying Operations
US8926762B2 (en) 2011-09-06 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for movable megasonic wafer probe
US8968485B2 (en) 2011-09-30 2015-03-03 Lam Research Corporation Apparatus and methods for processing a substrate
US9418904B2 (en) 2011-11-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Localized CMP to improve wafer planarization
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10065288B2 (en) 2012-02-14 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing (CMP) platform for local profile control
US8869422B2 (en) * 2012-04-27 2014-10-28 Applied Materials, Inc. Methods and apparatus for marangoni substrate drying using a vapor knife manifold
US9808891B2 (en) * 2014-01-16 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method of reflow
US9666461B1 (en) * 2016-02-05 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor process and semiconductor processing device using the same
JP6916003B2 (en) * 2017-02-24 2021-08-11 株式会社Screenホールディングス Board processing method and board processing equipment
CN112236274A (en) * 2018-06-01 2021-01-15 无绳发展有限公司 Device for the contactless treatment of objects
EP4056736A1 (en) * 2021-03-09 2022-09-14 Semsysco GmbH Distribution system for a process fluid for chemical and/or electrolytic surface treatment of a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
WO1999016109A1 (en) 1997-09-24 1999-04-01 Interuniversitair Micro-Elektronica Centrum Vereniging Zonder Winstbejag Method and apparatus for removing a liquid from a surface
WO2002032825A1 (en) 2000-10-13 2002-04-25 The Regents Of The University Of California Surface contouring by controlled application of processing fluid using marangoni effect
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
US20020125212A1 (en) 1997-09-24 2002-09-12 Interuniversitair Micro-Elektronica Centrum, Vzw Method and apparatus for localized liquid treatment of the surface of a substrate
WO2002101795A2 (en) 2001-06-12 2002-12-19 Verteq, Inc Megasonic cleaner and dryer system

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3953265A (en) * 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4086870A (en) * 1977-06-30 1978-05-02 International Business Machines Corporation Novel resist spinning head
US4367123A (en) 1980-07-09 1983-01-04 Olin Corporation Precision spot plating process and apparatus
JPS5852034B2 (en) 1981-08-26 1983-11-19 株式会社ソニツクス Partial plating method and device
US4444492A (en) * 1982-05-15 1984-04-24 General Signal Corporation Apparatus for projecting a series of images onto dies of a semiconductor wafer
US4838289A (en) * 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
JPS62150828A (en) 1985-12-25 1987-07-04 Mitsubishi Electric Corp Wafer drying apparatus
JPH0712035B2 (en) 1989-04-20 1995-02-08 三菱電機株式会社 Jet type liquid treatment device
JPH02309638A (en) 1989-05-24 1990-12-25 Fujitsu Ltd Wafer etching device
JPH0628223Y2 (en) * 1989-06-14 1994-08-03 大日本スクリーン製造株式会社 Spin coating device
US5271774A (en) * 1990-03-01 1993-12-21 U.S. Philips Corporation Method for removing in a centrifuge a liquid from a surface of a substrate
US5102494A (en) * 1990-07-13 1992-04-07 Mobil Solar Energy Corporation Wet-tip die for EFG cyrstal growth apparatus
US5294257A (en) * 1991-10-28 1994-03-15 International Business Machines Corporation Edge masking spin tool
US5343234A (en) * 1991-11-15 1994-08-30 Kuehnle Manfred R Digital color proofing system and method for offset and gravure printing
US5749469A (en) * 1992-05-15 1998-05-12 Fluoroware, Inc. Wafer carrier
JP2896268B2 (en) * 1992-05-22 1999-05-31 三菱電機株式会社 Semiconductor substrate surface treatment apparatus and control method thereof
JP2877216B2 (en) * 1992-10-02 1999-03-31 東京エレクトロン株式会社 Cleaning equipment
US5472502A (en) * 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5807522A (en) 1994-06-17 1998-09-15 The Board Of Trustees Of The Leland Stanford Junior University Methods for fabricating microarrays of biological samples
JP3563074B2 (en) * 1994-06-30 2004-09-08 ザ プロクター アンド ギャンブル カンパニー Fluid transfer web with surface energy gradient
US5705223A (en) * 1994-07-26 1998-01-06 International Business Machine Corp. Method and apparatus for coating a semiconductor wafer
JP3247270B2 (en) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 Processing apparatus and dry cleaning method
US5558111A (en) * 1995-02-02 1996-09-24 International Business Machines Corporation Apparatus and method for carrier backing film reconditioning
US5601655A (en) * 1995-02-14 1997-02-11 Bok; Hendrik F. Method of cleaning substrates
JPH08277486A (en) 1995-04-04 1996-10-22 Dainippon Printing Co Ltd Plating device for lead frame
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
DE19622015A1 (en) * 1996-05-31 1997-12-04 Siemens Ag Process for etching destruction zones on a semiconductor substrate edge and etching system
US6221171B1 (en) * 1996-06-04 2001-04-24 Ebara Corporation Method and apparatus for conveying a workpiece
US5985031A (en) * 1996-06-21 1999-11-16 Micron Technology, Inc. Spin coating spindle and chuck assembly
US6039059A (en) * 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
TW357406B (en) * 1996-10-07 1999-05-01 Tokyo Electron Ltd Method and apparatus for cleaning and drying a substrate
DE19646006C2 (en) * 1996-11-07 2000-04-06 Hideyuki Kobayashi Quick plating nozzle with a plating solution blasting and suction function
JPH1133506A (en) * 1997-07-24 1999-02-09 Tadahiro Omi Fluid treatment device and cleaning treatment system
JPH10163138A (en) * 1996-11-29 1998-06-19 Fujitsu Ltd Manufacture of semiconductor device and polisher
JPH10232498A (en) * 1997-02-19 1998-09-02 Nec Kyushu Ltd Developing device
JP2983495B2 (en) * 1997-05-20 1999-11-29 株式会社カイジョー Substrate drying method
US6448040B1 (en) * 1997-06-20 2002-09-10 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Inhibitor of cellular proliferation
JPH1131672A (en) 1997-07-10 1999-02-02 Hitachi Ltd Substrate-processing method and substrate processor
DE19832038A1 (en) * 1997-07-17 1999-01-28 Tokyo Electron Ltd Cleaner and dryer for semiconductor wafers and LED substrates
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
JP4616948B2 (en) 1997-09-24 2011-01-19 アイメック Method and apparatus for removing liquid from the surface of a rotating substrate
EP0905748B1 (en) * 1997-09-24 2006-03-15 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing particles and a liquid from a surface of substrate
US6491764B2 (en) * 1997-09-24 2002-12-10 Interuniversitair Microelektronics Centrum (Imec) Method and apparatus for removing a liquid from a surface of a rotating substrate
US5933902A (en) * 1997-11-18 1999-08-10 Frey; Bernhard M. Wafer cleaning system
US6383289B2 (en) * 1997-12-16 2002-05-07 The University Of North Carolina At Chapel Hill Apparatus for liquid carbon dioxide systems
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
WO1999049504A1 (en) 1998-03-26 1999-09-30 Nikon Corporation Projection exposure method and system
US6108932A (en) * 1998-05-05 2000-08-29 Steag Microtech Gmbh Method and apparatus for thermocapillary drying
JPH11350169A (en) 1998-06-10 1999-12-21 Chemitoronics Co Wet etching apparatus and wet etching method
US6132586A (en) * 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
JP2000015159A (en) * 1998-07-02 2000-01-18 Dainippon Screen Mfg Co Ltd Processing liquid supply device
US6460552B1 (en) * 1998-10-05 2002-10-08 Lorimer D'arcy H. Method and apparatus for cleaning flat workpieces
US6689323B2 (en) * 1998-10-30 2004-02-10 Agilent Technologies Method and apparatus for liquid transfer
US6092937A (en) * 1999-01-08 2000-07-25 Fastar, Ltd. Linear developer
US6328814B1 (en) * 1999-03-26 2001-12-11 Applied Materials, Inc. Apparatus for cleaning and drying substrates
JP3653198B2 (en) * 1999-07-16 2005-05-25 アルプス電気株式会社 Nozzle for drying, drying apparatus and cleaning apparatus using the same
US6222305B1 (en) * 1999-08-27 2001-04-24 Product Systems Incorporated Chemically inert megasonic transducer system
JP3635217B2 (en) * 1999-10-05 2005-04-06 東京エレクトロン株式会社 Liquid processing apparatus and method
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US6341998B1 (en) * 1999-11-04 2002-01-29 Vlsi Technology, Inc. Integrated circuit (IC) plating deposition system and method
US6214513B1 (en) * 1999-11-24 2001-04-10 Xerox Corporation Slot coating under an electric field
US6433541B1 (en) 1999-12-23 2002-08-13 Kla-Tencor Corporation In-situ metalization monitoring using eddy current measurements during the process for removing the film
US20030091754A1 (en) * 2000-02-11 2003-05-15 Thami Chihani Method for treating cellulosic fibres
US6474786B2 (en) * 2000-02-24 2002-11-05 The Board Of Trustees Of The Leland Stanford Junior University Micromachined two-dimensional array droplet ejectors
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
AU2001261625B2 (en) * 2000-05-16 2006-04-06 Regents Of The University Of Minnesota High mass throughput particle generation using multiple nozzle spraying
EP1295314A2 (en) 2000-06-26 2003-03-26 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6488040B1 (en) * 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US7000622B2 (en) * 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US6530823B1 (en) * 2000-08-10 2003-03-11 Nanoclean Technologies Inc Methods for cleaning surfaces substantially free of contaminants
JP2002075947A (en) * 2000-08-30 2002-03-15 Alps Electric Co Ltd Wet processor
US6550988B2 (en) * 2000-10-30 2003-04-22 Dainippon Screen Mfg., Co., Ltd. Substrate processing apparatus
US6531206B2 (en) 2001-02-07 2003-03-11 3M Innovative Properties Company Microstructured surface film assembly for liquid acquisition and transport
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
JP2003115474A (en) * 2001-10-03 2003-04-18 Ebara Corp Substrate processor and processing method
JP4003441B2 (en) 2001-11-08 2007-11-07 セイコーエプソン株式会社 Surface treatment apparatus and surface treatment method
US6799584B2 (en) 2001-11-09 2004-10-05 Applied Materials, Inc. Condensation-based enhancement of particle removal by suction
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7367345B1 (en) * 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US6954993B1 (en) * 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7252097B2 (en) * 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
CN100350552C (en) 2002-09-30 2007-11-21 拉姆研究公司 System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
DE60335595D1 (en) * 2002-11-12 2011-02-17 Asml Netherlands Bv Immersion lithographic apparatus and method of making a device
CN101713932B (en) * 2002-11-12 2012-09-26 Asml荷兰有限公司 Lithographic apparatus and device manufacturing method
EP1489461A1 (en) 2003-06-11 2004-12-22 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6867844B2 (en) 2003-06-19 2005-03-15 Asml Holding N.V. Immersion photolithography system and method using microchannel nozzles
US7713841B2 (en) * 2003-09-19 2010-05-11 Micron Technology, Inc. Methods for thinning semiconductor substrates that employ support structures formed on the substrates
US7353560B2 (en) * 2003-12-18 2008-04-08 Lam Research Corporation Proximity brush unit apparatus and method
US7003899B1 (en) * 2004-09-30 2006-02-28 Lam Research Corporation System and method for modulating flow through multiple ports in a proximity head

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5660642A (en) 1995-05-26 1997-08-26 The Regents Of The University Of California Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor
EP0905746A1 (en) 1997-09-24 1999-03-31 Interuniversitair Micro-Elektronica Centrum Vzw Method of removing a liquid from a surface of a rotating substrate
WO1999016109A1 (en) 1997-09-24 1999-04-01 Interuniversitair Micro-Elektronica Centrum Vereniging Zonder Winstbejag Method and apparatus for removing a liquid from a surface
US20020125212A1 (en) 1997-09-24 2002-09-12 Interuniversitair Micro-Elektronica Centrum, Vzw Method and apparatus for localized liquid treatment of the surface of a substrate
US20020121290A1 (en) 1999-08-25 2002-09-05 Applied Materials, Inc. Method and apparatus for cleaning/drying hydrophobic wafers
WO2002032825A1 (en) 2000-10-13 2002-04-25 The Regents Of The University Of California Surface contouring by controlled application of processing fluid using marangoni effect
WO2002101795A2 (en) 2001-06-12 2002-12-19 Verteq, Inc Megasonic cleaner and dryer system

Also Published As

Publication number Publication date
US20140332037A1 (en) 2014-11-13
US20040069319A1 (en) 2004-04-15
KR20100130230A (en) 2010-12-10
US7722724B2 (en) 2010-05-25
US20070235409A1 (en) 2007-10-11
US20070023070A1 (en) 2007-02-01
US20090320884A1 (en) 2009-12-31
KR101060542B1 (en) 2011-08-30
KR101056969B1 (en) 2011-08-16
EP1801851B1 (en) 2012-05-02
IL190454A (en) 2011-12-29
KR101118491B1 (en) 2012-03-12
DE60329978D1 (en) 2009-12-24
US7350316B2 (en) 2008-04-01
KR101056970B1 (en) 2011-08-16
US20090151753A1 (en) 2009-06-18
ATE448563T1 (en) 2009-11-15
SG144740A1 (en) 2008-08-28
US20070107756A1 (en) 2007-05-17
US7383844B2 (en) 2008-06-10
CN101369522B (en) 2013-06-26
KR20100131511A (en) 2010-12-15
US20040060573A1 (en) 2004-04-01
KR20100133459A (en) 2010-12-21
US20040060580A1 (en) 2004-04-01
US7731802B2 (en) 2010-06-08
EP1801851A2 (en) 2007-06-27
CN101369522A (en) 2009-02-18
US7264007B2 (en) 2007-09-04
ATE556431T1 (en) 2012-05-15
EP1801851A3 (en) 2007-08-29
EP2117033B1 (en) 2013-02-27
IL190454A0 (en) 2008-11-03
US7198055B2 (en) 2007-04-03
IL161550A (en) 2010-12-30
KR20100087399A (en) 2010-08-04
US7240679B2 (en) 2007-07-10

Similar Documents

Publication Publication Date Title
EP2117033B1 (en) Manifold for processing a surface of a substrate using multiple process windows
EP1472720B1 (en) Method for substrate processing and drying proximity head
US7464719B2 (en) Multi-menisci processing apparatus
US7387689B2 (en) Methods for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7395611B2 (en) System processing a substrate using dynamic liquid meniscus
US7000622B2 (en) Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
EP1582269B1 (en) Proximity meniscus manifold
EP1500128B1 (en) Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AC Divisional application: reference to earlier application

Ref document number: 1472720

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

17P Request for examination filed

Effective date: 20100420

17Q First examination report despatched

Effective date: 20101216

REG Reference to a national code

Ref country code: DE

Ref legal event code: R079

Ref document number: 60343415

Country of ref document: DE

Free format text: PREVIOUS MAIN CLASS: H01L0021000000

Ipc: H01L0021670000

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/67 20060101AFI20120731BHEP

Ipc: C25D 5/22 20060101ALN20120731BHEP

Ipc: H01L 21/02 20060101ALI20120731BHEP

Ipc: C25D 7/12 20060101ALN20120731BHEP

Ipc: C25D 7/00 20060101ALN20120731BHEP

Ipc: H01L 21/00 20060101ALI20120731BHEP

RIC1 Information provided on ipc code assigned before grant

Ipc: C25D 7/12 20060101ALN20120806BHEP

Ipc: H01L 21/67 20060101AFI20120806BHEP

Ipc: C25D 7/00 20060101ALN20120806BHEP

Ipc: H01L 21/00 20060101ALI20120806BHEP

Ipc: C25D 5/22 20060101ALN20120806BHEP

Ipc: H01L 21/02 20060101ALI20120806BHEP

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAC Information related to communication of intention to grant a patent modified

Free format text: ORIGINAL CODE: EPIDOSCIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AC Divisional application: reference to earlier application

Ref document number: 1472720

Country of ref document: EP

Kind code of ref document: P

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

REG Reference to a national code

Ref country code: AT

Ref legal event code: REF

Ref document number: 598885

Country of ref document: AT

Kind code of ref document: T

Effective date: 20130315

REG Reference to a national code

Ref country code: IE

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 60343415

Country of ref document: DE

Effective date: 20130425

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 598885

Country of ref document: AT

Kind code of ref document: T

Effective date: 20130227

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130607

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130527

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

REG Reference to a national code

Ref country code: NL

Ref legal event code: VDEP

Effective date: 20130227

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130528

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130627

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20130927

Year of fee payment: 11

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20131128

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 60343415

Country of ref document: DE

Effective date: 20131128

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: MC

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20130930

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20140530

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 60343415

Country of ref document: DE

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20130227

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20150401

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20130930

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT; INVALID AB INITIO

Effective date: 20030930