US20010004538A1 - High through-put copper CMP with reduced erosion and dishing - Google Patents

High through-put copper CMP with reduced erosion and dishing Download PDF

Info

Publication number
US20010004538A1
US20010004538A1 US09/741,538 US74153800A US2001004538A1 US 20010004538 A1 US20010004538 A1 US 20010004538A1 US 74153800 A US74153800 A US 74153800A US 2001004538 A1 US2001004538 A1 US 2001004538A1
Authority
US
United States
Prior art keywords
substrate surface
polishing
less
polishing pad
instructions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/741,538
Inventor
Shijian Li
Fred Redeker
John White
Ramin Emami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/741,538 priority Critical patent/US20010004538A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EMAMI, RAMIN, WHITE, JOHN M., LI, SHIJIAN, REDEKER, FRED C.
Publication of US20010004538A1 publication Critical patent/US20010004538A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

A method of polishing copper with reduced erosion and dishing by a multi-step polishing technique is provided. In one aspect of the invention, a copper layer is polished at a first removal rate and then polished at a second removal rate less than the first removal rate. In another aspect, a computer readable medium is provided bearing instructions, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a polishing system to polish the substrate surface at a first removal rate on a first platen and then polished at a second removal rate less than the first removal rate on a second platen. Further embodiments of the invention include reducing dishing by: controlling platen rotating speeds; increasing the concentration of active chemicals; and cleaning the polishing pads between substrates. Embodiments also include removing particulate material during CMP by increasing the flow rate of the chemical agent or controlling the static removal rate up to about 200 Å per minute, and recycling the chemical agent. Embodiments further include exposing the polishing pad and/or substrate surface to an inhibitor after each polishing step to reduce the static removal rate.

Description

    Related Applications
  • This application is a continuation-in-part of co-pending U.S. patent application Ser. No. 09/469,709 [AMAT/3786], which was filed on Dec. 21, 1999, and is incorporated herein by reference. [0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The invention relates to copper (Cu) and/or copper alloy metallization in semiconductor devices with improved planarity. [0003]
  • 2. Background of the Related Art [0004]
  • The escalating requirements for high density and performance associated with ultra large scale integration semiconductor wiring require responsive changes in interconnect technology. Such escalating requirements have been found difficult to satisfy in terms of providing a low RC (resistance and capacitance) interconnect pattern, particularly in applications where submicron vias, contacts and trenches have high aspect ratios imposed by miniaturization. [0005]
  • Conventional semiconductor devices comprise a semiconductor substrate, typically doped monocrystalline silicon, and a plurality of sequentially formed dielectric layers and conductive patterns. An integrated circuit is formed containing a plurality of conductive patterns comprising conductive lines separated by interwiring spacings, and a plurality of interconnect lines. Typically, the conductive patterns on different layers, i.e., are electrically connected by a conductive plug filling a via hole, while a conductive plug filling a contact hole establishes electrical contact with an active region on a semiconductor substrate, such as a source/drain region. Conductive lines are formed in trenches which typically extend substantially horizontal with respect to the semiconductor substrate. Semiconductor “chips” comprising five or more levels of metallization are becoming more prevalent as device geometries shrink to submicron levels. [0006]
  • A conductive plug filling a via hole is typically formed by depositing a dielectric layer on a conductive layer comprising at least one conductive pattern, forming an opening through the dielectric layer by conventional photolithographic and etching techniques, and filling the opening with a conductive material, such as tungsten (W). Excess or overburden conductive material on the surface of the dielectric interlayer is typically removed by chemical mechanical polishing (CMP). One such method is known as damascene and basically involves forming an opening in the dielectric interlayer and filling the opening with a metal. Dual damascene techniques involve forming an opening comprising a lower contact or via hole section in communication with an upper trench section. The entire opening is filled with a conductive material, typically a metal, to simultaneously form a conductive plug in electrical contact with a conductive line. [0007]
  • Copper (Cu) and copper alloys have received considerable attention as candidates for replacing aluminum (Al) in interconnect metallization. Copper and copper alloys are relatively inexpensive, easy to process, and have a lower resistivity than aluminum. In addition, copper and copper alloys have improved electrical properties, vis-á-vis tungsten (W), making copper and copper alloys desirable metals for use as a conductive plug as well as conductive wiring. [0008]
  • An approach to forming copper and copper alloy plugs and wiring comprises the use of damascene structures. However, due to copper diffusion through dielectric layer materials, such as silicon dioxide, a diffusion barrier layer for copper interconnect structures is provided between copper or copper alloy interconnect structures and surrounding dielectric materials. Typical diffusion barrier metals include tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titanium-tungsten (TiW), tungsten (W), tungsten nitride (WN), titanium-titanium nitride (Ti—TiN), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), tantalum silicon nitride (TaSiN) and silicon nitride for copper and copper alloys. The use of such barrier materials to encapsulate copper is not limited to the interface between copper and the dielectric interlayer, but includes interfaces with other metals as well. [0009]
  • In conventional polishing techniques, a substrate carrier assembly is rotated in contact with a polishing pad in a polishing apparatus. The polishing pad is mounted on a rotating turntable or platen, or moving above a stationary polishing table, driven by an external driving force. The substrates are typically mounted on a carrier which provides a controllable pressure urging the substrates against the polishing pad. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of each thin semiconductor substrate and the polishing pad while dispersing a polishing chemical with or without abrasive particles in a reactive solution to effect both chemical activity and mechanical activity while applying a force between the substrate and a polishing pad. [0010]
  • Conventional polishing pads employed in abrasive slurry processing typically comprise a grooved porous polymeric surface, such as polyurethane, and the abrasive slurry varied in accordance with the particular material undergoing polishing. Basically, the abrasive slurry is impregnated into the pores of the polymeric surface while the grooves convey the abrasive slurry to the substrate undergoing polishing. A polishing pad for use in CMP slurry processing is disclosed by Krywanczyk et al. in U.S. Pat. No. 5,842,910. [0011]
  • A distinctly different type of abrasive article from the above-mentioned abrasive slurry-type polishing pad is a fixed abrasive article, e.g., fixed abrasive polishing pad. Such a fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. The abrasive elements typically comprise a plurality of abrasive particles in a binder, e.g., a polymeric binder. During polishing employing a fixed abrasive article, the substrate or substrate undergoing CMP wears away the fixed abrasive elements thereby maintaining exposure of the abrasive particles. Accordingly, during polishing employing a fixed abrasive article, a chemical agent is dispersed to provide the chemical activity, while the mechanical activity is provided by the fixed abrasive elements and abrasive particles exposed by abrasion with the substrate undergoing polishing. Fixed abrasive articles are disclosed by Rutherford et al. in U.S. Pat. No. 5,692,950, Calhoun in U.S. Pat. No. 5,820,450, Haas et al. in U.S. Pat. No. 5,453,312 and Hibbard et al. in U.S. Pat. No. 5,454,844. [0012]
  • It is extremely difficult to planarize a copper or copper alloy surface, as by polishing of a damascene inlay, to achieve a high degree of surface planarity, particularly across a surface extending over a dense array of copper or copper alloy features and the field of a substrate surface. A dense array of copper or copper alloy features is typically formed in a dielectric layer, such as a silicon oxide layer, by a damascene technique wherein trenches are initially formed. A barrier layer, such as a tantalum-containing layer, e.g., tantalum (Ta), or tantalum nitride (TaN), is then conformally deposited on the exposed surfaces of the trenches and on the upper surface of the dielectric layer. Copper or a copper alloy is then deposited, as by electroplating, electroless plating, physical vapor deposition (PVD) or chemical vapor deposition (CVD) on the barrier layer, typically at a thickness between about 8,000 Å and about 18,000 Å. [0013]
  • Polishing is then conducted to remove the copper or copper alloy overburden and stopping on the barrier layer, followed by barrier layer removal, by employing a mixture of a chemical agent and abrasive particles, to remove the copper or copper alloy and barrier layer to the dielectric layer. Copper or copper alloy overburden is material deposited on the substrate in excess of the required amount to fill features formed on the substrate surface. [0014]
  • Erosion and dishing are typically encountered in planarizing the substrate surface after a copper metallization process. Dishing is the formation of topographical defects, such as concavities or depressions, in the copper and copper alloy layer of features formed on the substrate surface. Dishing further results in a non-planar surface that impairs the ability to print high resolution lines during subsequent photolithographic steps and detrimentally affects subsequent surface topography of the substrate and device formation. Dishing also detrimentally affects the performance of devices by lowering the conductance and increasing the resistance of the devices, contrary to the benefit of using higher conductive materials, such as copper. Dishing can further lead to non-uniform removal of the barrier layer in subsequent polishing steps. Erosion is the excessive removal of dielectric material surrounding features formed on the substrate surface. [0015]
  • There exists a need for high-production through-put polishing of copper or copper alloy with minimal or reduced erosion and dishing of the substrate surface, thereby achieving a high degree of surface planarity. [0016]
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide a method and composition for planarizing a substrate surface including planarizing metals, such as copper and copper alloys, with reduced surface defects and surface corrosion. [0017]
  • In one aspect, the invention provides a method of planarizing a substrate surface comprising polishing the substrate surface on a first platen to reduce a copper or copper alloy layer disposed thereon at a first removal rate and polishing the substrate on a second platen to remove the copper or copper alloy layer at a second removal rate, less than the first removal rate. [0018]
  • In another aspect, a computer readable medium is provided bearing instructions, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a polishing system to polish the substrate surface at a first removal rate on a first platen and then polish the substrate surface at a second removal rate less than the first removal rate on a second platen. [0019]
  • BRIEF DESCRIPTION OF DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0020]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0021]
  • FIGS. [0022] 1-4 illustrate sequential phases of a method in accordance with an embodiment of the present invention.
  • FIG. 5 depicts a block diagram of a computer system configured for controlling a CMP system in accordance with an embodiment of the invention. [0023]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Embodiments of the invention described herein enable effective planarization of a substrate surface having copper or copper alloy containing features at high production through-put with reduced or minimal erosion and dishing, consistent with the ever increasing demands for reliable interconnect patterns having feature sizes in the submicron range. As used throughout this disclosure, copper (Cu) is intended to encompass high purity elemental copper as well as copper-based alloys, e.g., copper-based alloys containing at least about 80 wt. % copper. [0024]
  • Embodiments of the invention described herein can be advantageously used in a multi-step process including sequential polishing steps with minimal or reduced dishing and erosion. The polishing step can stop on the barrier layer and may be used in a fixed abrasive polishing technique. An abrasive slurry can then be used in a polishing process to remove the barrier layer. [0025]
  • The multi-step methodology of the present invention stems from a study of the factors impacting erosion and dishing in planarizing a dense array of copper or copper alloy features, e.g., lines, bordered by an open field. The expression “dense array” is intended to encompass metal features spaced apart by a variety of distances less than about 100 microns, while the expression “open field” is intended to encompass a field extending in excess of about 100 microns without a metal feature. [0026]
  • Embodiments of the present invention include a multi-step process comprising polishing the substrate surface at a first removal rate to remove most of the bulk copper or copper alloy overburden and polishing the substrate surface at a second removal rate less than the first removal rate. The polishing technique having the second removal rate may have a high selectivity to a subsequent barrier layer to stop thereon. [0027]
  • The polishing steps are performed on a first and second rotating, stationary, or linear platen, respectively, and both polishing processes employing a fixed abrasive polishing pad or a conventional, non-fixed abrasive polishing pad using an abrasive or abrasive-free chemical agent. An example of a suitable chemical mechanical polishing apparatus capable of polishing a substrate surface according to the processes described herein is shown in FIG. 5 and described in more detail below. [0028]
  • Barrier layer materials, such as tantalum or tantalum nitride, may be removed on a third rotating, stationary, or linear polishing pad using an abrasive slurry. Suitable barrier removal techniques are disclosed in co-pending U.S. patent application Ser. No. 09/401,643 filed on Sep. 22, 1999, and in co-pending U.S. patent application Ser. No. 09/569,968 filed on May 11, 2000, the entire disclosures of which are incorporated by reference herein to the extent not inconsistent with the invention. The dielectric layer may be buffed to reduce or eliminate scratching and defects on the substrate surface. [0029]
  • Embodiments of the processes described herein may be used to planarize a copper or copper alloy metal film by a multi-step process to minimize or reduce erosion and dishing of the substrate surface. Minimizing or reducing erosion and dishing of the substrate surface enables the use of conventional photolithography to form metal features having dimensions in the deep submicron range, i.e., less than about 0.2 microns, such as about 0.1 microns. [0030]
  • A typical copper metallization or interconnect system includes depositing a dielectric layer overlying a substrate, forming an opening, i.e., a damascene opening, in the dielectric layer, depositing a diffusion barrier, such as a tantalum containing material, i.e., TaN or Ta, and filling the opening with copper or copper alloy. Advantageously, the opening in the dielectric layer can be filled by initially depositing a seedlayer and then electroplating or electroless plating the copper or copper alloy layer, typically at a thickness between about 8,000 and about 18,000 Å. The damascene openings can also be filled with copper or copper alloy by PVD at a temperature between about 50° C. and about 150° C. or by CVD at a temperature under about 200° C. [0031]
  • Conventional substrates and dielectric materials are encompassed by the present invention. For example, the substrate can be doped monocrystalline silicon or gallium-arsenide. The dielectric layer can comprise any of various dielectric materials conventionally employed in the manufacture of semiconductor devices. For example, dielectric materials, such as silicon dioxide, phosphorus-silicate-glass (PSG), boron doped phosphorus-silicate-glass (BPSG) and silicon dioxide derived from tetraethyl orthosilicate (TEOS) or silane by plasma enhanced chemical vapor deposition (PECVD) can be employed. Dielectric layers in accordance with the present invention can also comprise low dielectric constant materials, including polymers, such as polyimides, and carbon-containing silicon dioxide, e.g., Black Diamond® available from Applied Materials, Inc., located in Santa Clara, Calif. The openings are formed in dielectric layers by conventional photolithographic and etching techniques. [0032]
  • The copper or copper alloy metallized substrate surface is first polished at a first removal rate to effect bulk copper or bulk copper alloy removal. The substrate is polished on a polishing pad mounted on a rotating, stationary, or linear platen. The first removal rate is a relatively high removal rate for rapid through-put, e.g., a removal rate greater than about 5,000 Å per minute. The copper or copper alloy metallized surface is polished down to a thickness between about 500 Å and about 3,000 Å. CMP essentially involves a combination of chemical action and mechanical abrasion. [0033]
  • The polishing process includes a chemical action which oxidizes the surface of the metal, i.e., copper or copper alloy, which can then be mechanically abraded by the polishing pad, for example, by fixed abrasive elements or posts disposed in the polishing pad. Given the guidance disclosed herein and the disclosed objectives, suitable polishing conditions and chemical agents can be readily determined in a particular situation. [0034]
  • Suitable chemical agents can include sodium chromate tetrahydrate as disclosed by Carpio in U.S. Pat. No. 5,840,629, and/or a carboxylate salt, such as ammonium citrate, as disclosed by Watts et al. in U.S. Pat. No. 5,897,375. Advantageously, an inhibitor, such as a triazole or a triazole derivative, e.g., 1,2,4-triazole or benzotriazole, can be employed. The chemical agent can also include a solvent, such as deionized water or an alcohol. [0035]
  • For example, in a copper metallization including a silicon dioxide dielectric layer and TaN barrier layer, the chemical agent of the first polishing process can include between about 0.5 and wt. % about 10 wt. % of an oxidizer, e.g., about 6 wt. %, such as hydrogen peroxide, between about 0.05 wt. % and about 0.20 wt. % of an inhibitor, e.g., about 0.15 wt. %, such as 5-methyl benzotriazole, between about 0.5 wt. % and about 5.0 wt. % e.g., about 3 wt. %, of a chelating agent, such as iminodiaetic acid, and between about 3.0 wt. % and about 15.0 wt. %, e.g., about 9.0 wt. %, of another chelating agent, such as ammonium hydrogen phosphate, the balance deionized water. The pressure is typically about 3 psi but may vary on the composition and desired removal rate. In polishing the bulk copper or copper alloy layer in the first polishing step, it was found suitable to formulate the polishing composition such that it has a pH and oxidation-reduction potential in the domain of passivation of Cu. A pH between about 3 and about 10, such as a pH between about 5 and about 8 is used in some aspects of the composition. Other suitable chemical agents are described in co-pending U.S. application Ser. No. 09/543,777, filed on Apr. 5, 2000, and in co-pending U.S. application Ser. No. 09/544,281, filed on Apr. 6, 2000. [0036]
  • The concentration of the inhibitor can be strategically adjusted throughout the polishing steps of the invention to control the static removal rate. For example, the static removal rate can be decreased by increasing the amount of inhibitor, thereby reducing chemical complexing of copper or copper alloy by chelating components of the chemical agent. [0037]
  • The remainder of the bulk copper or copper alloy overlying the barrier layer, or residual copper or copper alloy, typically includes a thickness between about 500 Å and about 3,000 Å. The residual copper or copper alloy is removed by a second polishing process by polishing the substrate on a rotating, stationary or linear polishing pad, such as a fixed abrasive polishing pad, and employing a chemical agent with high selectivity to and stopping on a tantalum containing barrier layer, such as tantalum or tantalum nitride. [0038]
  • The second polishing process is conducted at a second removal rate less than the first polishing step. The second removal rate is between about 250 Å per minute and about 3,000 Å per minute. The second removal rate can also be reduced from the first removal rate by suitable adjustment of conditions, such as reduced pressure, e.g., employing a pressure no greater than about 3 psi. The second removal rate can be made less than the first removal rate by employing a chemical agent including between about 0.3 wt. % and about 6.0 wt. %, e.g., about 3 wt. %, of an oxidizer, such as hydrogen peroxide, between about 0.03 wt. % and about 0.5 wt. %, e.g., about 0.06 wt. %, of an inhibitor, e.g. 5-methyl-benzotriazole, between about 0.25 wt. % and about 5.0 wt. % e.g., about 1.0 wt. %, of a chelating agent, e.g., iminodiacetic acid, between about 1.0 wt. % and about 6 wt. %, e.g., about 3 wt. % of another chelating agent, such as ammonium hydrogen phosphate, the balance deionized water. The pressure is typically about 2 psi, but my vary on the composition and desired removal rate. In polishing the copper or copper alloy layer in the second polishing step, it was found suitable to formulate the polishing composition such that it has a pH and oxidation-reduction potential in the domain of passivation of Cu. A pH between about 3 and about 10, such as a pH between about 5 and about 8 is used in some aspects of the composition. Other suitable chemical agents are described in co-pending U.S. application Ser. No. 09/543,777, filed on Apr. 5, 2000, and in co-pending U.S. application Ser. No. 09/544,281, filed on Apr. 6, 2000. [0039]
  • The chemical agent used for the second removal rate may have a high selectivity to the Ta or TaN barrier metal layer to enable complete removal of copper or copper alloy and stopping on the Ta or TaN barrier layer to minimize barrier layer removal. The selectivity of copper or copper alloy and tantalum may have a removal rate ratio of copper to tantalum of greater than about 10:1 (Cu:Ta), typically greater than about 100:1 (Cu:Ta). [0040]
  • The polishing end point on reaching the Ta or TaN barrier layer can be accurately determined employing a conventional optical system, such as the laser interferometer technique disclosed in U.S. Pat. No. 5,893,796, the entire disclosure of which is incorporated by reference herein. The In Situ Ray Monitor (ISRM®) system marketed by Applied Materials, Inc., of Santa Clara, Calif. can be employed for end point detection, thereby significantly minimizing overpolishing. [0041]
  • The barrier layer, such as the Ta or TaN barrier layer, is then removed, under conditions such that there is a reversed selectivity among the silicon oxide dielectric layer, barrier layer and copper or copper alloy. The removal rate ratio, or selectivity, of the barrier layer, such as tantalum, may have a removal rate ratio of tantalum to dielectric layer (DL) of greater than about 10:1 (Ta:DL). An example of a suitable barrier removal process is disclosed in pending U.S. patent application Ser. No. 09/401,643 filed on Sep. 22, 1999, and in co-pending U.S. patent application Ser. No. 09/569,968 filed on May 11, 2000. [0042]
  • Embodiments of the present invention comprise further refinements to minimize dishing and erosion. It was found that dishing can be controlled during the first and/or second polishing steps by controlling one or more processing features or parameters. [0043]
  • For example, embodiments of the present invention comprise controlling the polishing pad surface at a temperature of about 50° C. or less, such as about 44° C., as by reducing the platen rotating speed to no greater than 60 rpm, or the linear pad speed to no greater than 30 inches per second, thereby reducing static removal and, hence, dishing. It should be appreciated that the static removal rate should not be reduced to the extent that the polishing by-products generated during polishing can not be removed, e.g., dissolved. [0044]
  • The polishing by-products generated during polishing can be flushed away with a high flow of chemical agent which can be recycled or recirculated to reduce the cost of consumables. The chemical agent may be delivered to the polishing pad and/or substrate surface at a flow rate of about 300 millimeters per minute or more. [0045]
  • It was also found that copper or copper alloy recess, thus dishing, can be reduced by reducing chemical starvation on top of the flat posts of the abrasive polishing pad that contact the copper or copper alloy surface. Conventional fixed abrasive polishing pads comprise a plurality of fixed abrasive composite elements, each comprising abrasive particles dispersed in a polymeric binder, and are often referred to as posts. Such posts typically have a height between about 30 microns and about 40 microns and, when in the form of a cylinder, a diameter of about 200 microns, providing a contact area ratio between about 10% and about 25%. The posts can be formed in the shape of various geometric configurations, such as polygons, circles and ellipsis. As used throughout this disclosure, the term diameter is intended to denote the largest cross-sectional dimension of the upper working surface of the posts confronting the surface undergoing polishing. [0046]
  • It was found that chemical starvation can be reduced by reducing the diameter of the posts while maintaining substantially same contact area ratio between about 10% and about 25% by increasing the number of posts. Accordingly, embodiments of the present invention comprise conducting the first and second polishing steps using fixed abrasive polishing pads comprising abrasive posts having a diameter between about 75 microns and about 150 microns, e.g., about 100 microns and about 150 microns, thereby reducing chemical starvation and, hence, reducing dishing. [0047]
  • It was also found that dishing during the first and second polishing steps can be reduced by increasing the stiffness or rigidity of the backing sheet on which the abrasive posts are adhered. This can be achieved by selecting a backing sheet material having a suitable Young's Modulus (Modulus of Elasticity). Alternatively, the thickness of a conventional backing sheet, e.g., polycarbonate backing sheet, can be increased to reduce the pad softness and, hence, reduce the pressure within the dense array, thereby reducing dishing. An example of a suitable pad is a pad having abrasive posts adhered to a backing sheet having a thickness of about 40 microns or less. [0048]
  • It was further found that the dishing can be decreased, as to about 600 Å or less, by increasing the amount of inhibitor in the chemical agent during the second polishing step. Accordingly, embodiments of the present invention comprise conducting the second polishing step with a chemical agent including between about 0.2 wt. % and about 1.0 wt. % of an inhibitor, e.g., 5-methyl benzotriazole, and other compounds containing at least one azole group. [0049]
  • Further improvements in dishing have been observed by increasing the concentration of the active components of the chemical agent, for example, oxidizers and chelating agents, by a factor up to about three. [0050]
  • Embodiments of the present invention comprise effectively removing particles generated during polishing steps (a) and (b) by flowing the chemical agent to the substrate and/or polishing pad at a flow rate above about 300 milliliters per minute, and recycling the chemical agent. Removal of such particles can also be achieved by maintaining the static removal rate at about 200 Å per minute or less, such as about 150 Å per minute or less. [0051]
  • Further improvements in reducing dishing and erosion are achieved in embodiments of the invention by applying or exposing the substrate surface and/or polishing pad to an inhibitor, such as benzotriazole, upon completing the first polishing step on one platen before initiating the second polishing step on a second platen and upon completing the second polishing step on the second platen before initiating barrier layer removal on another platen, thereby effectively removing polishing debris while avoiding static removal. Accordingly, a solution including between about 0.2 wt. % and about 1.0 wt. % of an inhibitor, e.g., 5-methyl benzotriazole, and other compounds containing at least one azole group, and deionized water may be applied. The use of an inhibitor vis-á-vis deionized water upon terminating each of the polishing steps effectively prevents undue static removal to provide a relatively clean and low defect substrate prior to initiating the subsequent step. [0052]
  • An embodiment of the present invention is schematically illustrated in FIGS. [0053] 1-4, wherein similar features bear similar reference numerals. Adverting to FIG. 1, dielectric layer 10, e.g., silicon oxide, is formed overlying a substrate (not shown). A plurality of openings 11 are formed in a designated area A in which a dense array of conductive lines are to be formed bordering open field B. A barrier layer 12, e.g., TaN, is deposited lining the openings 11 and on the upper surface of silicon oxide dielectric layer 10. Typically, the openings 11 are spaced apart by a distance C which is less than about 1 micron, e.g., less than about 0.2 micron, such as about 0.1 microns. Copper layer 13 is then deposited at thickness D between about 8,000 and about 18,000 Å.
  • Adverting to FIG. 2, the first polishing step is conducted as to reduce the [0054] copper layer 13 to a thickness E between about 500 Å and about 3,000 Å at a removal rate in excess of about 5,000 Å per minute.
  • As shown in FIG. 3, the second polishing step is conducted with high selectivity to [0055] TaN barrier layer 12 stopping thereon.
  • As shown in FIG. 4, buffing is conducted, as with a reversed selectively to remove [0056] TaN layer 12 and buff the silicon oxide surface to remove or reduce scratching or defects, thereby completing planarization. The resulting copper interconnection structure comprises a dense array A of copper lines 13 bordered by open field B. The upper surface 40 exhibits a very high degree of planarity with virtually no erosion or dishing.
  • One aspect of the present invention is related to the use of a computer system to control a CMP system for planarizing a substrate. FIG. 5 depicts a general [0057] purpose computer system 100 configured to execute a software for controlling CMP system 122. The computer system 100 contains a computer 102, one or more display devices 104, and one or more input devices 106. The computer 102 contains a central processing unit (CPU) 108 such as an Intel 486 microprocessor, a memory 110 and assorted Support circuitry 112 such as a math co-processor, power supply, and the like. Such computer systems are commonly known as personal computer; however, the present invention is not limited to personal computers and can, in fact, be implemented on workstations, minicomputer, mainframes, and supercomputers. The input devices 106 used with such computers include a keyboard, a mouse, trackball and the like. The display devices 104 include computer monitors, printers and plotters.
  • [0058] Computer system 100 also includes a memory 110, such as a random access memory (RAM) or other dynamic storage device for storing information and instructions to be executed by CPU 108. Memory 110 also may be used for storing temporary variables or other intermediate no information during execution of instructions to be executed by CPU 108. Memory 110 further includes a read only memory (ROM) or other static storage device for storing static information and instructions for CPU 108. Memory 110 may also include a storage device, such as a magnetic disk or optical disk, provided for storing information and instructions.
  • The [0059] interface 124 allows the computer system 100 to communicate with the CMP system 122, specifically with CMP system controller 154. The CMP system 122 could either be a small pad or a large pad system, or a linear belt polishing system. Illustratively, a small pad system is depicted. The small pad system generally includes a base 126 for rotatably supporting a rotating plate 128 therein, and a moveable tubular polishing arm 130 suspended over the rotating plate 126 and supported on a cross arm 132. The cross arm is maintained on the base and over the plate by opposed uprights 134, 134 a which extend upwardly from the base. The rotating plate preferably includes a conformable pad fixed to its upper surface. A substrate 136, having an upper surface 138 to be polished, is placed on the polishing pad to maintain the substrate in position beneath the polishing arm as the substrate is polished. The tubular polishing arm 130, with a polishing pad 140 located over the lower open end 142 thereof, is moved generally radially across the upper surface of the substrate to perform the polishing. The polishing pad is preferably continuously moved linearly across the rotating substrate, from the edge to center, until the polishing end point is attained (e.g. a predefined degree of surface non-uniformity).
  • [0060] CMP system controller 154 controls motion of the rotating plate (or linearly moving belt) and motion of the polishing arm. Specifically, the control system controls the rotational velocity of motor 152 that is coupled to plate 128. Also, the linear motion is provided by motor 150 coupled to cross arm 132. Linear positioning mechanism 144, under control of the controller 154, control the pressure of the pad on the substrate surface through a load mechanism 148 and controls the rotation of the pad through motor 146. As such, controller 154 controls all aspects of this small pad CMP polishing system.
  • According to an embodiment of the present invention, planarizing a substrate surface is provided by [0061] computer system 100 controlling CMP system 122 in response to CPU 108 executing one or more sequences of one or more instructions contained in a program 120 in memory 110. For example, instructions can be read into main memory from another computer-readable medium, such as a storage device. Execution of the sequences of instructions contained in memory 110 causes CPU 108 to perform the process step described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in memory 110. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software.
  • The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to [0062] CPU 108 for execution. Such a medium may take many forms, including, but not limited to, nonvolatile media, volatile media, and transmission media. Non-volatile media include for example, optical or magnetic disks, such as a storage device. Volatile media include dynamic memory, such as a main memory. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise a system bus. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to [0063] CPU 108 for execution. For example, the instructions may be initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem (not shown) local to computer system 100 can receive the data on the telephone line and use an infrared transmittal to convert the data to an infrared signal. An infrared detector as an input device 106 can place the data on the system bus, which carries the data to memory 110, from which CPU 108 retrieves and executes the instructions. The instructions received at memory 110 may optionally be stored on storage device either before or after execution by CPU 108.
  • The present invention is applicable to planarizing during various stages of semiconductor manufacturing. The present invention enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range. [0064]
  • Only the preferred embodiment of the present invention and but a few examples of its versatility are shown and described in the present disclosure. It is to be understood that the present invention is capable of use in various other combinations and environments and is capable of changes and modifications within the scope of the inventive concept as expressed herein. [0065]

Claims (42)

What is claimed is:
1. A method of planarizing a substrate surface containing a copper or copper alloy layer disposed on a barrier layer comprising:
(a) polishing the substrate surface on a first platen to reduce a copper or copper alloy layer at a first removal rate; and
(b) polishing the substrate on a second platen to remove the copper or copper alloy layer at a second removal rate less than the first removal rate.
2. The method according to
claim 1
, further comprising removing the barrier layer on a third platen.
3. The method according to
claim 1
, wherein
the first removal rate greater than about 5,000 Å per minute; and
the second removal rate is between about 250 Å per minute and about 3,000 Å per minute.
4. The method according to
claim 2
, wherein the barrier layer comprises tantalum (Ta) or tantalum nitride (TaN) and is disposed on a dielectric material.
5. The method according to
claim 1
, wherein step (b) is performed at a selectivity of copper:barrier layer of greater than about 100:1.
6. The method according to
claim 5
, wherein step (b) is performed under conditions such that dishing within the dense array is about 600 Å or less.
7. The method according to
claim 6
, wherein steps (a) and (b) are performed on a rotating, stationary, or linear fixed abrasive polishing pad mounted on the first and second platens, respectively.
8. The method according to
claim 7
, wherein the first and second platens are rotated during steps (a) and (b) by at less than about 60 rpm or the first and second belts disposed on the first and second platens, respectively, are moved linearly at a rate of less than about 30 inches per second.
9. The method according to
claim 7
, further comprising cleaning the polishing pads by removing debris and polishing by-products between each substrate.
10. The method according to
claim 7
, further comprising recycling the chemical agent.
11. The method according to
claim 7
, wherein the chemical agent is delivered to the polishing pad or the substrate surface at a flow rate of about 300 milliliters per minute or more.
12. The method according to
claim 7
, wherein the static removal rate of the substrate surface is about 200 Å per minute or less.
13. The method according to
claim 7
, further comprising exposing the polishing pad or the substrate surface to an inhibitor after completing step (a) and prior to initiating step (b).
14. The method according to
claim 2
, further comprising exposing the polishing pad or the substrate surface to an inhibitor after completing step (b) and prior to initiating removing the barrier layer.
15. The method according to
claim 1
, further comprising:
exposing the polishing pad or the substrate surface to an inhibitor after completing step (a) and prior to initiating step (b);
exposing the polishing pad or the substrate surface to an inhibitor after completing step (b); and
recirculating the chemical agent.
16. The method according to
claim 8
, wherein the polishing pad or belt temperature is about 50° C. or less.
17. The method according to
claim 7
, wherein the fixed abrasive polishing pad comprising abrasive posts having a diameter between about 75 microns and about 150 microns and contact area ratio between about 10% and about 25% with the substrate surface.
18. The method according to
claim 17
, wherein the abrasive posts are adhered to a backing sheet having a thickness of about 40 micron or less.
19. The method according to
claim 1
, wherein the second polishing process includes a chemical agent having between about 0.2 wt. % and about 1.0 wt. % of an inhibitor.
20. The method according to
claim 4
, wherein the barrier layer is removed from the substrate surface at a ratio of barrier layer to dielectric layer of greater than about 10:1.
21. A computer-readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause the one or more processors to control a polishing system to perform the steps of:
(a) polishing the substrate surface on a first platen to reduce a copper or copper alloy layer at a first removal rate; and
(b) polishing the substrate on a second platen to remove the copper or copper alloy layer at a second removal rate, less than the first removal rate.
22. The computer readable medium of
claim 21
, wherein said instructions are further arranged for removing the barrier layer on a third platen.
23. The computer-readable medium of
claim 21
, wherein said instructions are arranged for conducting step (a) at the first removal rate greater than about 5,000 Å per minute; and conducting step (b) at the second removal rate between about 250 Å per minute and about 3,000 Å per minute.
24. The computer-readable medium of method
claim 22
, wherein said instructions are arranged for performing step (b) at a selectivity of copper:barrier layer of greater than about 100:1.
25. The computer-readable medium of
claim 24
, wherein said instructions are arranged for performing step (b) under conditions such that dishing within the dense array is about 600 Å or less.
26. The computer-readable medium of
claim 21
, wherein said instructions are arranged for performing steps (a) and (b) on a rotating, stationary, or linear fixed abrasive polishing pad mounted on the first and second platens, respectively.
27. The computer-readable medium of
claim 26
, wherein said instructions are arranged for rotating the first and second platens during steps (a) and (b) by at less than about 60 rpm or the first and second belts disposed on the first and second platens, respectively, are moved linearly at a rate of less than about 30 inches per second.
28. The computer-readable medium of
claim 26
, wherein said instructions are arranged for CMP a plurality of substrates and cleaning the polishing pads by removing debris and CMP by-products between each substrate.
29. The computer-readable medium of
claim 26
, wherein said instructions are arranged for delivering the chemical agent to the polishing pad or the substrate surface at a flow rate of about 300 milliliters per minute or more.
30. The computer-readable medium of
claim 26
, wherein said instructions are arranged for recycling the chemical agent.
31. The computer-readable medium of
claim 26
, wherein said instructions are arranged for controlling the removal of particles during steps (a) and (b) by controlling the static etching rate up of the substrate surface up to about 200 Å per minute or less by controlling the amount of inhibitor in the chemical agent.
32. The computer-readable medium of
claim 26
, wherein said instructions are arranged for exposing the polishing pad or the substrate surface to an inhibitor after completing step (a) and prior to initiating step (b).
33. The computer-readable medium of
claim 21
, wherein said instructions are arranged for exposing the polishing pad or the substrate surface to an inhibitor after completing step (b) and prior to initiating removing the barrier layer.
34. The computer-readable medium of
claim 21
, wherein said instructions are further arranged for
exposing the polishing pad or the substrate surface to an inhibitor after completing step (a) and prior to initiating step (b);
exposing the polishing pad or the substrate surface to an inhibitor after completing step (b); and
recirculating the chemical agent.
35. The computer-readable medium of
claim 27
, wherein said instructions are arranged for maintaining the polishing pad or belt temperature is about 50° C. or less.
36. The computer-readable medium of
claim 22
, wherein said instructions are arranged for removing the barrier layer from the substrate surface at a ratio of barrier layer to dielectric layer of greater than about 10:1.
37. The method according to
claim 15
, further comprising:
controlling dishing in the dense array during steps (a) and (b) by:
rotating the first and second platens, respectively, at less than about 60 rpm or linearly moving the first and second belts at about 30 inches per second, wherein the polishing pad temperature is about 50° C. or less.
38. The method according to
claim 15
, further comprising:
controlling the removal of particles during steps (a) and (b) by:
delivering the chemical agent to the polishing pad or the substrate surface at a flow rate of at least about 300 milliliters per minute and
controlling the amount of inhibitor in the chemical agent to provide a static removal rate of the substrate surface of about 200 Å per minute or less.
39. The method according to
claim 15
, further comprising:
controlling dishing in the dense array during steps (a) and (b) by:
rotating the first and second platens, respectively, at less than about 60 rpm or linearly moving the first and second belts at about 30 inches per second, wherein the polishing pad temperature is about 50° C. or less; and
controlling the removal of particles during steps (a) and (b) by:
delivering the chemical agent to the polishing pad or the substrate surface at a flow rate of at least about 300 milliliters per minute and
controlling the amount of inhibitor in the chemical agent to provide a static removal rate of the substrate surface of about 200 Å per minute or less.
40. The computer-readable medium of
claim 21
, wherein said instructions are arranged for controlling dishing in the dense array during steps (a) and (b) by:
rotating the first and second platens, respectively, at less than about 60 rpm or linearly moving the first and second belts at about 30 inches per second, wherein the polishing pad temperature is about 50° C. or less.
41. The computer-readable medium of
claim 21
, wherein said instructions are arranged for controlling the removal of particles during steps (a) and (b) by:
delivering the chemical agent to the polishing pad or the substrate surface at a flow rate of at least about 300 milliliters per minute and
controlling the amount of inhibitor in the chemical agent to provide a static removal rate of the substrate surface of about 200 Å per minute or less.
42. The computer-readable medium of
claim 21
, wherein said instructions are arranged for:
controlling dishing in the dense array during steps (a) and (b) by:
rotating the first and second platens, respectively, at less than about 60 rpm or linearly moving the first and second belts at about 30 inches per second, wherein the polishing pad temperature is about 50° C. or less; and
controlling the removal of particles during steps (a) and (b) by:
delivering the chemical agent to the polishing pad or the substrate surface at a flow rate of at least about 300 milliliters per minute and
controlling the amount of inhibitor in the chemical agent to provide a static removal rate of the substrate surface of about 200 Å per minute or less.
US09/741,538 1999-12-21 2000-12-20 High through-put copper CMP with reduced erosion and dishing Abandoned US20010004538A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/741,538 US20010004538A1 (en) 1999-12-21 2000-12-20 High through-put copper CMP with reduced erosion and dishing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469,709 US7041599B1 (en) 1999-12-21 1999-12-21 High through-put Cu CMP with significantly reduced erosion and dishing
US09/741,538 US20010004538A1 (en) 1999-12-21 2000-12-20 High through-put copper CMP with reduced erosion and dishing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/469,709 Continuation-In-Part US7041599B1 (en) 1999-12-21 1999-12-21 High through-put Cu CMP with significantly reduced erosion and dishing

Publications (1)

Publication Number Publication Date
US20010004538A1 true US20010004538A1 (en) 2001-06-21

Family

ID=23864795

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/469,709 Expired - Fee Related US7041599B1 (en) 1999-12-21 1999-12-21 High through-put Cu CMP with significantly reduced erosion and dishing
US09/741,538 Abandoned US20010004538A1 (en) 1999-12-21 2000-12-20 High through-put copper CMP with reduced erosion and dishing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/469,709 Expired - Fee Related US7041599B1 (en) 1999-12-21 1999-12-21 High through-put Cu CMP with significantly reduced erosion and dishing

Country Status (5)

Country Link
US (2) US7041599B1 (en)
EP (1) EP1111665A3 (en)
JP (1) JP4936590B2 (en)
KR (1) KR100751985B1 (en)
TW (1) TW478042B (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010015345A1 (en) * 1999-11-29 2001-08-23 Applied Materials, Inc. Planarized copper cleaning for reduced defects
US20030054667A1 (en) * 1998-11-04 2003-03-20 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US20030109204A1 (en) * 2001-12-06 2003-06-12 Kinik Company Fixed abrasive CMP pad dresser and associated methods
US20030216049A1 (en) * 2000-12-01 2003-11-20 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6780773B2 (en) 2001-07-11 2004-08-24 Applied Materials Inc. Method of chemical mechanical polishing with high throughput and low dishing
US20050026437A1 (en) * 2001-08-23 2005-02-03 Ying Ma Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
US20060046623A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070095677A1 (en) * 2005-10-31 2007-05-03 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN100414666C (en) * 2005-10-14 2008-08-27 联华电子股份有限公司 Composite chemically mechanical polishing method
US20080254629A1 (en) * 2003-01-03 2008-10-16 Chang Song Y Composition and method used for chemical mechanical planarization of metals
US20090001370A1 (en) * 2007-06-28 2009-01-01 Lin Wallace W Method and apparatus for extracting properties of interconnect wires and dielectrics undergoing planarization process
US20090032075A1 (en) * 2004-05-11 2009-02-05 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
WO2016014870A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc Chemical mechanical polishing apparatus and methods
CN106558542A (en) * 2015-09-28 2017-04-05 台湾积体电路制造股份有限公司 Semiconductor device and its manufacture method
US10500694B2 (en) 2013-01-11 2019-12-10 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods
CN115056131A (en) * 2022-03-21 2022-09-16 康劲 Method for repairing D-pits and etch pits by chelating agent in multi-layer copper wiring CMP

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3356126B2 (en) * 1999-08-10 2002-12-09 日本電気株式会社 Semiconductor device manufacturing method and chemical mechanical polishing apparatus
JP2001185550A (en) * 1999-12-24 2001-07-06 Kishimoto Sangyo Co Ltd Agent for forming film for semiconductor device
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
JP4076131B2 (en) * 2002-06-07 2008-04-16 富士通株式会社 Manufacturing method of semiconductor device
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7141502B1 (en) * 2003-09-29 2006-11-28 Advanced Micro Devices, Inc. Slurry-less polishing for removal of excess interconnect material during fabrication of a silicon integrated circuit
JP5015696B2 (en) * 2006-09-04 2012-08-29 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and manufacturing apparatus
KR101481573B1 (en) * 2008-02-12 2015-01-14 삼성전자주식회사 Slurry composition for chemical mechanical polishing and process of chemical mechanical polishing
CN102079063B (en) * 2009-12-01 2013-09-18 中芯国际集成电路制造(上海)有限公司 Chemical and mechanical grinding method
JP2012148376A (en) 2011-01-20 2012-08-09 Ebara Corp Polishing method and polishing apparatus
CN102615584A (en) * 2011-01-31 2012-08-01 中芯国际集成电路制造(上海)有限公司 Chemical mechanical grinding method
JP5695963B2 (en) 2011-04-28 2015-04-08 株式会社荏原製作所 Polishing method
KR101363890B1 (en) 2012-06-07 2014-02-19 에이엠테크놀로지 주식회사 Facing apparatus for surface plate of double side polishing device for wafer
JP6345489B2 (en) * 2014-06-02 2018-06-20 株式会社荏原製作所 Method and apparatus for determining polishing performance of polishing liquid

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014468A (en) 1989-05-05 1991-05-14 Norton Company Patterned coated abrasive for fine surface finishing
US5437754A (en) 1992-01-13 1995-08-01 Minnesota Mining And Manufacturing Company Abrasive article having precise lateral spacing between abrasive composite members
US5540810A (en) 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
US5453312A (en) 1993-10-29 1995-09-26 Minnesota Mining And Manufacturing Company Abrasive article, a process for its manufacture, and a method of using it to reduce a workpiece surface
US5454844A (en) 1993-10-29 1995-10-03 Minnesota Mining And Manufacturing Company Abrasive article, a process of making same, and a method of using same to finish a workpiece surface
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3305911B2 (en) * 1995-03-15 2002-07-24 株式会社東芝 Polishing method, polishing apparatus, and polishing wheel used therefor
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
JP3686126B2 (en) * 1995-07-10 2005-08-24 株式会社クラレ Fishing net
KR970023786A (en) * 1995-10-20 1997-05-30 김광호 Polishing method of silicon on insulator (SOI) wafer
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
JP3076244B2 (en) * 1996-06-04 2000-08-14 日本電気株式会社 Polishing method of multilayer wiring
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5692950A (en) 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
JPH10233374A (en) * 1997-02-19 1998-09-02 Hitachi Ltd Method and system for manufacturing semiconductor devices
US5842910A (en) 1997-03-10 1998-12-01 International Business Machines Corporation Off-center grooved polish pad for CMP
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
BR9809311A (en) 1997-04-30 2000-07-04 Minnesota Mining & Mfg Process of modifying a tablet surface suitable for the manufacture of a semiconductor device, and, tablet suitable for the manufacture of semiconductors
JPH1140526A (en) 1997-07-22 1999-02-12 Hitachi Ltd Wiring formation method and manufacture of semiconductor device
US6068879A (en) 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
JP4253048B2 (en) * 1997-10-15 2009-04-08 栗田工業株式会社 Abrasive slurry recovery device
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6096652A (en) 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
JP3132468B2 (en) * 1998-05-20 2001-02-05 日本電気株式会社 Semiconductor wafer polishing apparatus and polishing method therefor
US6113465A (en) 1998-06-16 2000-09-05 Speedfam-Ipec Corporation Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6863593B1 (en) 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
WO2000030154A2 (en) 1998-11-16 2000-05-25 Rodel Holdings, Inc. Method to control film removal rates for improved polishing in metal cmp
US6184141B1 (en) 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6261158B1 (en) * 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6555466B1 (en) * 1999-03-29 2003-04-29 Speedfam Corporation Two-step chemical-mechanical planarization for damascene structures on semiconductor wafers
US6261157B1 (en) 1999-05-25 2001-07-17 Applied Materials, Inc. Selective damascene chemical mechanical polishing
US6274478B1 (en) 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
JP4264781B2 (en) 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド Polishing composition and polishing method
EP1093161A1 (en) 1999-10-12 2001-04-18 Applied Materials, Inc. Method and composite arrangement inhibiting corrosion of a metal layer following chemical mechanical polishing

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030054667A1 (en) * 1998-11-04 2003-03-20 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6743737B2 (en) 1998-11-04 2004-06-01 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US20010015345A1 (en) * 1999-11-29 2001-08-23 Applied Materials, Inc. Planarized copper cleaning for reduced defects
US7104267B2 (en) * 1999-11-29 2006-09-12 Applied Materials Inc. Planarized copper cleaning for reduced defects
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
US20030216049A1 (en) * 2000-12-01 2003-11-20 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US7022608B2 (en) 2000-12-01 2006-04-04 Applied Materials Inc. Method and composition for the removal of residual materials during substrate planarization
US7232761B2 (en) 2001-07-11 2007-06-19 Applied Materials, Inc. Method of chemical mechanical polishing with high throughput and low dishing
US20050032381A1 (en) * 2001-07-11 2005-02-10 Yongsik Moon Method and apparatus for polishing metal and dielectric substrates
US6960521B2 (en) 2001-07-11 2005-11-01 Applied Materials, Inc. Method and apparatus for polishing metal and dielectric substrates
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6780773B2 (en) 2001-07-11 2004-08-24 Applied Materials Inc. Method of chemical mechanical polishing with high throughput and low dishing
US20050026442A1 (en) * 2001-07-11 2005-02-03 Shijian Li Method of chemical mechanical polishing with high throughput and low dishing
US20050026437A1 (en) * 2001-08-23 2005-02-03 Ying Ma Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US20030109204A1 (en) * 2001-12-06 2003-06-12 Kinik Company Fixed abrasive CMP pad dresser and associated methods
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20080254629A1 (en) * 2003-01-03 2008-10-16 Chang Song Y Composition and method used for chemical mechanical planarization of metals
US20090032075A1 (en) * 2004-05-11 2009-02-05 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US20060046623A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20080067683A1 (en) * 2005-02-24 2008-03-20 International Business Machines Corporation Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US8426316B2 (en) 2005-02-24 2013-04-23 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20080066860A1 (en) * 2005-02-24 2008-03-20 International Business Machines Corporation Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US8865597B2 (en) 2005-02-24 2014-10-21 International Business Machines Corporation Ta—TaN selective removal process for integrated device fabrication
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
CN100414666C (en) * 2005-10-14 2008-08-27 联华电子股份有限公司 Composite chemically mechanical polishing method
US20070095677A1 (en) * 2005-10-31 2007-05-03 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US7504018B2 (en) 2005-10-31 2009-03-17 Applied Materials, Inc. Electrochemical method for Ecmp polishing pad conditioning
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20090001370A1 (en) * 2007-06-28 2009-01-01 Lin Wallace W Method and apparatus for extracting properties of interconnect wires and dielectrics undergoing planarization process
US20090215266A1 (en) * 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
US10500694B2 (en) 2013-01-11 2019-12-10 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods
US11453097B2 (en) 2013-01-11 2022-09-27 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods
WO2016014870A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc Chemical mechanical polishing apparatus and methods
CN106558542A (en) * 2015-09-28 2017-04-05 台湾积体电路制造股份有限公司 Semiconductor device and its manufacture method
US11127680B2 (en) 2015-09-28 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN115056131A (en) * 2022-03-21 2022-09-16 康劲 Method for repairing D-pits and etch pits by chelating agent in multi-layer copper wiring CMP

Also Published As

Publication number Publication date
KR20020010440A (en) 2002-02-04
US7041599B1 (en) 2006-05-09
KR100751985B1 (en) 2007-08-28
JP2001308040A (en) 2001-11-02
TW478042B (en) 2002-03-01
JP4936590B2 (en) 2012-05-23
EP1111665A3 (en) 2004-01-02
EP1111665A2 (en) 2001-06-27

Similar Documents

Publication Publication Date Title
US7041599B1 (en) High through-put Cu CMP with significantly reduced erosion and dishing
US6656842B2 (en) Barrier layer buffing after Cu CMP
US6638143B2 (en) Ion exchange materials for chemical mechanical polishing
US7022608B2 (en) Method and composition for the removal of residual materials during substrate planarization
US6790768B2 (en) Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6561873B2 (en) Method and apparatus for enhanced CMP using metals having reductive properties
US6375693B1 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
EP1163311B1 (en) Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6435944B1 (en) CMP slurry for planarizing metals
US6783432B2 (en) Additives for pressure sensitive polishing compositions
US6561875B1 (en) Apparatus and method for producing substrate with electrical wire thereon
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
KR20030078002A (en) Manufacturing method of semiconductor device
US20060261040A1 (en) Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
CN100533674C (en) Method and abrasive slurry for chemical mechanical polishing, and semiconductor device and its manufacture method
US20020173221A1 (en) Method and apparatus for two-step polishing
US20020148169A1 (en) Composition for metal CMP with low dishing and overpolish insensitivity
US7112125B2 (en) Polishing cloth, polishing apparatus and method of manufacturing semiconductor devices
US6620027B2 (en) Method and apparatus for hard pad polishing
JP2004128112A (en) Manufacturing method of semiconductor device
US20050260855A1 (en) Method and apparatus for planarizing a semiconductor wafer

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, SHIJIAN;REDEKER, FRED C.;WHITE, JOHN M.;AND OTHERS;REEL/FRAME:011409/0672;SIGNING DATES FROM 20001212 TO 20001218

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE