US20010047507A1 - System and method for concurrent placement of gates and associated wiring - Google Patents

System and method for concurrent placement of gates and associated wiring Download PDF

Info

Publication number
US20010047507A1
US20010047507A1 US09/096,804 US9680498A US2001047507A1 US 20010047507 A1 US20010047507 A1 US 20010047507A1 US 9680498 A US9680498 A US 9680498A US 2001047507 A1 US2001047507 A1 US 2001047507A1
Authority
US
United States
Prior art keywords
circuit elements
placement
probabilistic model
bins
probabilistic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/096,804
Other versions
US6385760B2 (en
Inventor
Lawrence Pileggi
Majid Sarrafzadeh
Gary K. Yeap
Feroze Peshotan Taraporevala
Tong Gao
Douglas B. Boyle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/096,804 priority Critical patent/US6385760B2/en
Assigned to MONTEREY DESIGN SYSTEMS, INC. reassignment MONTEREY DESIGN SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SARRAFZADEH, MAJID, GAO, TONG, BOYLE, DOUGLAS B., PILEGGI, LAWRENCE, TARAPOREVALA, FEROZE PESHOTAN, YEAP, GARY K.
Publication of US20010047507A1 publication Critical patent/US20010047507A1/en
Application granted granted Critical
Publication of US6385760B2 publication Critical patent/US6385760B2/en
Assigned to SYNOPSYS, INC. reassignment SYNOPSYS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MONTEREY DESIGN SYSTEMS, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Definitions

  • the present invention relates to integrated circuit design tools.
  • the present invention relates to design tools that optimize area and performance for integrated circuits.
  • interconnection wiring (“interconnect”) among circuit elements in an integrated circuit is expected to dominate signal delays and to limit achievable circuit density of an integrated circuit.
  • Existing design methods which treat interconnect as “parasitics” and focus on optimizing transistors and logic gates, are ill-equipped to provide a design which delivers the necessary performance.
  • the circuit elements of an integrated circuit are first synthesized and placed.
  • a global routing tool is then used to interconnect these circuit elements. Because placement and routing are performed relatively independently, even though some tools take into consideration the connectivity among circuit elements in providing the placement, the global routing tool's ability to address power, timing and congestion issues is severely limited.
  • the present invention provides a method and a design tool for designing integrated circuits with emphasis on circuit performance.
  • One method of the present invention pertains to a placement algorithm for placing circuit elements onto a target area of a semiconductor substrate according to the following steps: (a) providing an initial placement of the circuit elements onto a target area; (b) providing, for each of the nets interconnecting the circuit elements, a probabilistic model of interconnect wiring based on required performance for the net; (c) optimizing the cost function associated with the placement of the circuit elements and the corresponding wiring using an iterative placement algorithm; (d) updating the performance estimations during placement to facilitate continuous adjustments of the probabilistic wiring model.
  • the probabilistic model of interconnect wiring are provided according to performance requirements which are updated continuously.
  • the placement tool optimizes gate placement using timing estimates based on a probabilistic wiring model.
  • the wiring model represents the local, probabilistic wiring density based on the continuously updated criticality of the net.
  • the probabilistic wiring model represents nets based on where the wiring should be routed to attain the necessary performance.
  • the placement optimization modifies the placement to achieve aggregate wiring that is globally feasible.
  • the present invention can be practiced in conjunction with any placement tool which is based on iterative improvement.
  • FIG. 1 is a flow diagram of a method of optimizing integrated circuit performance, in accordance with the present invention.
  • FIG. 2 a provides an example of a smear over a bounding box 600 of a net.
  • FIG. 2 b provides a smear of the net of FIG. 2 a , provided over localized bounding regions 601 .
  • FIG. 2 c provides a smear of the net of FIG. 2 a , provided over localized bounding regions 601 , but with multiple wire-smearing densities shown at areas 603 and 604 .
  • FIGS. 3 a and 3 b show equally acceptable (from a performance point of view) wiring configurations 503 and 504 for interconnecting gates 501 and 502 .
  • FIG. 3 c shows a probabilistic smear 505 representing equally acceptable wiring configurations between and including wiring configurations 503 and 504 .
  • the present invention provides a method that performs placement of circuit elements (e.g. gates) and interconnect wiring concurrently. To ensure that routing space is not unduly restricted before placement is finalized, the router of the present invention places interconnect wires (“interconnect”) using a probabilistic representation (“smear”), rather than actual wiring, until predetermined points in the optimization process.
  • interconnect interconnect wires
  • shear probabilistic representation
  • the present invention can be applied to an integrated circuit design system, such as any of those disclosed in copending patent applications (“Copending Applications”): (a) a patent application, entitled “Performance Driven Design Optimization Using Logical and Physical Information” by D. Boyle et al., Ser. No. 09/021,973, filed Feb. 11, 1998, and (b) a patent application, entitled “Method for Design Optimization Using Logical and Physical Information,” by L. Pileggi et al., Ser. No. ______, filed on or about the same day as the present application. Both Copending Applications are assigned to Monterey Design Systems, Inc., which is also the Assignee of the present application. The disclosures of the Copending Applications are hereby incorporated by reference in their entireties.
  • FIG. 1 is a flow diagram of a method for optimizing integrated circuit performance in accordance with the present invention.
  • the method operates on an input net list (e.g., a logic gate-level net list synthesized from a behavioral description of an integrated circuit or a portion of an integrated circuit), from which circuit elements are clustered according to connectivity.
  • the clusters are mapped as an initial placement onto a 2-dimensional representation of the chip area. Any placement algorithm which is capable of placing non-uniform circuit elements can be used for the initial placement.
  • each net wire placement is modeled in a probabilistic manner.
  • all nets are considered equally critical.
  • Each probabilistic wire placement (“smear”) represents a set of best routes of minimum or close to minimum cost (e.g., interconnect delay).
  • the smear can be represented, as shown in FIG. 6 a , by the average routing length for a predetermined number of best routes over a bounding box (i.e., bounding box 600 ) covering the net.
  • a smear for a net can be represented (as shown in FIG.
  • FIG. 2 c shows a smear representing the net of FIG. 2 a , including areas 603 and 604 of different wiring densities. The darker shadings (i.e., areas 604 ) indicate regions where more favorable routes can be achieved.
  • the delays for the nets and associated circuit elements are calculated. Since the smears are probabilistic, the delays calculated from the smears are necessarily probabilistic. In one embodiment, both the best case delay and the worst case delay are approximated over each smear.
  • a statistical estimate of delay is provided for each net within a cluster. Such a statistical estimate of delay can be provided, for example, based on the fan-out at a driver of the net.
  • a delay based on estimates of the resistance and the capacitance in a net (“RC calculations”) can be provided for a net between circuit elements of different clusters. Where a net has a non-negligible portion of delay within a cluster and a non-negligible portion of delay between clusters, an estimate based on both the statistical estimate of delay and the RC calculations can be provided.
  • the expected performance at each net is represented by a “slack graph”.
  • a slack graph includes, for each net, a “slack” value which is represented by the time difference between the arrival time and the required time of a signal on the net.
  • the propagation delay of any logic gate can be estimated by conventional techniques, such as using Thevenin equivalent or effective load (Ceff) models.
  • each net which lies along a critical path and which has either a negative slack or a small positive slack is identified. Since it is advantageous to minimize the delays in these nets, the smears of these nets are restricted to encompass only those routes producing the minimum delay, or close to minimum delay.
  • FIGS. 3 a , 3 b and 3 c show equally acceptable (from a performance point of view) wiring routes 503 and 504 for interconnecting gates 501 and 502 . Routes between routes 503 and 504 are also acceptable. (As shown, routes 503 and 504 are preferred routes, since any route between routes 503 and 504 incurs at least one additional via). Hence, a smear indicated by bounding box 505 represents the collection of best acceptable routes between and including routes 503 and 504 , assuming via costs are negligible.
  • an iterative placement algorithm based on minimizing a cost function is invoked.
  • the cost function has congestion, gate area, total wiring, power and delay components.
  • a suitable placement algorithm is the Fidduccia-Matheyses (FM) algorithm known in the art.
  • FM Fidduccia-Matheyses
  • Another example is any placement algorithm based on simulated annealing.
  • smears associated with a circuit element are concurrently placed when the circuit element is placed.
  • steps 5 a With each iterative placement move (step 5 a ), smears are derived based on existing slack information and the location change of the each circuit element involved in the iterative move.
  • the delays and smears of each net are further refined in steps 5 b and 5 c . Specifically, at step 5 b , the delays and slacks are incrementally updated. Then, at step 5 c , the smears are updated based on the changes in slacks and circuit element placements.
  • step 5 d a decision is made as to whether or not to keep the iterative placement move, according to the cost function and the acceptance criteria for the move.
  • the cost function Typically, not only moves which improve the cost are accepted. For example, in simulated annealing-based algorithms, some uphill cost moves are accepted to avoid local minima, so as to achieve better global solutions.
  • a “smear” represents a collection of best possible routes of less than a predetermined cost.
  • a “bounding box smear” or a “localized bounding box smear” provides a wiring density calculated from a set of best routes over the associated area. The wiring density can be used to provide the congestion component of an overall cost function.
  • the bounding box also provides a measure of the total interconnect wiring lengths, which can be used to estimate the total load capacitance driven by a driving circuit element, and hence the power dissipation of the driving circuit element. With wiring density and total interconnect wiring lengths, the bounding box smear thus estimates the integrated circuit area necessary for implementing the interconnect represented by the smear that achieves the required timing performance.
  • the present invention provides a congestion measure that is based on the preferable position of the wire, rather than the constrained maximized route attached to a particular placement of the circuit elements to which the wire is associated.
  • the local wiring density, and hence congestion is the sum of all smears at the location.
  • a gate and nets associated with the gate can be moved to reduce the local wiring density.
  • a new smear is then calculated for each net at the new gate location. If the net has a large positive slack (i.e., the arrival time is much earlier than the required time), higher delay routes can be included in the smear.
  • the cost estimate (e.g., the slack) on each net related to the smears at the location should be updated.
  • an update to a slack is provided only after the cost difference exceeds a predetermined threshold.
  • the placement cost function considers a combination of the costs associated with area, power dissipation, delay, total wirelength, and wiring congestion. Placement moves are accepted or rejected based on changes in these costs. If a placement move is accepted ( 5 d ), the placement algorithm returns to step 5 a . If the placement move is not accepted, the algorithm undoes the changes and executes step 5 a to obtain a new placement move.
  • the present invention is applicable to not only to design of logic circuits with conventional signaling on conventional interconnects, but to design of other circuit technologies also, such as high speed mixed mode signals on RF transmission lines, or circuits using copper interconnect.
  • the present invention can also provide a system useful not only in the design of electronic integrated circuits, but also to micromachine with a significant electronic circuit portions.
  • the present invention is set forth in the following claims.

Abstract

A design tool for integrated circuits includes a placement tool which places logic gates and interconnect components concurrently. Probabilistic interconnect models are used to represent the collection of possible interconnect routings that provide acceptable circuit performance and routing area.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to integrated circuit design tools. In particular, the present invention relates to design tools that optimize area and performance for integrated circuits. [0002]
  • 2. Discussion of the Related Art [0003]
  • The interconnection wiring (“interconnect”) among circuit elements in an integrated circuit is expected to dominate signal delays and to limit achievable circuit density of an integrated circuit. Existing design methods, which treat interconnect as “parasitics” and focus on optimizing transistors and logic gates, are ill-equipped to provide a design which delivers the necessary performance. Typically, in a conventional design method, the circuit elements of an integrated circuit are first synthesized and placed. A global routing tool is then used to interconnect these circuit elements. Because placement and routing are performed relatively independently, even though some tools take into consideration the connectivity among circuit elements in providing the placement, the global routing tool's ability to address power, timing and congestion issues is severely limited. [0004]
  • Concurrent placement and wiring routing is disclosed in U.S. Pat. No. 4,593,363, entitled “Simultaneous Placement and Wiring for VLSI Chips” to Burstein et al. The '363 patent discloses an iterative method in which a global router is invoked to route networks redistributed under a hierarchical placement algorithm. [0005]
  • SUMMARY OF THE INVENTION
  • The present invention provides a method and a design tool for designing integrated circuits with emphasis on circuit performance. One method of the present invention pertains to a placement algorithm for placing circuit elements onto a target area of a semiconductor substrate according to the following steps: (a) providing an initial placement of the circuit elements onto a target area; (b) providing, for each of the nets interconnecting the circuit elements, a probabilistic model of interconnect wiring based on required performance for the net; (c) optimizing the cost function associated with the placement of the circuit elements and the corresponding wiring using an iterative placement algorithm; (d) updating the performance estimations during placement to facilitate continuous adjustments of the probabilistic wiring model. Thus, in a method of the present invention, the probabilistic model of interconnect wiring are provided according to performance requirements which are updated continuously. [0006]
  • The placement tool optimizes gate placement using timing estimates based on a probabilistic wiring model. The wiring model represents the local, probabilistic wiring density based on the continuously updated criticality of the net. The probabilistic wiring model represents nets based on where the wiring should be routed to attain the necessary performance. The placement optimization then modifies the placement to achieve aggregate wiring that is globally feasible. The present invention can be practiced in conjunction with any placement tool which is based on iterative improvement.[0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a flow diagram of a method of optimizing integrated circuit performance, in accordance with the present invention. [0008]
  • FIG. 2[0009] a provides an example of a smear over a bounding box 600 of a net.
  • FIG. 2[0010] b provides a smear of the net of FIG. 2a, provided over localized bounding regions 601.
  • FIG. 2[0011] c provides a smear of the net of FIG. 2a, provided over localized bounding regions 601, but with multiple wire-smearing densities shown at areas 603 and 604.
  • FIGS. 3[0012] a and 3 b show equally acceptable (from a performance point of view) wiring configurations 503 and 504 for interconnecting gates 501 and 502.
  • FIG. 3[0013] c shows a probabilistic smear 505 representing equally acceptable wiring configurations between and including wiring configurations 503 and 504.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention provides a method that performs placement of circuit elements (e.g. gates) and interconnect wiring concurrently. To ensure that routing space is not unduly restricted before placement is finalized, the router of the present invention places interconnect wires (“interconnect”) using a probabilistic representation (“smear”), rather than actual wiring, until predetermined points in the optimization process. [0014]
  • The present invention can be applied to an integrated circuit design system, such as any of those disclosed in copending patent applications (“Copending Applications”): (a) a patent application, entitled “Performance Driven Design Optimization Using Logical and Physical Information” by D. Boyle et al., Ser. No. 09/021,973, filed Feb. 11, 1998, and (b) a patent application, entitled “Method for Design Optimization Using Logical and Physical Information,” by L. Pileggi et al., Ser. No. ______, filed on or about the same day as the present application. Both Copending Applications are assigned to Monterey Design Systems, Inc., which is also the Assignee of the present application. The disclosures of the Copending Applications are hereby incorporated by reference in their entireties. [0015]
  • FIG. 1 is a flow diagram of a method for optimizing integrated circuit performance in accordance with the present invention. The method operates on an input net list (e.g., a logic gate-level net list synthesized from a behavioral description of an integrated circuit or a portion of an integrated circuit), from which circuit elements are clustered according to connectivity. At [0016] step 1 of FIG. 1, the clusters are mapped as an initial placement onto a 2-dimensional representation of the chip area. Any placement algorithm which is capable of placing non-uniform circuit elements can be used for the initial placement.
  • At [0017] step 2, having mapped all circuit elements to individual 2-dimensional locations of the chip, each net wire placement is modeled in a probabilistic manner. At this step, all nets are considered equally critical. Each probabilistic wire placement (“smear”) represents a set of best routes of minimum or close to minimum cost (e.g., interconnect delay). The smear can be represented, as shown in FIG. 6a, by the average routing length for a predetermined number of best routes over a bounding box (i.e., bounding box 600) covering the net. Alternately, and more accurately, a smear for a net can be represented (as shown in FIG. 2b) by the average interconnect length of the best routes averaged over relevant localized bounding regions indicated by shaded regions 601. The representation for the smear can be further refined, if necessary, to allow regions where more favorable routes are achievable to be identified. For example, FIG. 2c shows a smear representing the net of FIG. 2a, including areas 603 and 604 of different wiring densities. The darker shadings (i.e., areas 604) indicate regions where more favorable routes can be achieved.
  • At [0018] step 3, using the initial placement of the circuit elements and the smears, the delays for the nets and associated circuit elements are calculated. Since the smears are probabilistic, the delays calculated from the smears are necessarily probabilistic. In one embodiment, both the best case delay and the worst case delay are approximated over each smear.
  • Depending on the placement algorithm and the circuit element clusters, a statistical estimate of delay is provided for each net within a cluster. Such a statistical estimate of delay can be provided, for example, based on the fan-out at a driver of the net. A delay based on estimates of the resistance and the capacitance in a net (“RC calculations”) can be provided for a net between circuit elements of different clusters. Where a net has a non-negligible portion of delay within a cluster and a non-negligible portion of delay between clusters, an estimate based on both the statistical estimate of delay and the RC calculations can be provided. [0019]
  • In this embodiment, the expected performance at each net is represented by a “slack graph”. A slack graph includes, for each net, a “slack” value which is represented by the time difference between the arrival time and the required time of a signal on the net. The propagation delay of any logic gate can be estimated by conventional techniques, such as using Thevenin equivalent or effective load (Ceff) models. [0020]
  • At step [0021] 4, each net which lies along a critical path and which has either a negative slack or a small positive slack is identified. Since it is advantageous to minimize the delays in these nets, the smears of these nets are restricted to encompass only those routes producing the minimum delay, or close to minimum delay.
  • Even though the nets along a critical path are most constrained in wiring placement, a smear of one of these nets still represents a set of routes of best performance. FIGS. 3[0022] a, 3 b and 3 c show equally acceptable (from a performance point of view) wiring routes 503 and 504 for interconnecting gates 501 and 502. Routes between routes 503 and 504 are also acceptable. (As shown, routes 503 and 504 are preferred routes, since any route between routes 503 and 504 incurs at least one additional via). Hence, a smear indicated by bounding box 505 represents the collection of best acceptable routes between and including routes 503 and 504, assuming via costs are negligible.
  • At step [0023] 5, an iterative placement algorithm based on minimizing a cost function is invoked. In this embodiment, the cost function has congestion, gate area, total wiring, power and delay components. One example of a suitable placement algorithm is the Fidduccia-Matheyses (FM) algorithm known in the art. Another example is any placement algorithm based on simulated annealing.
  • According to the present invention, smears associated with a circuit element are concurrently placed when the circuit element is placed. With each iterative placement move (step [0024] 5 a), smears are derived based on existing slack information and the location change of the each circuit element involved in the iterative move. The delays and smears of each net are further refined in steps 5 b and 5 c. Specifically, at step 5 b, the delays and slacks are incrementally updated. Then, at step 5 c, the smears are updated based on the changes in slacks and circuit element placements.
  • In step [0025] 5 d, a decision is made as to whether or not to keep the iterative placement move, according to the cost function and the acceptance criteria for the move. Typically, not only moves which improve the cost are accepted. For example, in simulated annealing-based algorithms, some uphill cost moves are accepted to avoid local minima, so as to achieve better global solutions.
  • As mentioned above, a “smear” represents a collection of best possible routes of less than a predetermined cost. One representation of a smear, referred to as a “bounding box smear” or a “localized bounding box smear”, provides a wiring density calculated from a set of best routes over the associated area. The wiring density can be used to provide the congestion component of an overall cost function. The bounding box also provides a measure of the total interconnect wiring lengths, which can be used to estimate the total load capacitance driven by a driving circuit element, and hence the power dissipation of the driving circuit element. With wiring density and total interconnect wiring lengths, the bounding box smear thus estimates the integrated circuit area necessary for implementing the interconnect represented by the smear that achieves the required timing performance. [0026]
  • Since the wiring density is determined without regard to the smears associated with other circuit elements, the present invention provides a congestion measure that is based on the preferable position of the wire, rather than the constrained maximized route attached to a particular placement of the circuit elements to which the wire is associated. For a given location, the local wiring density, and hence congestion, is the sum of all smears at the location. During an iterative placement move, a gate and nets associated with the gate can be moved to reduce the local wiring density. A new smear is then calculated for each net at the new gate location. If the net has a large positive slack (i.e., the arrival time is much earlier than the required time), higher delay routes can be included in the smear. Conversely, where the placement of additional smears increase the congestion at a particular location, the cost estimate (e.g., the slack) on each net related to the smears at the location should be updated. In the present embodiment, an update to a slack is provided only after the cost difference exceeds a predetermined threshold. [0027]
  • The placement cost function considers a combination of the costs associated with area, power dissipation, delay, total wirelength, and wiring congestion. Placement moves are accepted or rejected based on changes in these costs. If a placement move is accepted ([0028] 5 d), the placement algorithm returns to step 5 a. If the placement move is not accepted, the algorithm undoes the changes and executes step 5 a to obtain a new placement move.
  • The above detailed description is provided to illustrate the specific embodiments above and is not intended to be limiting of the present invention. Numerous variations and modifications within the scope of the present invention are possible. For example, the present invention is applicable to not only to design of logic circuits with conventional signaling on conventional interconnects, but to design of other circuit technologies also, such as high speed mixed mode signals on RF transmission lines, or circuits using copper interconnect. The present invention can also provide a system useful not only in the design of electronic integrated circuits, but also to micromachine with a significant electronic circuit portions. The present invention is set forth in the following claims. [0029]

Claims (16)

I claim:
1. A method for placing circuit elements onto a target area of a semiconductor substrate, comprising:
providing an initial placement of said circuit elements onto said target area;
providing, for each of a plurality of selected nets interconnecting said circuit elements, a probabilistic model of interconnect wiring;
providing a second placement of said circuit elements by reassigning selected ones of said circuit elements; and
updating said probabilistic model of interconnect wiring for each of said selected ones of said circuit elements, according to said second placement.
2. A method as in
claim 1
, wherein said second placement being provided in accordance with timing estimates of said probabilistic model.
3. A method as in
claim 2
, wherein said probabilistic model represents local wiring density.
4. A method as in
claim 1
, wherein said probabilistic model is provided independently of said initial and second placements.
5. A method as in
claim 1
, wherein said initial placement, circuit elements are placed within bins, and wherein said probabilistic models are provided for interconnect wiring between circuit elements of different bins.
6. A method as in
claim 5
, wherein a statistical routing estimate is provided for a signal path between circuit elements within the same bin.
7. A method as in
claim 5
, wherein said bins are subdivided into successively smaller bins and wherein said steps of providing a second placement and adjusting the probabilistic models are repeated for said successively smaller bins.
8. A method as in
claim 7
, further comprising, when said smaller bins reach a predetermined size, transforming each of said probabilistic model into an actual interconnect wiring.
9. A system for placing circuit elements onto a target area of a semiconductor substrate, comprising:
a placement tool for placing an initial placement of said circuit elements onto said target area; and
means for providing, for each of a plurality of selected nets interconnecting said circuit elements, a probabilistic model of interconnect wiring; wherein
said placement tool, upon completion by said means for providing of said probabilistic model, provides a second placement of said circuit elements by reassigning selected ones of said circuit elements; and thereupon, said means for providing a probabilistic model updates said probabilistic model of interconnect wiring for each of said selected ones of said circuit elements, according to said second placement.
10. A system as in
claim 9
, wherein said second placement being provided in accordance with timing estimates of said probabilistic model.
11. A system as in
claim 9
, wherein said probabilistic model represents local wiring density.
12. A system as in
claim 9
, wherein said probabilistic model is provided independently of said initial and second placements.
13. A system as in
claim 9
, wherein said placement tool places said circuit elements within bins, and wherein said probabilistic models are provided for interconnect wiring between circuit elements of different bins.
14. A system as in
claim 13
, wherein a statistical routing estimate is provided for a signal path between circuit elements within the same bin.
15. A system as in
claim 13
, wherein said bins are subdivided into successively smaller bins and wherein said steps of providing a second placement and adjusting the probabilistic models are repeated for said successively smaller bins.
16. A system as in
claim 15
, further comprising, when said smaller bins reach a predetermined size, transforming each of said probabilistic model into an actual interconnect wiring.
US09/096,804 1998-06-12 1998-06-12 System and method for concurrent placement of gates and associated wiring Expired - Lifetime US6385760B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/096,804 US6385760B2 (en) 1998-06-12 1998-06-12 System and method for concurrent placement of gates and associated wiring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/096,804 US6385760B2 (en) 1998-06-12 1998-06-12 System and method for concurrent placement of gates and associated wiring

Publications (2)

Publication Number Publication Date
US20010047507A1 true US20010047507A1 (en) 2001-11-29
US6385760B2 US6385760B2 (en) 2002-05-07

Family

ID=22259148

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/096,804 Expired - Lifetime US6385760B2 (en) 1998-06-12 1998-06-12 System and method for concurrent placement of gates and associated wiring

Country Status (1)

Country Link
US (1) US6385760B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415422B1 (en) * 1999-09-17 2002-07-02 International Business Machines Corporation Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US6507937B1 (en) * 2001-06-19 2003-01-14 Lsi Logic Corporation Method of global placement of control cells and hardmac pins in a datapath macro for an integrated circuit design
US6601222B1 (en) * 2000-10-13 2003-07-29 International Business Machines Corporation Coupled noise estimation and avoidance of noise-failure using global routing information
US6640331B2 (en) * 2001-11-29 2003-10-28 Sun Microsystems, Inc. Decoupling capacitor assignment technique with respect to leakage power
US20050262466A1 (en) * 2002-06-17 2005-11-24 Ottmar Koeder Method for modifying design data for the production of a component and corresponding units
US7072815B1 (en) * 2002-08-06 2006-07-04 Xilinx, Inc. Relocation of components for post-placement optimization
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US7191417B1 (en) * 2004-06-04 2007-03-13 Sierra Design Automation, Inc. Method and apparatus for optimization of digital integrated circuits using detection of bottlenecks
US20080059931A1 (en) * 2006-08-29 2008-03-06 Jason Peters Apparatus and Methods for Congestion Estimation and Optimization for Computer-Aided Design Software
US20090089721A1 (en) * 2007-10-02 2009-04-02 Alpert Charles J Method for incremental, timing-driven, physical-synthesis optimization
US20090144688A1 (en) * 2007-12-03 2009-06-04 Taku Uchino Systems and Methods for Probabilistic Interconnect Planning
US20090193382A1 (en) * 2008-01-25 2009-07-30 Infineon Technologies Ag Method of making an integrated circuit including simplifying metal shapes
US20090217228A1 (en) * 2008-02-26 2009-08-27 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US20170132341A1 (en) * 2015-11-11 2017-05-11 International Business Machines Corporation Simulation of modifications to microprocessor design

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7028272B2 (en) * 2002-01-03 2006-04-11 Texas Instruments Incorporated Reducing cell library development cycle time
US7185299B1 (en) * 2003-01-30 2007-02-27 Xilinx, Inc. Methods of estimating routing delays during the placement process in programmable logic devices
US7234125B1 (en) * 2003-06-23 2007-06-19 Altera Corporation Timing analysis for programmable logic
US7945868B2 (en) * 2007-10-01 2011-05-17 Carnegie Mellon University Tunable integrated circuit design for nano-scale technologies
US8667444B2 (en) 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495559A (en) * 1981-11-02 1985-01-22 International Business Machines Corporation Optimization of an organization of many discrete elements
US5267176A (en) * 1988-11-02 1993-11-30 Siemens Aktiengesellschaft Method for placing modules on a carrier
JPH02242474A (en) * 1989-03-16 1990-09-26 Hitachi Ltd Method and device for optimizing element arrangement and method and device for deciding optimum arrangement
US5251147A (en) * 1989-06-20 1993-10-05 Digital Equipment Corporation Minimizing the interconnection cost of electronically linked objects
US5218551A (en) * 1990-04-30 1993-06-08 International Business Machines Corporation Timing driven placement
US5237514A (en) * 1990-12-21 1993-08-17 International Business Machines Corporation Minimizing path delay in a machine by compensation of timing through selective placement and partitioning
US5495419A (en) * 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing
US5587923A (en) * 1994-09-07 1996-12-24 Lsi Logic Corporation Method for estimating routability and congestion in a cell placement for integrated circuit chip
US5818729A (en) * 1996-05-23 1998-10-06 Synopsys, Inc. Method and system for placing cells using quadratic placement and a spanning tree model
US5971588A (en) * 1996-06-28 1999-10-26 Lsi Logic Corporation Advanced modular cell placement system with optimization of cell neighborhood system
US6070108A (en) * 1997-08-06 2000-05-30 Lsi Logic Corporation Method and apparatus for congestion driven placement

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415422B1 (en) * 1999-09-17 2002-07-02 International Business Machines Corporation Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US6601222B1 (en) * 2000-10-13 2003-07-29 International Business Machines Corporation Coupled noise estimation and avoidance of noise-failure using global routing information
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US6507937B1 (en) * 2001-06-19 2003-01-14 Lsi Logic Corporation Method of global placement of control cells and hardmac pins in a datapath macro for an integrated circuit design
US6640331B2 (en) * 2001-11-29 2003-10-28 Sun Microsystems, Inc. Decoupling capacitor assignment technique with respect to leakage power
US20050262466A1 (en) * 2002-06-17 2005-11-24 Ottmar Koeder Method for modifying design data for the production of a component and corresponding units
US7356781B2 (en) 2002-06-17 2008-04-08 Infineon Technologies Ag Method for modifying design data for the production of a component and corresponding units
US7072815B1 (en) * 2002-08-06 2006-07-04 Xilinx, Inc. Relocation of components for post-placement optimization
US7191417B1 (en) * 2004-06-04 2007-03-13 Sierra Design Automation, Inc. Method and apparatus for optimization of digital integrated circuits using detection of bottlenecks
US7681165B2 (en) * 2006-08-29 2010-03-16 Altera Corporation Apparatus and methods for congestion estimation and optimization for computer-aided design software
US20080059931A1 (en) * 2006-08-29 2008-03-06 Jason Peters Apparatus and Methods for Congestion Estimation and Optimization for Computer-Aided Design Software
US20090089721A1 (en) * 2007-10-02 2009-04-02 Alpert Charles J Method for incremental, timing-driven, physical-synthesis optimization
US8370783B2 (en) * 2007-12-03 2013-02-05 Kabushiki Kaisha Toshiba Systems and methods for probabilistic interconnect planning
US20090144688A1 (en) * 2007-12-03 2009-06-04 Taku Uchino Systems and Methods for Probabilistic Interconnect Planning
US20090193382A1 (en) * 2008-01-25 2009-07-30 Infineon Technologies Ag Method of making an integrated circuit including simplifying metal shapes
US7934189B2 (en) 2008-01-25 2011-04-26 Infineon Technologies Ag Method of making an integrated circuit including simplifying metal shapes
US20110113400A1 (en) * 2008-01-25 2011-05-12 Infineon Technologies Ag Method of making in an integrated circuit including simplifying metal shapes
US8607183B2 (en) 2008-01-25 2013-12-10 Infineon Technologies Ag Method of making in an integrated circuit including simplifying metal shapes
US20090217228A1 (en) * 2008-02-26 2009-08-27 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US20170132341A1 (en) * 2015-11-11 2017-05-11 International Business Machines Corporation Simulation of modifications to microprocessor design
US20170132340A1 (en) * 2015-11-11 2017-05-11 International Business Machines Corporation Simulation of modifications to microprocessor design
US9928322B2 (en) * 2015-11-11 2018-03-27 International Business Machines Corporation Simulation of modifications to microprocessor design
US9934341B2 (en) * 2015-11-11 2018-04-03 International Business Machines Corporation Simulation of modifications to microprocessor design

Also Published As

Publication number Publication date
US6385760B2 (en) 2002-05-07

Similar Documents

Publication Publication Date Title
US6385760B2 (en) System and method for concurrent placement of gates and associated wiring
US6298468B1 (en) Placement-based pin optimization method and apparatus for computer-aided circuit design
US6327693B1 (en) Interconnect delay driven placement and routing of an integrated circuit design
US6286128B1 (en) Method for design optimization using logical and physical information
US7484199B2 (en) Buffer insertion to reduce wirelength in VLSI circuits
US6415422B1 (en) Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US5629860A (en) Method for determining timing delays associated with placement and routing of an integrated circuit
US5521837A (en) Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
US7676780B2 (en) Techniques for super fast buffer insertion
US5761078A (en) Field programmable gate arrays using semi-hard multicell macros
US7890905B2 (en) Slew constrained minimum cost buffering
US6622294B2 (en) Adaptive power routing and shield sharing to reduce shield count
US6367051B1 (en) System and method for concurrent buffer insertion and placement of logic gates
JP2003016130A (en) Method for distributing clock, method for generating clock tree, method for setting clock delay and method for processing wiring
Stenz et al. Timing driven placement in interaction with netlist transformations
US6480996B1 (en) System and method for transposing wires in a circuit design
US6414852B1 (en) Integrated circuit and method of design thereof
US6567966B2 (en) Interweaved integrated circuit interconnects
US6845346B1 (en) Iterative method of parasitics estimation for integrated circuit designs
JP3070754B2 (en) Semiconductor integrated circuit and layout and wiring method thereof
Cheng et al. Integrating buffer planning with floorplanning for simultaneous multi-objective optimization
JP3548398B2 (en) Schematic route determination method and schematic route determination method
Moiseev et al. Interconnect Aspects in Design Methodology and EDA Tools
JP3230234B2 (en) A delay adjustment method for a semiconductor integrated circuit, a delay adjustment circuit block, and a recording medium.
JP2002313921A (en) Method for designing wiring layout of semiconductor integrated circuit

Legal Events

Date Code Title Description
AS Assignment

Owner name: MONTEREY DESIGN SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PILEGGI, LAWRENCE;SARRAFZADEH, MAJID;YEAP, GARY K.;AND OTHERS;REEL/FRAME:009482/0944;SIGNING DATES FROM 19980831 TO 19980921

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: SYNOPSYS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MONTEREY DESIGN SYSTEMS, INC.;REEL/FRAME:015279/0811

Effective date: 20041022

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12