US20020008036A1 - Plating apparatus and method - Google Patents

Plating apparatus and method Download PDF

Info

Publication number
US20020008036A1
US20020008036A1 US09/837,902 US83790201A US2002008036A1 US 20020008036 A1 US20020008036 A1 US 20020008036A1 US 83790201 A US83790201 A US 83790201A US 2002008036 A1 US2002008036 A1 US 2002008036A1
Authority
US
United States
Prior art keywords
substrate
plating
electrolyte
film
anode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/837,902
Inventor
Hui Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ACM Research Inc
Original Assignee
ACM Research Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ACM Research Inc filed Critical ACM Research Inc
Priority to US09/837,902 priority Critical patent/US20020008036A1/en
Assigned to ACM RESEARCH, INC. reassignment ACM RESEARCH, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, HUI
Publication of US20020008036A1 publication Critical patent/US20020008036A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/026Electroplating of selected surface areas using locally applied jets of electrolyte
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/18Electroplating using modulated, pulsed or reversing current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation

Definitions

  • the present invention relates generally to a method and apparatus for plating thin films and, more particularly, plating metal films to form interconnects in semiconductor devices.
  • interconnect delay is larger than device gate delay for 0.18 ⁇ m generation devices if aluminum (Al) and SiO 2 are still being used.
  • Al aluminum
  • SiO 2 SiO 2
  • copper and low k dielectric are a possible solution.
  • Copper/low k interconnects provide several advantages over traditional Al/SiO 2 approaches, including the ability to significantly reduce the interconnect delay, while also reducing the number of levels of metal required, minimizing power dissipation and reducing manufacturing costs. Copper offers improved reliability in that its resistance to electromigration is much better than aluminum.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PVD Cu deposition typically has a cusping problem which results in voids when filling small gaps ( ⁇ 0.18 ⁇ m) with a large aspect ratio.
  • CVD Cu has high impurity incorporated inside the film during deposition, which needs a high temperature annealing to drive out the impurity in order to obtain a low resistivity Cu film.
  • Only electroplated Cu can provide both low resistivity and excellent gap filling capability at the same time. Another important factor is the cost; the cost of electroplating tools is two thirds or half of that of PVD or CVD tools, respectively.
  • low process temperatures (30° to 60° C.) for electroplating Cu are advantageous with low k dielectrics (polymer, xerogels and aerogels) in succeeding generations of devices.
  • Electroplated Cu has been used in printed circuit boards, bump plating in chip packages and magnetic heads for many years.
  • density of plating current flow to the periphery of wafers is greater than that to the center of wafers. This causes a higher plating rate at the periphery than at the center of wafers.
  • U.S. Pat. No. 4,304,841 to Grandia et al. discloses a diffuser being put between a substrate and an anode in order to obtain uniform plating current flow and electrolyte flow to the substrate.
  • U.S. Pat. No. 5,443,707 to Mori discloses manipulating plating current by shrinking the size of the anode.
  • 5,421,987 to Tzanavaras discloses a rotating anode with multiple jet nozzles to obtain a uniform and high plating rate.
  • U.S. Pat. No. 5,670,034 to Lowery discloses a transversely reciprocating anode in front of a rotating wafer to improve plating thickness uniformity.
  • U.S. Pat. No. 5,820,581 to Ang discloses a thief ring powered by a separate power supply to manipulate the plating current distribution across the wafer.
  • plating current and electrolyte flow pattern are manipulated dependently, or only the plating current is manipulated. This limits the process tuning window, because the optimum plating current condition does not necessarily synchronize with optimum electrolyte flow condition for obtaining excellent gap filling capability, thickness uniformity and electrical uniformity as well as grain size and structure uniformity all at the same time.
  • SMIF Standard Mechanical Interface
  • AVG Automated Guided Vehicle
  • SECS/GEM SEMI Equipment Communication Standard/Generic Equipment Machine
  • SEMI Semiconductor Equipment and Materials International
  • MTBF mean time between failures
  • a method for plating a film to a desired thickness on a surface of a substrate in accordance with the invention includes plating the film to the desired thickness on a first portion of the substrate surface. The film is then plated to the desired thickness on at least a second portion of the substrate to give a continuous film at the desired thickness on the substrate. Additional portions of the substrate surface adjacent to and contacting the film already plated on one or more of the previous portions are plated as necessary to give a continuous film over the entire surface of the substrate.
  • An apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least one anode for supplying plating current to the substrate and at least two flow controllers connected to supply electrolyte contacting the substrate.
  • At least one control system is coupled to the at least one anode and the at least two flow controllers to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least two anodes for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • At least one control system is coupled to the at least two anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • the at least one flow controller comprises at least three cylindrical walls, a first of the cylindrical walls positioned under a center portion of the substrate extending upward closer to the substrate than a second one of the cylindrical walls positioned under a second portion of the substrate peripheral to the center portion.
  • a drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte.
  • At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte.
  • the apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate.
  • the at least one flow controller comprises at least three cylindrical walls movable upward toward the substrate and downward away from the substrate, to adjust a gap between the substrate and each of the cylindrical walls to control one or more portions of the substrate contacting the electrolyte.
  • a drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte.
  • At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate in a body of electrolyte. At least one movable jet anode supplies plating current and electrolyte to the substrate. The movable jet anode is movable in a direction parallel to the substrate surface. A flow controller controls electrolyte flowing through the movable jet anode. At least one control system is coupled to the movable jet anode and the flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface.
  • a first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte.
  • a bath for the electrolyte has at least one anode mounted in the bath.
  • a second drive mechanism is coupled to the bath to rotate the bath around a vertical axis to form a substantially parabolic shape of the electrolyte surface.
  • a control system is coupled to the first and second drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface.
  • a first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte.
  • a second drive mechanism is coupled to the substrate holder to rotate the substrate holder around an axis vertical to the surface of the substrate.
  • a third drive mechanism is coupled to the substrate holder to tilt the substrate holder with respect to the electrolyte surface.
  • a bath for the electrolyte has at least one anode mounted in the bath.
  • a control system is coupled to the first, second and third drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
  • a method for plating a film to a desired thickness on a surface of a substrate includes providing a plurality of stacked plating modules and a substrate transferring mechanism.
  • a substrate substrate is picked from a substrate holder with the substrate transferring mechanism.
  • the substrate is loaded into a first one of stacked plating modules with the substrate transferring mechanism.
  • a film is plated on the substrate in the first the one of the stacked plating modules.
  • the substrate is returned to the substrate holder with the substrate transferring mechanism.
  • an automated tool for plating a film on a substrate includes at least two plating baths positioned in a stacked relationship, at least one substrate holder and a substrate transferring mechanism.
  • a frame supports the plating baths, the substrate holder and the substrate transferring mechanism.
  • a control system is coupled to the substrate transferring mechanism, substrate holder and the plating baths to continuously perform uniform film deposition on a plurality of the substrates.
  • Method 1 Portion of Wafer Surface is Contacted with Electrolyte (Static Anode)
  • a method for plating a thin film directly on substrate with a barrier layer on top comprising: 1) flowing electrolyte on a portion of a substrate surface with a barrier layer on the top; and 2) turning on DC or pulse power to plate metal film on the same portion area of substrate until the film thickness reaches the pre-set value; 3) repeating step 1 and 2 for additional portions of the substrate by flowing electrolyte to the same additional portion of substrate; 4) repeating step 3 until the entire substrate surface is plated with a thin seed layer; 5) flowing electrolyte to entire area of the substrate; 6) supplying power to apply positive potential to all anodes to plate the thin film until the film thickness reaches a desired thickness value.
  • Method 2 Whole Wafer Surface is Contacted by Electrolyte (Static Modes)
  • a method for plating a thin film directly on a substrate with a barrier layer on top comprising: 1) flowing electrolyte on the full surface of the substrate; 2) plating the thin film only on a portion of the substrate surface by applying positive potential on an anode close to the same portion of wafer surface and by applying negative potential on all other anodes close to the remainder of the substrate surface until the plated film thickness on the same portion of the substrate reaches a pre-set value; 3) repeating step 2 for an additional portion of the substrate; 4) repeating step 3 until the whole area of substrate is plated with a thin seed layer; 5) plating a thin film on the whole area of the substrate at the same time by applying positive potential to all anodes until the thickness of the film on the whole surface of the substrate reaches a pre-set thickness value.
  • a method for plating a thin film directly on a substrate with a barrier layer on top comprising: 1) flowing electrolyte on the full surface of a substrate; 2) plating the thin film only on a portion of the substrate surface by applying positive potential on an anode close to the same portion of the substrate surface and by applying negative potential on all other anodes close to the remainder of the substrate surface until the plated film thickness on the portion of the substrate surface reaches a pre-set value; 3) move the electrolyte only out of contact with the all plated portion of the substrate and keep the electrolyte still touching the rest of the non-plated portion of the substrate; 4) repeat steps 2 and 3 for plating the next portion of the substrate; 5) repeat step 4 until the whole area of the substrate is plated with a thin seed layer; 6) plate a thin film on the whole substrate at the same time by applying positive potential to all anodes and flowing electrolyte on the whole surface of the substrate until the thickness of the film on the
  • Method 4 A Portion of Substrate is Contacted by Electrolyte at Beginning, and then Both Plated Portion and the Next Portion of the Substrate are Contacted by Electrolyte
  • a method for plating a thin film directly on a substrate with a barrier layer on top comprising: 1) flowing electrolyte on a first portion of the substrate surface; and 2) plating the thin film only on the first portion of the substrate surface by applying positive potential on an anode close to the first portion of the substrate surface until the plated film thickness on the first portion of the substrate reaches a pre-set value; 3) moving the electrolyte to contact a second portion of the substrate surface and at the same time keep the electrolyte still contacting the first portion of the substrate surface; 4) plating the thin film only on the second portion of the substrate surface by applying positive potential on a anode close to the second portion of the substrate surface and applying a negative potential on an anode close to the first portion of the substrate surface; 5) repeating step 3 and 4 for plating a third portion of the substrate surface; 6) repeating step 4 until the whole area of the substrate surface is plated with a thin seed layer; 7) plat
  • Method 5 Portion of Substrate Surface is Contacted with Electrolyte (Movable Anodes) for Seed Layer Plating Only
  • a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) flowing electrolyte on a portion of the substrate surface with a barrier layer on the top through a movable jet anode; 2) tuning on DC or pulse power to plate a metal film on the portion of the substrate until the film thickness reaches a pre-set value; 3) repeating steps 1 and 2 for an additional portion of the substrate by moving the movable jet anode close to the additional portion of the substrate; 4) repeating step 3 until the whole area of the substrate is plated with a thin seed layer.
  • Method 6 Whole Substrate Surface is Contacted by Electrolyte (Movable Anodes) for Seed Layer Plating Only
  • a method for plating a thin film directly on a substrate with a barrier layer on top comprising: 1) immersing the full surface of a substrate into an electrolyte; 2) plating the thin film only on a first portion of the substrate surface by applying positive potential on a movable anode close to the first portion of the substrate surface; 3) repeating step 2 for additional portions of the substrate by moving the movable anode close to the additional portions of the substrate; 4) repeating step 3 until the whole area of the substrate is plated with a thin seed layer.
  • Apparatus 1 Multiple Liquid Flow Mass Controllers (LMFCs) and Multiple Power Supplies
  • an apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate holder for holding a substrate above an electrolyte surface; at least two anodes, with each anode being separated by an insulating cylindrical wall; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to touch a portion of the substrate; a separate power supply to create a potential between each anode and cathode or the substrate; the portion of the substrate surface will be plated only when the liquid flow controller and power supply corresponding to the portion of the substrate is turned on at the same time.
  • Apparatus 2 One Common LMFC and Multiple Power Supplies
  • another apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; at least two anodes, with each anode being separated by two insulating cylindrical walls, the height of the cylindrical walls being reduced along the outward radial direction of the substrate; one common liquid mass flow controller for controlling electrolyte flowing through spaces between each adjacent cylindrical wall to reach the substrate surface; separate power supplies to create potential between each anode and cathode or the substrate; a portion of the substrate surface is plated only when the anode close to the portion of the substrate is powered to positive potential and the rest of anodes are powered to negative potential and the portion of the substrate is contacted by the electrolyte at the same time. After the plating thickness reaches a seed layer set-value, the substrate is moved up so that the plating thickness reaches a seed layer set-value, the substrate is
  • Apparatus 3 Multiple LMFCs and One Common Power Supply
  • another apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate holder holding the substrate above an electrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to touch a portion of the substrate; one common power supply to create potential between each anode and cathode or the substrate; a portion of the substrate surface is plated only when its liquid mass flow controller and the power supply are turned on at the same time.
  • Apparatus 4 One Common LMFC and One Common Power Supply
  • another apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate holder holding the substrate above an electrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; the cylindrical walls can be moved up and down to adjust a gap between the substrate and the top of the cylindrical walls, thereby to control electrolyte to contact a portion of the substrate adjacent to the walls, one liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls; one power supply to create potential between all anodes and a cathode or the substrate; a portion of the substrate surface will be plated only when the cylindrical wall below the portion of the substrate surface is moved up so that the electrolyte touches the portion of the substrate and the power supply is turned on at the same time.
  • Apparatus 5 Movable Anode with Substrate not Immersed in Electrolyte
  • another apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate holder for holding the substrate above an electrolyte surface; a movable anode jet placed under and close to the substrate, the movable anode jet being capable of moving toward the substrate surface, thereby the electrolyte from the anode jet can be controlled to touch any portion of the substrate; one power supply to create a potential between the movable anode jet and a cathode or the substrate; a portion of substrate surface is plated only when the portion of the surface is contacted by electrolyte ejected from the movable anode jet.
  • Apparatus 6 Movable Anode with Substrate Immersed in Electrolyte
  • another apparatus for plating a thin film directly on a substrate with a barrier layer on top comprising: a substrate holder for holding a substrate, with the substrate being immersed in electrolyte; a movable anode jet adjacent to the substrate, the movable anode jet being movable toward the substrate surface, whereby the plating current from the anode jet can be controlled to go to any portion of the substrate; one power supply to create potential between the movable anode jet and a cathode or the substrate; a portion of substrate surface is plated only when the portion of the substrate is close to the movable anode jet.
  • Method 7 Plating Metal Film on to Substrate through a Fully Automation Plating Tool
  • a further aspect of the invention there is provided another method for plating a thin film onto a substrate through a fully automated plating tool, comprising: 1) picking up a wafer from a cassette and sending to one of stacked plating baths with a robot; 2) plating metal film on the wafer; 3) after finishing the plating, picking up the plated wafer from the stacked plating bath with the robot and transporting it to one of the stacked cleaning/drying chambers; 4) Cleaning the plated wafer; 5) drying the plated wafer; 6) picking up the dried wafer from the stacked cleaning/drying chamber with the robot and transporting it to the cassette.
  • Apparatus 7 Fully Automated Tool for Plating Metal Film on to Substrate
  • a fully automated tool for plating a metal film onto a substrate comprising: a robot transporting a wafer; wafer cassettes; multiple stacked plating baths; multiple stacked cleaning/drying baths; an electrolyte tank; and a plumbing box holding a control valve, filter, liquid mass flowing controller, and plumbing.
  • the fully automated tool further comprises a computer and control hardware coupled between the computer and the other elements of the automated tool, and an operating system control software package resident on the computer.
  • Method 8 Plating Thin Layer—Portion of Wafer Surface is Contacted with Electrolyte and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte and are Plated by Metal
  • a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer or thin seed layer on top, comprising: 1) turning on DC or pulse power; 2) making a first portion of the substrate surface contact an electrolyte, so that a metal film is plated on the first portion of the substrate; 3) when the metal film thickness reaches a pre-set value, repeating step 1 and 2 for one or more additional portions of the substrate by making the one or more additional portions of the substrate contact the electrolyte, while continuing to plate the first portion of the substrate and any previous of the one or more additional portions of the substrate; 4) repeating step 3 until the entire area of the substrate is plated with a thin seed layer.
  • Method 9 Plating Thin Layer then Thick Layer—Portion of Wafer Surface is Contacted with Electrolyte, and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte and are Plated by Metal
  • a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) turning on DC or pulse power, 2) making a first portion of a substrate surface contact an electrolyte, so that a metal film is plated on the first portion of the substrate; 3) when the metal film thickness reaches a pre-set value, repeating step 1 and 2 for one or more additional portions of the substrate by making the one or more additional portions of the substrate contact the electrolyte, while continuing to plate the first portion of the substrate and any previous of the one or more additional portions of the substrate; 4) repeating step 3 until all portions of the substrate are plated with a thin seed layer; 5) contacting all of the portions of the substrate with the electrolyte; 6) applying positive potential to anodes adjacent to all of the portions of the substrate to plate a film until the film thickness reaches a desired thickness value.
  • Method 10 Plating a Thin Layer—A First Portion of Wafer Surface is Contacted by Electrolyte Initially, and then Both the First Portion and a Second Portion of Wafer are Contacted by Electrolyte, but Only the Second Portion of Wafer is Plated
  • a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) applying a positive potential on a first anode close to a first portion of the substrate surface; 2) contacting the first portion of the substrate surface with the electrolyte, so that the film is plated on the first portion of the substrate surface; 3) when the film thickness on the first portion of the substrate surface reaches a pre-set value, further contacting a second portion of the substrate surface while maintaining electrolyte contact with the first portion of the substrate surface; 4) plating the film only on the second portion of the substrate surface by applying positive potential on a second anode close to the second portion of the substrate surface and applying a sufficient positive potential on the first anode close to the first portion of the substrate surface so that the first portion of the substrate surface is not plated but also not deplated; 5) repeating steps 3 and 4 for plating a third portion of the substrate while avoiding deplating of the first and second portions of
  • Method 11 Plating Thin Layer then Thick Layer—A Portion of Wafer is Contacted by Electrolyte at Beginning and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte, and Only the Next Portion of Wafer is Plated
  • a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) contacting a first portion of a substrate area with an electrolyte; and 2) plating thin film only on the first portion of the substrate surface by applying positive potential on a first anode close to the same portion of wafer surface until a plated film thickness on the first portion of the substrate surface reaches a pre-set value; 3) further contacting a second portion of the substrate surface while maintaining electrolyte contact with the first portion of the substrate surface; 4) plating the film only on the second portion of the substrate surface by applying positive potential on a second anode close to the second portion of the substrate surface and applying a sufficient positive potential on the first anode close to the first portion of the substrate surface so that the first portion of the substrate surface is not plated but also not deplated; 5) repeating steps 3 and 4 for plating a third portion of the substrate while avoiding deplating of the first and second portions of
  • Apparatus 8 Rotating Plating Bath to Form Parabolic Shape of Electrolyte (Single-Anode)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; a bath with an anode immersed; a liquid mass flow controller for controlling electrolyte flowing to contact the substrate; a power source to create potential between the anode and a cathode or substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion of the substrate surface is plated only when the liquid mass flow controller and the power supply are turned on at the same time. After a plating thickness reaches a seed layer predetermined value, the substrate is moved down so that the next portion of the substrate is contacting the electrolyte and is plated.
  • Apparatus 9 Rotating Plating Bath to Form Parabolic Shape of Electrolyte (Multi-Anodes)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion of the substrate surface will be plated only when the anode close to that portion of the substrate is powered to positive as well as that portion of the substrate surface is contacted by electrolyte at the same time.
  • Apparatus 10 Tilting Wafer Holder Around y-Axis or x-Axis (Single-Anode)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface, the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the electrolyte to contact the substrate; a power source to create potential between the anode and a cathode or substrate; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by electrolyte, and the liquid mass flow controller and power source are turned on at the same time.
  • Apparatus 11 Tilting Rotation Axis of Wafer Holder (Multi-Anodes)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface, the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by electrolyte, and the liquid mass flow controllers and power source are turned on at the same time.
  • Apparatus 12 Rotating Plating Bath to Form Parabolic Shape of Electrolyte and Tilting Wafer Holder Around y-Axis or x-Axis (Single-Anode)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the electrolyte to contact the substrate; a power source to create potential between the anode and a cathode or substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so
  • Apparatus 13 Rotating Plating Bath to Form Parabolic Shape of Electrolyte and Tilting Wafer Holder Around y-Axis or x-Axis (Multi-Anodes)
  • another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls, the cylindrical walls being closer to the substrate at its center than at its edge; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion
  • the central idea of this invention for plating a metal film without using a seed layer produced by a process other than plating is to plate one portion of wafer a time to reduce current load to a barrier layer, since the barrier layer typically has 100 times higher resistivity than a copper metal film.
  • FIG. 1A is a portion of a prior art plating apparatus, useful for understanding the invention.
  • FIG. 1B is a plan view of a substrate shown in FIG. 1.
  • FIG. 2 is a corresponding plan view of a substrate during plating in accordance with the invention.
  • FIG. 3A is a plan view of a portion of a plating apparatus in accordance with the invention.
  • FIG. 3B is a view, partly in cross section, taken along the line 3 B- 3 B in FIG. 3A, and partly in block diagram form, of a plating apparatus in accordance with the invention.
  • FIG. 4A is a plan view of a substrate ready for plating in accordance with the invention.
  • FIG. 4B is a cross section view, taken along the line 4 A- 4 A of the substrate in FIG. 4A.
  • FIG. 5 is a set of waveform diagrams, useful for understanding operation of the FIGS. 3 A- 3 B embodiment of the invention.
  • FIGS. 6A and 6B are partial cross section views of plated substrates, useful for further understanding of the invention.
  • FIGS. 7 and 8 are additional sets of waveform diagrams, useful for a further understanding operation of the FIGS. 3 A- 3 B embodiment of the invention.
  • FIGS. 9 A- 9 D are plan views of portions of alternative embodiments of plating apparatuses in accordance with the invention.
  • FIG. 10 is a plot of waveforms obtained in operation of apparatus in accordance with the invention.
  • FIG. 11 is a flow diagram for a process in accordance with the invention.
  • FIG. 12 is a set of waveform diagrams for an another embodiment of a process in accordance with the invention.
  • FIG. 13A is a plan view of a portion of a second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 13B is a view, partly in cross section, taken along the line 13 B- 13 B in FIG. 13A, and partly in block diagram form, of the second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 14A is a plan view of a portion of a third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 14B is a view, partly in cross section, taken along the line 14 B- 14 B in FIG. 14A, and partly in block diagram form, of the third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 15A is a plan view of a portion of a fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 15B is a view, partly in cross section, taken along the line 15 B- 15 B in FIG. 15A, and partly in block diagram form, of the fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 16A is a plan view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 16B is a view, partly in cross section, taken along the line 16 B- 16 B in FIG. 16A, and partly in block diagram form, of the fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 17 is a cross section view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 18A is a plan view of a portion of a sixth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 18B is a view, partly in cross section, taken along the line 18 B- 18 B in FIG. 18A, and partly in block diagram form, of the sixth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 19A is a plan view of a portion of a seventh embodiment of a plating apparatus in accordance with the invention.
  • FIG. 19B is a view, partly in cross section, taken along the line 19 B- 19 B in FIG. 19A, and partly in block diagram form, of the seventh embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 20A and 20B are views, partly in cross section and partly in block diagram form, of an eighth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 21A and 21B are views, partly in cross section and partly in block diagram form, of a ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 22A is a plan view of a portion of a tenth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 22B is a view, partly in cross section, taken along the line 22 B- 22 B in FIG. 22A, and partly in block diagram form, of the tenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 23A and 23B are plan views of a portion of eleventh and twelfth embodiments of plating apparatus in accordance with the invention.
  • FIG. 24A is a plan view of a portion of a thirteenth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 24B is a view, partly in cross section, taken along the line 24 B- 24 B in FIG. 24A, and partly in block diagram form, of the thirteenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 25 A- 25 C are plan views of a portion of fourteenth, fifteenth and sixteenth embodiments of plating apparatus in accordance with the invention.
  • FIG. 26A is a plan view of a portion of a seventeenth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 26B is a view, partly in cross section, taken along the line 26 B- 26 B in FIG. 26A, and partly in block diagram form, of the seventeenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 27 and 28 are plan views of a portion of eighteenth and nineteenth embodiments of plating apparatus in accordance with the invention.
  • FIGS. 29 A- 29 C are plan views of a portion of twentieth, twenty first and twenty second embodiments of plating apparatus in accordance with the invention.
  • FIG. 30A is a plan view of a portion of a twenty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 30B is a view, partly in cross section, taken along the line 30 B- 30 B in FIG. 30A, and partly in block diagram form, of the twenty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 31A is a plan view of a portion of a twenty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 31B is a view, partly in cross section, taken along the line 31 B- 31 B in FIG. 31A, and partly in block diagram form, of the twenty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 32A is a plan view of a portion of a twenty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 32B is a view, partly in cross section, taken along the line 32 B- 32 B in FIG. 32A, and partly in block diagram form, of the twenty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 33A is a plan view of a portion of a twenty sixth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 33B is a view, partly in cross section, taken along the line 33 B- 33 B in FIG. 33A, and partly in block diagram form, of the twenty sixth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 34 A- 34 D are cross section views of a portion of twenth seventh through thirtieth embodiments of plating apparatus in accordance with the invention.
  • FIG. 35 shows a substrate during plating with a process in accordance with the invention.
  • FIGS. 36 A- 36 D are plan views of thirty first through thirty fourth embodiments of plating apparatus in accordance with the invention.
  • FIGS. 37A and 37B are cross section views of a portion of thirty fifth and thirty sixth embodiments of plating apparatus in accordance with the invention.
  • FIG. 38A is a plan view of a portion of a thirty seventh embodiment of a plating apparatus in accordance with the invention.
  • FIG. 38B is a view, partly in cross section, taken along the line 38 B- 38 B in FIG. 38A, and partly in block diagram form, of the thirty seventh embodiment of a plating apparatus in accordance with the invention.
  • FIG. 39 is a set of waveform diagrams useful for understanding operation of the plating apparatus in FIGS. 38A and 38B.
  • FIG. 40 is a plan view of a portion of a thirty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 40B is a view, partly in cross section, taken along the line 40 B- 40 B in FIG. 40A, and partly in block diagram form, of the thirty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 41A is a plan view of a portion of a thirty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 41B is a view, partly in cross section, taken along the line 41 B- 41 B in FIG. 41A, and partly in block diagram form, of the thirty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 42A is a plan view of a portion of a fortieth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 42B is a view, partly in cross section, taken along the line 42 B- 42 B in FIG. 42A, and partly in block diagram form, of the fortieth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 43 and 44 are sets of waveform diagrams useful for understanding operation of the embodiment of FIGS. 42A and 42B.
  • FIG. 45A is a plan view of a portion of a forty first embodiment of a plating apparatus in accordance with the invention.
  • FIG. 45B is a view, partly in cross section, taken along the line 45 B- 45 B in FIG. 45A, and partly in block diagram form, of the forty first embodiment of a plating apparatus in accordance with the invention.
  • FIG. 46A is a plan view of a portion of a forty second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 46B is a view, partly in cross section, taken along the line 46 B- 46 B in FIG. 46A, and partly in block diagram form, of the forty second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 47A is a plan view of a portion of a forty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 47B is a view, partly in cross section, taken along the line 47 B- 47 B in FIG. 47A, and partly in block diagram form, of the forty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 48A is a plan view of a portion of a forty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 48B is a view, partly in cross section, taken along the line 48 B- 48 B in FIG. 48A, and partly in block diagram form, of the forty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 49A is a plan view of a portion of a forty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 49B is a view, partly in cross section, taken along the line 49 B- 49 B in FIG. 49A, and partly in block diagram form, of the forty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 50 is a view, partly in cross section and partly in block diagram form, of a forty sixth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 51 is a view, partly in cross section and partly in block diagram form, of a forty seventh embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 52 A- 52 C are schematic top, cross section and side views of a first embodiment of a plating system in accordance with the invention.
  • FIG. 53 is a flow diagram of operation of a portion of software for controlling the plating system of FIG. 52.
  • FIGS. 54 A- 54 C are schematic top, cross section and side views of a second embodiment of a plating system in accordance with the invention.
  • FIGS. 55 and 56 are schematic top views of third and fourth embodiments of plating systems in accordance with the invention.
  • FIGS. 57 A- 57 C are schematic top, cross section and side views of a plating system in accordance with the invention.
  • FIG. 58A is a plan view of a portion of a forty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 58B is a view, partly in cross section, taken along the line 58 B- 58 B in FIG. 58A, and partly in block diagram form, of the forty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 59 is a set of waveform diagrams showing power supply on/off sequences in use of the FIGS. 58 A- 58 B embodiment during plating.
  • FIG. 60A is a plan view of a portion of a forty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 60B is a cross section view, partly taken along the line 60 B- 60 B in FIG. 60A, of the forty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 61 is a partly cross section and partly schematic view of a fiftieth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 62 - 71 are schematic views of fifty first through sixtieth embodiments of plating apparatuses in accordance with the invention.
  • FIGS. 1 A- 1 B there is shown a portion of a prior art plating apparatus, useful for understanding the present invention.
  • FIGS. 1A shows a cross section view of a conventional fountain type plating tool and a semiconductor wafer 31 with a thin barrier layer 400 .
  • r is the radius (cm)
  • r 0 is the radius of a wafer (cm)
  • I 0 is the total plating current flow to the wafer (Amp.)
  • ⁇ s is the sheet resistance of barrier layer ( ⁇ /square).
  • ID is the plating current density (A/cm 2 )
  • q is the charge of an electron (C)
  • P.R. is the plating rate ( ⁇ /min)
  • D atom is the diameter of an atom.
  • the invention only plates a portion of wafer at one time.
  • the potential difference between the position at radius r 2 and the position at radius r 1 can be expressed as:
  • V 21 0.173 to 0.522 Volts (7)
  • Hydrogen overvoltage is about 0.83 V. It is clear that no hydrogen comes out during plating in accordance with the invention.
  • FIGS. 3 A- 3 B are schematic views of one embodiment of the apparatus for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the plating bath includes anode rod 1 placed in tube 109 , and anode rings 2 , and 3 placed between cylindrical walls 107 and 105 , 103 and 101 , respectively.
  • Anodes 1 , 2 , and 3 are powered by power supplies 13 , 12 , and 11 , respectively.
  • Electrolyte 34 is pumped by pump 33 to pass through filter 32 and reach inlets of liquid mass flow controllers (LMFCs) 21 , 22 , and 23 .
  • LMFCs liquid mass flow controllers
  • LMFCs 21 , 22 and 23 deliver electrolyte at a set flow rate to sub-plating baths containing anodes 3 , 2 and 1 , respectively.
  • electrolyte flows back to tank 36 through spaces between cylindrical walls 100 and 101 , 103 and 105 , and 107 and 109 , respectively.
  • a pressure leak valve 38 is placed between the outlet of pump 33 and electrolyte tank 36 to leak electrolyte back to tank 36 when LMFCs 21 , 22 , 23 are closed.
  • Bath temperature is controlled by heater 42 , temperature sensor 40 , and heater controller 44 .
  • a wafer 31 held by wafer chuck 29 is connected to power supplies 11 , 12 and 13 .
  • a drive mechanism 30 is used to rotate wafer 31 around the z axis, and oscillate the wafer in the x, y, and z directions shown.
  • the LMFCs are anti-acid or anti corrosion, and contamination free type mass flow controllers of a type known in the art.
  • Filter 32 filters particles larger than 0.1 or 0.2 ⁇ m in order to obtain a low particle added plating process.
  • Pump 33 should be an anti-acid or anticorrosion, and contamination free pump.
  • Cylindrical walls 100 , 1001 , 103 , 105 , 107 and 109 are made of electrically insulating, anti-acid or anti-corrosion, and non-acid dissolved, metal free materials, such as tetrafluoroethylene, polyvinyl chloride (PVC), polyvinylidene fluoride (PVDF), polypropylene, or the like.
  • PVC polyvinyl chloride
  • PVDF polyvinylidene fluoride
  • polypropylene or the like.
  • FIGS. 4 A- 4 B show the wafer 31 with barrier layer 203 on top.
  • the barrier layer 203 is used to block diffusion of the plated metal into the silicon wafer.
  • titanium nitride or tantalum nitride are used.
  • a metal film 201 is deposited by PVD or CVD on the periphery of wafer 31 .
  • the thickness of metal film 201 is in a range of 500 ⁇ to 2000 ⁇ .
  • the material of film 201 is preferably the same as that plated later.
  • Cu is preferably chosen as material of film 201 for plating a Cu film.
  • Step 1 Turn on LMFC 21 only, so that electrolyte only touches a portion of wafer 31 above anode 3 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 . Positive metal ion will be plated onto portion area of wafer 31 above anode 3 .
  • Step 3 When the thickness of the metal conductive film reaches the set-value or thickness, turn off power supply 11 and turn off LMFC 21 .
  • Step 4 Repeat step 1 to 3 for anode 2 , using LMFC 22 and power supply 12 .
  • Step 5 Repeat step 4 for anode 1 , using LMFC 23 and power supply 13 .
  • the power supplies can be operated in DC mode, pulse mode, or DC pulse mixed mode.
  • DC mode the power supplies can be operated in a constant current mode, or a constant voltage mode, or a combination of the constant current mode and constant voltage mode.
  • the combination of the constant current mode and constant voltage mode means that the power supply can be switched from one mode to the other mode during the plating process.
  • FIG. 5 shows each power on/off sequence during a representative seed layer plating.
  • T p is called plating time, i.e. positive pulse on time during one cycle;
  • T e is called etching time, i.e. negative pulse on time during one cycle.
  • T e /T p is called the etching plating ratio.
  • T e /T p it is generally in the range of 0 to 1.
  • a large ratio of T e /T p means better gap filling or less cusping, but a lower plating rate.
  • a small ratio of T e /T p means a higher plating rate, but poor gap filling or more cusping.
  • Step 6 Turn on LMFCs 21 , 22 , and 23 .
  • the flow rate of electrolyte from each LMFC is set as proportional to wafer area covered by the corresponding anode.
  • Step 7 After all flow is stabilized, turn on power supplies 11 , 12 , and 13 .
  • the current of each power supply is also set as proportional to the wafer area covered by corresponding anode.
  • Step 8 Turn off power supplies 11 , 12 , and 13 at the same time when plating current is used as thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIG. 7 shows a representative sequence for plating metal film on the pre-plated metal seed layer.
  • total plating time T 3 , T 2 , and T 1 can be the same when using the plating current as a variable to tune thickness uniformity within wafer, or can be different when using plating time to tuning the thickness uniformity within a wafer.
  • the number of anodes can be any number larger than 1. The more electrodes, the better film uniformity can be expected. Considering a trade off between the performance and cost, the number of the anodes is typically 7 to 20 for plating a 200 mm wafer, and 10 to 30 for plating a 300 mm wafer.
  • a modified sine-wave pulse wave form (b), a unipolar pulse wave form (c), a pulse reverse wave form (d), a pulse-on-pulse wave form (e), or a duplex pulse wave form (i) can be used.
  • anode 3 In a seed layer plating process, a sequence of anode 3 , then anode 2 , and then anode 1 is usually preferred, but the plating sequence can also be as follows:
  • FIGS. 9 A- 9 D show schematic cross section views of other embodiments of anode and wall shapes. It can be seen that the wafer area above the space between electrode 103 and 105 receives less plating current than the wafer area above anode 3 does in the case of FIG. 3. This causes thickness variation across the wafer if wafer is only rotated during plating process.
  • the shape of the anodes and walls can be, for example, a triangle, square, rectangle, pentagon, polygon, or ellipse. In these ways, the plating current distribution can be averaged out across the wafer.
  • FIG. 10 shows a mechanism to verify if the seed layer becomes a continuous film across the whole wafer. Since the resistivity of a barrier layer (Ti/TiN or Ta/TaN) is about 50 to 100 times that of metallic copper, the potential difference between an edge and the center before plating a seed layer is much higher than that after plating a continuous copper seed layer. This resistance can be calculated by measuring the output voltage and current of power supplies 11 , 12 and 13 as shown in FIG. 10. When the seed layer becomes a continuous film, the loading resistance reduces significantly. In this way, it also can be determined which area is not covered by a continuous film. For instance:
  • V 11 and V 12 are significantly different, and V 12 and V 13 are close to each other, then the film on the wafer area above anode 2 is not continuous, but the film on the wafer area above area 1 is continuous;
  • V 11 and V 12 are close to each other, and V 12 and V 13 are significantly different, then the film on the wafer area anode 2 is continuous, and the film on the wafer area above anode 1 is not continuous.
  • V 12 and V 13 are close to V 11 , then the film on the wafer areas above anode 1 and 2 are continuous.
  • FIG. 12 shows a process sequence for plating a seed layer with the whole area wafer immersed in electrolyte employing the embodiment of FIGS. 3 A- 3 B.
  • the wafer area above anode 3 is in plating mode, and wafer areas above anode 2 and 1 are in etching mode.
  • the wafer area above anode 3 is in etching mode, and wafer areas above anodes 2 and 1 are in plating mode. In this way, part of the plating current is cancelled by etching current, and therefore total current flow to the periphery of the wafer is significantly reduced.
  • a bipolar pulse wave form other pulse wave forms as shown in FIG. 7 also can be used.
  • FIGS. 13 A- 13 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 13 A- 13 B is similar to that of FIGS. 3 A- 3 B except that LMFCs 21 , 22 and 23 are replaced by valves 51 , 52 , 53 and LMFC 55 .
  • Valves 51 , 52 and 53 are on/off valves.
  • the flow rate setting of LMFC 55 is determined by the status of each valve as follows:
  • F.R. 1 is the flow rate setting for anode 1
  • F.R. 2 the flow rate setting for anode 2
  • F.R. 3 is the flow rate setting for anode 3
  • f(valve #) is the valve status function defined as follows:
  • FIGS. 14 A- 14 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 14 A- 14 B is similar to that of FIGS. 3 A- 3 B except that LMFCs 21 , 22 and 23 are replaced by on/off valves 51 , 52 , 53 and three pumps 33 . Electrolyte flowing to each anode is controlled independently by one pump 33 and one on/off valve.
  • FIGS. 15 A- 15 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 15 A- 15 B is similar to that of FIGS. 3 A- 3 B except that additional anodes 5 and 4 are added between cylindrical walls 109 and 107 , and between cylindrical walls 103 and 105 , respectively, anode 3 and cylindrical wall 101 are taken out, and on/off valves 81 , 82 , 83 , 84 are inserted between the outlet of LMFCs 21 , 22 , 23 , 24 and tank 36 .
  • Step 1 Turn on LMFC 21 and valves 82 , 83 , and 84 ; turn off LMFCS 22 , 23 , 24 and valve 81 , so that electrolyte only touches the portion of the wafer above anode 4 , and then flows back to tank 36 through return path spaces between cylindrical walls 100 and 103 , through valves 82 , 83 , and 84 .
  • Step 2 After flow of electrolyte stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 and turn off LMFC 21 .
  • Step 4 Repeat step 1 to 3 for anode 3 (turn on LMFC 22 , valves 81 , 83 , 84 , and power supply 12 , and turn off LMFCS 21 , 23 , 24 , valve 82 , power supplies 11 , 13 , 14 ).
  • Step 5 Repeat step 4 for anode 2 (turn on LMFC 23 , valves 81 , 82 , 84 , and power supply 13 , and turn off LMFCS 21 , 22 , 24 , valve 83 , and power supplies 11 , 12 , 14 ).
  • Step 6 Repeat step 4 for anode 1 (turn on LMFC 24 , valves 81 , 82 , 83 , and power supply 14 , and turn off LMFCS 21 , 22 , 23 , valve 84 , and power supplies 11 , 12 , 13 ).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 7 Turn on LMFCS 21 , 22 , 23 and 24 and turn off valves 81 , 82 , 83 , 84 .
  • the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 8 After all flow is stabilized, turn on power supplies 11 , 12 , 13 and 14 .
  • the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9 Turn off power supplies 11 , 12 , 13 and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • the power supplies can also be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. 16 A- 16 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 16 A- 16 B is similar to that of FIGS. 15 A- 15 B except that on/off valves 81 , 82 , 83 , 84 are removed, and the electrolyte return path is reduced to only one between cylindrical walls 100 and 103 .
  • Step 1 Turn on LMFC 21 only, turn off LMFCS 22 , 23 , 24 .
  • the whole wafer is immersed in the electrolyte. However, only the portion of wafer above anode 4 faces the flowing electrolyte from LMFC 21 .
  • Step 2 After the flow of electrolyte stabilized, turn on power supply 11 to output positive potential to electrode 4 and turn on power supplies 12 , 13 , and 14 to output negative potential to electrode 3 , 2 , and 1 , respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 and turn off LMFC 21 .
  • Step 4 Turn on LMFC 22 only, turn off LMFCS 21 , 23 , 24 . In this way, even whole wafer area is immersed in the electrolyte, only the wafer area above anode 3 is facing the flowing electrolyte from LMFC 22 .
  • Step 5 Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3 , and power supplies 11 , 13 , and 14 to output negative potential to anode 4 , 2 , and 1 , and turn off LMFCS 21 , 23 , 24 ).
  • Step 6 Repeat step 4 to 5 for anode 2 (turn on LMFC 23 , and power supply 13 to output positive potential to anode 2 , and power supplies 11 , 12 , and 14 to output negative potential to anode 4 , 3 , and 1 , and turn off LMFCS 21 , 22 , 24 ).
  • Step 7 Repeat step 4 to 5 for anode 1 (turn on LMFC 24 , and power supply 14 to output positive potential to anode 1 , and power supplies 11 , 12 , and 13 to output negative potential to anode 4 , 3 and 2 , and turn off LMFCS 21 , 22 , 23 ).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 8 Turn on LMFCS 21 , 22 , 23 and 24 .
  • the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9 After all flow is stabilized, turn on power supplies 11 , 12 , 13 and 14 .
  • the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 10 Turn off power supplies 11 , 12 , 13 and 14 at the same time when plating current is used as the thickness uniformity tuning variable. Also the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIG. 17 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIG. 17 is similar to that of FIGS. 3 A- 3 B except that a diffuser ring 112 is added above each anode to make the flow rate uniform along its cylindrical wall.
  • the diffuser can be made by punching many holes through the diffuser ring, or directly made of porous materials with porosity range of 10% to 90%.
  • the material for making the diffuser is anti-acid, anti-corrosion, particle and contamination free.
  • FIGS. 18 A- 18 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 18 A- 18 B is similar to that of FIGS. 3 A- 3 B except that a charge accumulator meter is added to each power supply to precisely measure the charge each power supply provides during the plating process. For instance, the total number of atoms of copper can be calculated by the accumulated charge divided by two, because copper ions have a valence of two.
  • FIGS. 19 A- 19 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 19 A- 19 B is similar to that of FIGS. 3 A- 3 B except that the number of electrolyte inlets to the plating bath is two instead of one. This will further enhance the flow rate uniformity along the periphery of the cylindrical walls.
  • the number of inlets also can be 3, 4, 5, 6, . . . i.e. any number larger than 2 in order to make the flow rate uniform along the periphery of the cylindrical walls.
  • FIGS. 20 A- 20 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 20 A- 20 B is similar to that of FIGS. 15 A- 15 B and FIGS. 16 A- 16 B, except that the height of the cylindrical walls is increasing along the outward radial direction as shown in FIG. 20A, and is reduced along the outward radial direction as shown in FIG. 20B. This provides a additional variable to manipulate the flow pattern of electrolyte and plating current in order to optimize the plating conditions.
  • FIGS. 21 A- 21 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 21 A- 21 B is similar to that of FIGS. 3 A- 3 B except that the height of the cylindrical walls is increasing along the outward radial direction as shown in FIG. 21A, and is reducing along the outward radial direction as shown in FIG. 21B. This provides an additional variable to manipulate the flow pattern of electrolyte and plating current in order to optimize the plating conditions.
  • FIGS. 22 A- 22 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 22 A- 22 B is similar to that of FIGS. 3 A- 3 B, except that the cylindrical walls can move up and down to adjust the flow pattern.
  • cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above wall 105 and 107 .
  • Plating process steps are described as follows:
  • Step 1 Turn on LMFC 21 only and move cylindrical walls 101 , 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 , turn off LMFC 21 , and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22 , cylindrical wall 105 and 107 , and power supply 12 ).
  • Step 5 Repeat step 4 for tube 109 (LMFC 23 , tube 109 , and power supply 13 ).
  • Step 6 Turn on LMFCS 21 , 22 , and 23 , and move all cylindrical walls 101 , 103 , 105 , 107 and tube 109 close to wafer 31 .
  • the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7 After all flow is stabilized, turn on power supplies 11 , 12 , and 13 .
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8 Turn off power supplies 11 , 12 , and 13 at the same time when plating current is used as the thickness uniformity tuning variable.
  • the power supplies also can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. 23 A- 23 B show another two embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiments of FIGS. 23A and 23B are similar to those of FIGS. 15 A- 15 B and FIGS. 3 A- 3 B, except that the cylindrical walls and anode ring are divided into six sectors by plate 113 .
  • the number of sectors can be any number larger than 2.
  • the following table 2 shows possible combinations of anode to power supply connections and each sector to an LMFC.
  • combination types 1, 2, 4, and 5 are the same as described above.
  • the wafer rotating mechanism can be eliminated since each anode at a different sector is controlled by an independent power supply.
  • the thickness of the plating film on a portion of the substrate can be manipulated by controlling the plating current or the plating time of the anode below the same portion of the substrate.
  • the operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • FIGS. 24 A- 24 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 24 A- 24 B is similar to that of FIGS. 3 A- 3 B except that the cylindrical walls and anode ring are replaced by multiple rod type anodes 1 and tubes 109 . Electrolyte comes out of the tubes 109 , touches the wafer surface, and then flows back to the tank (not shown) through multiple holes 500 .
  • the tubes and anodes in a ring are placed in the same circle. There are multiple holes between two adjacent ring of tubes and anodes for draining electrolyte back to tank 36 .
  • the following table 3 shows possible combinations of anode to power supply connection and each sector to LMFC.
  • combination types 1, 2, 4, and 5 are the same as described above.
  • the wafer rotating mechanism can be eliminated since each anode at a different tube is controlled by an independent power supply.
  • the thickness of plating film on a portion of the substrate can be manipulated by controlling the plating current or the plating time of the anode below the same portion of the substrate.
  • the operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • tubes and anodes instead of placing tubes and anodes on a circular ring, the tubes and anodes also can be placed on triangular, square, rectangular, pentagonal, polygonal, and elliptical rings. Triangular, square and elliptical rings are shown in FIGS. 25 A- 25 C.
  • FIGS. 26 A- 26 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 26 A- 26 B is similar to that of FIGS. 3 A- 3 B except that the anode rings and cylindrical walls are replaced by a single anode 240 , bar 242 and valves 202 , 204 , 206 , 208 , 210 , 212 , 214 , 216 and 218 .
  • the power supplies is reduced to a singe power supply 200 .
  • the new valves are on/off valves, and are used to control electrolyte flowing to the wafer area. Valves 208 and 212 , 206 and 214 , 204 and 216 , 202 and 218 are placed symmetrically on bar 242 , respectively.
  • Step 1 Turn on pump 33 , LMFC 55 , and valves 202 and 218 as well as drive 30 , so that electrolyte coming out of valves 202 and 218 only touches the peripheral portion of the wafer above valve 202 and 218 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 200 . Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 202 and 218 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 200 and turn off LMFC 55 , valves 202 and 218 .
  • Step 4 Repeat step 1 to 3 for valves 204 and 216 .
  • Step 5 Repeat step 4 for valves 206 and 214 .
  • Step 6 Repeat step 4 for valves 208 and 212 .
  • Step 7 Repeat step 4 for valves 210 .
  • the power supply can be operated in DC mode, or any of the variety of pulse modes shown in FIG. 8.
  • Step 8 Turn on LMFC 55 and all valves 202 , 204 , 206 , 208 , 210 , 212 , 214 , 216 , 218 , so that electrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supplies 200 .
  • Step 10 Turn off power supply 200 and all the valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting the plating film thickness uniformity within the wafer.
  • FIG. 27 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of FIG. 27 is similar to that of FIGS. 26 A- 26 B, except that all valves are placed on the bar 242 with a different radius in order to plate metal with better uniformity.
  • Plating process steps are described as follows:
  • Step 1 Turn on pump 33 , LMFC 55 , and valve 218 as well as drive 30 , so that electrolyte coming out of valve 218 only touches the peripheral portion of the wafer above valve 218 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 200 . Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 218 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 200 , LMFC 55 and valve 218 .
  • Step 4 Repeat step 1 to 3 for valve 204 .
  • Step 5 Repeat step 4 for valve 216 .
  • Step 6 Repeat step 4 for valve 206
  • Step 7 Repeat step 4 for valves 214 , 208 , 212 , and 210 , respectively.
  • the power supply 200 can be operated in DC mode or any of the variety of pulse modes shown in FIG. 8.
  • Step 8 Turn on LMFC 55 and all valves 204 , 206 , 208 , 210 , 212 , 214 , 216 , 218 , so that electrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supply 200 .
  • Step 10 Turn off power supply 200 and all valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • FIG. 28 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIG. 28 is similar to that of FIG. 26 except that an additional bar is added to form a cross shape bar structure 244 .
  • Valves 202 and 218 , 204 and 216 , 206 and 214 , 208 and 212 are placed symmetrically on the horizontal portion of bar structure 244 .
  • valves 220 and 236 , 222 and 234 , 224 and 232 are placed symmetrically on the vertical portion of the bar structure 244 . All valves on the horizontal portion of bar 244 also have a different radius from those on the vertical portion of bar 244 , respectively.
  • Plating process steps are described as follows:
  • Step 1 Turn on pump 33 , LMFC 55 , and valve 218 and 202 as well as drive 30 , so that electrolyte coming out of valves 218 only touches the peripheral portion of the wafer above valves 218 and 202 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 200 . Positive metal ions will be plated onto the peripheral portion of wafer 31 above valves 218 and 202 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 200 , LMFC 55 and valves 218 and 202 .
  • Step 4 Repeat step 1 to 3 for valves 220 and 236 .
  • Step 5 Repeat step 4 for valves 204 and 216 .
  • Step 6 Repeat step 4 for valves 222 and 234 .
  • Step 7 Repeat step 4 for valves 206 and 214 , 224 and 232 , 208 and 212 , and 210 only, respectively.
  • the power supply can be operated in DC mode, or any of the variety of pulse modes shown in FIG. 8.
  • Step 8 Turn on LMFC 55 and all valves 202 , 204 , 206 , 208 , 210 , 212 , 214 , 216 , 218 , 220 , 222 , 224 , 232 , 234 , 236 , so that electrolyte touches the whole wafer area.
  • Step 9 After all flow is stabilized, turn on power supply 200 .
  • Step 10 Turn off power supply 200 and all valves when the film thickness reaches the set value.
  • the valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • FIGS. 29 A- 29 C show portions of an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIG. 29A is similar to that of FIGS. 26 A- 26 B except that the number of bars is increased to three. The angle between two adjacent bars is 60°.
  • the embodiment of FIG. 29B is similar to that of FIGS. 26 A- 26 B except that the number of bars is increased to four. The angle between two adjacent bars is 45°.
  • the embodiment of FIG. 29C is similar to that of FIGS. 26 A- 26 B except that the bar is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7, or more.
  • the plating step sequence can be started from valves close to the periphery of the wafer, or started from the center of the wafer, or started randomly. Starting from the periphery of the wafer is preferred since the previously plated metal seed layer (with a larger diameter) can be used to conduct current for plating the next seed layer (with a smaller diameter).
  • FIGS. 30 A- 30 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 30 A- 30 B is similar to that of FIGS. 26 A- 26 B except that fixed position valves (jet) are replaced by two movable anode jets 254 .
  • Anode jets 254 are placed under wafer 31 and sit on guide bar 250 .
  • Anode jets 254 inject electrolyte onto a portion of wafer 31 , and can move in the x direction as shown in FIG. 30B.
  • Fresh electrolyte is supplied through flexible pipe 258 .
  • This embodiment is especially preferred for plating a seed layer.
  • the seed layer plating process is shown as follows:
  • Step 1 Turn on pump 33 , LMFC 55 and valves 356 as well as drive 30 , so that electrolyte coming out of valves 356 only touches the peripheral portion of the wafer above valves 356 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 200 . Positive metal ions
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 200 , LMFC 55 , and valves 356 .
  • Step 4 Move anode jet 254 to the next position with a smaller radius
  • Step 5 Repeat step 1 to 4 until the whole wafer area is plated by the thin film.
  • Step 3 When the thickness of the conductive film reaches a certain percentage of the predetermined set-value or thickness, start slowly moving anode jet 254 radially toward the wafer center.
  • the rate of moving the anode jet 254 is determined by the predetermined set-value or thickness. Also since the surface area plated by the anode jet 254 is proportional to the radius of the position of anode jet 254 , the rate of moving anode jet 254 increases as it moves toward the wafer center.
  • Step 4 When anode jet 254 reaches the wafer center, turn off power supply 200 , LMFC 55 , and valves 356 .
  • FIGS. 31 A- 31 B shows another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 31 A- 31 B is similar to that of FIGS. 30 A- 30 B except that two additional movable anode jets are added in the Y direction in order to increasing plating speed.
  • the process sequence is similar to that of the FIGS. 30 A- 30 B embodiment.
  • FIGS. 32 A- 32 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 32 A- 32 B is similar to that of FIGS. 30 A- 30 B except that wafer 31 is immersed into the electrolyte.
  • a movable anode is placed very close to the wafer 31 in order to focus plating current on a portion of wafer 31 .
  • the gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm.
  • the process sequence is similar to that of the FIG. 30 embodiment.
  • FIGS. 33 A- 33 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 33 A- 33 B is similar to that of FIGS. 32 A- 32 B except that fresh electrolyte is input from the center of the bath through pipes 260 instead of anode jets 254 through flexible pipe 258 .
  • Wafer 31 is also immersed into the electrolyte.
  • a movable anode is placed very close to wafer 31 in order to focus plating current on a portion of wafer 31 .
  • the gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm.
  • the process sequence is similar to that of FIG. 30.
  • FIGS. 34 A- 34 D show four embodiments of movable anodes in accordance with the present invention.
  • FIG. 34A shows an anode structure consisting of anode 252 and case 262 .
  • Case 262 is made of insulator materials such as tetrafluoroethylene, PVC, PVDF, or polypropylene.
  • FIG. 34B shows an anode structure consisting of anode 266 and case 264 . The electrolyte is feed through a hole at the bottom of case 264 .
  • FIG. 34C shows an anode structure consisting of anode 262 , electrodes 274 and 270 , insulator spacer 272 and case 262 , and power supplies 276 , 268 .
  • Electrode 274 is connected to negative output of power supply 276 , and electrode 270 is connected to cathode wafer 31 .
  • the function of electrode 274 is to trap any metal ions flowing out of case 262 , therefore no film is plated on the wafer area outside of case 262 .
  • the function of electrode 270 is to prevent electrical field leakage from electrode 274 to minimize any etching effect.
  • the embodiment of FIG. 34D is similar to that of FIG. 34C except that the case 264 has a hole at the bottom for electrolyte to flow through.
  • FIG. 35 shows the surface status of a wafer during plating. Wafer area 280 was plated by a seed layer, area 284 is in the process of plating, and wafer area 282 has not been plated.
  • FIGS. 36 A- 36 C show an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIG. 36A is similar to that of FIGS. 30 A- 30 B except that the number of bars is increased to three. The angle between two adjacent bars is 60°.
  • the embodiment of FIG. 36B is similar to that of FIGS. 30 A- 30 B except that the number of bars is increased to four. The angle between two adjacent bars is 45°.
  • the embodiment of FIG. 36C is similar to that of FIGS. 30 A- 30 B except that the number of bars is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7 or more.
  • FIG. 36D is similar to that of FIGS. 30 A- 30 B except that the shape of bar 250 is a spiral instead of a straight line. Movable anode jet 254 is movable along the spiral bar so that good plating uniformity can be achieved without rotating the wafer. This simplifies the wafer chuck mechanism.
  • FIGS. 37A and 37B show additional two embodiments of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiments of FIGS. 37A and 37B are similar to that of FIGS. 30 A- 30 B, except that the wafer is placed upside down and vertically, respectively.
  • FIGS. 38 A- 38 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 38 A- 38 B is similar to that of FIGS. 16 A- 16 B except that all of the anodes are replaced by a one piece anode 8 .
  • Anode 8 is connected to single power supply 11 .
  • Plating process steps using this embodiment are described as follows:
  • Step 1 Turn on LMFC 21 and valves 82 , 83 , and 84 and turn off LMFCS 22 , 23 , 24 and valve 81 , so that electrolyte only touches the portion of the wafer above sub-plating bath 66 , and then flows back to tank 36 through the return paths of spaces between cylindrical walls 100 and 103 , 105 and 107 , 107 and 109 , and tube 109 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above sub-plating bath 66 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 and turn off LMFC 21 .
  • Step 4 Repeat step 1 to 3 for LMFC 22 (turn on LMFC 22 , valves 81 , 83 , 84 , and power supply 11 , and turn off LMFCs 21 23 , 24 , valve 82 ).
  • Step 5 Repeat step 4 for LMFC 23 (turn on LMFC 23 , valves 81 , 82 , 84 , and power supply 11 , and turn off LMFCs 21 , 22 , 24 , valve 83 ).
  • Step 6 Repeat step 4 for LMFC 24 (turn on LMFC 24 , valves 81 , 82 , 83 , and power supply 11 , and turn off LMFCs 21 , 22 , 23 and valve 84 ).
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed in a randomly chosen anode sequence.
  • Step 7 Turn on LMFCs 21 , 22 , 23 and 24 and turn off valves 81 , 82 , 83 , 84 .
  • the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 8 After all flows are stabilized, turn on power supply 11 .
  • Step 9 Turn off power supply 11 when the film thickness reaches the set-value.
  • LMFCs can be turned off at different times in order to adjust the plating film thickness uniformity as shown in FIG. 39.
  • time t 1 only LMFCs 21 , 23 , and 24 are turned off, and valves 81 , 83 , and 84 are also turned off. Therefore, electrolyte does not touch the wafer except in the area above sub-plating bath 64 .
  • the power supply 11 remains turned on, metal ions will be plated only on the area above sub-plating bath 64 .
  • LMFC 22 turns off at time t 2 .
  • LMFC 24 turns on at time t 3 and turns off at time t 4 to obtain extra plating at the wafer area above sub-plating bath 60 .
  • Turn off time of t 2 and t 4 can be fine tuned by measuring wafer thickness uniformity.
  • FIGS. 40 A- 40 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 40 A- 40 B is similar to that of FIGS. 3 A- 3 B except that all anodes are connected to single power supply 11 . Since the electrolyte only touches the portion of wafer above an anode during the seed layer plating process, the plating current will only pass through the anode and go to that portion of the wafer.
  • the plating process steps are similar to those of FIGS. 3 A- 3 B with power supply 11 replacing power supplies 12 and 13 .
  • FIGS. 41 A- 41 B show another embodiment of apparatus for plating a conductive film in accordance with the present invention.
  • the embodiment of FIGS. 41 A- 41 B is similar to that of FIGS. 40 A- 40 B except that the cylindrical walls can move up and down to adjust the flow pattern.
  • cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above walls 105 and 107 .
  • the plating process steps for this embodiment are described as follows:
  • Step 1 Turn on LMFC 21 only and move cylindrical walls 101 , 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103 .
  • Step 2 After the flow of electrolyte stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 and LMFC 21 , and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22 , cylindrical walls 105 and 107 ).
  • Step 5 Repeat step 4 for tube 109 (LMFC 23 and tube 109 ).
  • Step 6 Turn on LMFC 21 , 22 , and 23 , and move all cylindrical walls 101 , 103 , 105 , 107 and tube 109 close to wafer 31 .
  • the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7 After all flows are stabilized, turn on power supplies 11 .
  • Step 8 Move all cylindrical walls down to their lower position, and turn off all LMFCs at the same time, then turn off power supplies 11 when the film thickness reaches the predetermined set-value.
  • Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust thickness uniformity. For example, as shown in FIG. 41B, cylindrical walls 105 and 107 are being kept at the higher position with LMFC 22 on. The wafer area above cylindrical walls 105 and 107 will have extra plating film on that portion. The extra plating times and locations can be determined by analyzing the thickness uniformity of the plated film on the wafer.
  • FIGS. 42 A- 42 B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 42 A- 42 B is similar to that of FIGS. 16 A- 16 B except that LMFCs 21 , 22 , 23 and 24 are replaced by a single LMFC 55 .
  • Step 1 Turn on LMFC 55 and immerse the whole wafer in the electrolyte.
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 to output positive potential to electrode 4 , and turn on power supplies 12 , 13 , and 14 to output negative potential to electrodes 3 , 2 , and 1 , respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 .
  • Step 4 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3 , and power supplies 11 , 13 , and 14 to output negative potential to anodes 2 and 1 ).
  • Step 5 Repeat step 4 for anode 2 (turn on power supply 13 to output positive potential to anode 2 , and power supply 14 to output negative potential to anode 1 ).
  • Step 6 Repeat step 4 for anode 1 (turn on power supply 14 to output positive potential to anode 1 ).
  • FIG. 43 shows the power supply turn on/off sequence for plating wafer areas 4 (above anode 4 ), 3 , 2 , and 1 .
  • the power supply output wave forms can be selected from a variety of wave forms, such as a modified sine-wave form, a unipolar pulse, a reverse pulse, a pulse-on-pulse or a duplex pulse, as shown in FIG. 44.
  • the plating instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • Step 7 Turn on LMFC 55 .
  • Step 8 After all flows are stabilized, turn on power supplies 11 , 12 , 13 and 14 .
  • the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9 Turn off power supplies 11 , 12 , 13 and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. 45 A- 45 B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 45 A- 45 B is similar to that of FIGS. 42 A- 42 B except that the cylindrical walls can move up and down to adjust flow pattern. As shown in FIG. 45B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of the wafer above walls 105 and 107 .
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 and move cylindrical walls 101 , 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 , and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (cylindrical walls 105 and 107 , and power supply 12 ).
  • Step 5 Repeat step 4 for tube 109 (tube 109 , and power supply 13 ).
  • Step 6 Turn on LMFC 55 , and move all cylindrical walls 101 , 103 , 105 , 107 and tube 109 close to wafer 31 .
  • Step 7 After all flows are stabilized, turn on power supplies 11 , 12 , and 13 .
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8 Turn off power supplies 11 , 12 , and 13 at the same time when plating current is used as the thickness uniformity tuning variable.
  • the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. 46 A- 46 B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 46 A- 46 B is similar to that of FIGS. 42 A- 42 B except that the height of the cylindrical wall is reduced along the outward radial direction as shown in FIG. 46B.
  • the shape or flow pattern of the electrolyte can be adjusted by moving cylindrical wall 120 up or down. When the cylindrical wall is moved to the highest position, the whole wafer area will be touched by the electrolyte, whereas the center portion of the wafer will be touched by the electrolyte when the cylindrical wall 120 is moved to the lowest position.
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 and move cylindrical wall 120 to the highest position, so that the electrolyte touches the whole area of wafer 31 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4 , and turn on power supplies 12 , 13 and 14 to output negative potential to anodes 3 , 2 , and 1 , respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set-value or thickness, turn off power supply 11 .
  • Step 4 Move cylindrical wall 120 to a lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of the electrolyte.
  • Step 5 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3 , and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1 ).
  • Step 6 Move cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the electrolyte.
  • Step 7 Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2 , and turn on power supply 14 to output negative potential to anode 1 ).
  • Step 8 Move cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the electrolyte.
  • Step 9 Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1 ).
  • Step 10 Turn on LMFC 55 , and move cylindrical wall 120 to the highest position, so that whole area of wafer 31 is touched by the electrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11 , 12 , 13 , and 14 .
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12 Turn off power supplies 11 , 12 , 13 , and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • FIGS. 47 A- 47 B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 47 A- 47 B is similar to that of FIGS. 46 A- 46 B except that the position of cylindrical wall 120 is fixed and the level of the electrolyte is changed by adjusting the flow rate of the electrolyte.
  • the electrolyte level is high, so that the whole wafer area is touched by the electrolyte.
  • the electrolyte level is low, so that the peripheral portion of wafer 31 is out of the electrolyte as shown in FIG. 47B.
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 and to set a flow rate sufficiently large that the electrolyte touches the whole area of wafer 31 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4 , and turn on power supplies 12 , 13 and 14 to output negative potential to anodes 3 , 2 , and 1 , respectively. Therefore, positive metal ion will be plated only onto the peripheral portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the set-value or thickness, turn off power supply 11 .
  • Step 4 Reduce the flow rate of the electrolyte to such a value that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of the electrolyte.
  • Step 5 Repeat steps 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3 , and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1 ).
  • Step 6 Reduce the flow rate of the electrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the electrolyte.
  • Step 7 Repeat steps 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2 , and turn power supply 14 to output negative potential to anode 1 ).
  • Step 8 Reduce the flow rate of the electrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the electrolyte.
  • Step 9 Repeat steps 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1 ).
  • Step 10 Increase the flow rate of the electrolyte so that the whole area of wafer 31 is touched by the electrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11 , 12 , 13 , and 14 .
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12 Turn off power supplies 11 , 12 , 13 , and 14 at the same time when plating current is used as the thickness uniformity tuning variable.
  • each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • FIGS. 48 A- 48 B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 48 A- 48 B is similar to that of FIGS. 47 A- 47 B except that the level of electrolyte is fixed and the wafer 31 itself can be moved up and down to adjust the size of the wafer area contacted by the electrolyte.
  • the wafer 31 is moved to the lowest position, the whole wafer area is touched by the electrolyte.
  • the wafer is moved to the highest position, only the center area of wafer 31 is contacted by the electrolyte as shown in FIG. 48B.
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 , and move wafer 31 to such a position that the electrolyte contacts the whole area of wafer 31 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 to output positive potential to anode 4 , and turn on power supplies 12 , 13 and 14 to output negative potential to anodes 3 , 2 , and 1 , respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4 .
  • Step 3 When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set-value or thickness, turn off power supply 11 .
  • Step 4 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of contact with the electrolyte.
  • Step 5 Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3 , and turn power supplies 13 and 14 to output negative potential to anodes 2 and 1 ).
  • Step 6 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of contact with the electrolyte.
  • Step 7 Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2 , and turn on power supply 14 to output negative potential to anode 1 ).
  • Step 8 Move wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of contact with the electrolyte.
  • Step 9 Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1 ).
  • Step 10 Move wafer 31 down to a position such that the whole area of wafer 31 is contacted by the electrolyte.
  • Step 11 After flow is stabilized, turn on power supplies 11 , 12 , 13 , and 14 .
  • the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12 Turn off power supplies 11 , 12 , 13 , and 14 at the same time when plating current is used as thickness uniformity tuning variable.
  • each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • FIGS. 49 A- 49 B is another embodiment of an apparatus with a single power supply and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention.
  • the embodiment of FIGS. 49 A- 49 B is similar to that of FIGS. 45 A- 45 B except that the number of power supplies is reduced to one, and all the anodes are connected to single power supply 11 .
  • the cylindrical walls can move up and down to adjust the flow pattern. As shown in FIG. 49B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above walls 105 and 107 .
  • the plating process steps with this embodiment are described as follows:
  • Step 1 Turn on LMFC 55 and move cylindrical walls 101 , 103 close to wafer, so that the electrolyte only contacts the portion of the wafer above cylindrical walls 101 and 103 .
  • Step 2 After the flow of electrolyte is stabilized, turn on power supply 11 . Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103 .
  • Step 3 When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off power supply 11 , and move cylindrical walls 101 and 103 to a lower position.
  • Step 4 Repeat step 1 to 3 for cylindrical walls 105 and 107 (move cylindrical walls 105 and 107 up close to wafer 31 , and turn on power supply 11 ).
  • Step 5 Repeat step 4 for tube 109 (move tube 109 up to close to wafer 31 , and turn on power supply 11 ).
  • Step 6 Turn on LMFC 55 , and move all cylindrical walls 101 , 103 , 105 , 107 and tube 109 up to close to wafer 31 .
  • Step 7 After all flows are stabilized, turn on power supply 11 .
  • Step 8 Move all cylindrical walls down to lower position at the same time, then turn off power supply 11 when the film thickness reaches the predetermined set-value.
  • Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust the thickness uniformity. For example, as shown in FIG. 49B, cylindrical walls 105 and 107 are kept at the higher position with power supply 11 on. The wafer area above cylindrical walls 105 and 107 will have extra plating film on that portion. The extra plating time length and location can be determined by analyzing the thickness uniformity of the film on the wafer through later film characterization.
  • a flow rate adjuster such as the diffuser of the FIG. 17 embodiment may be inserted into all embodiments that use a single LMFC.
  • Multiple stage filters such as two filters connected in series, the first one a rough filter for filtering particles larger than 1 ⁇ m, the second one a fine filter for filtering particles larger than 0.1 ⁇ m, may be employed.
  • the plating bath can be rotated during plating in order to obtain good film uniformity within the wafer.
  • a slip ring for conducting plating current which is also configured to transport the electrolyte, should be used.
  • a separate structure for transporting the electrolyte could be used.
  • An situ thickness uniformity monitor can be added to the plating baths in accordance with the present invention as shown in FIG. 50.
  • One thickness detector 500 is set under each sub-plating bath or channel at the different radii. After detecting thickness signals, detector 500 transmits the signals to computer 502 .
  • Computer 502 processes the signals and outputs the thickness uniformity. Also the wafer rotation position can be input to computer 500 to locate the position along the peripheral direction. In this case, the bottom of the plating bath is made of transparent material or has a window for a laser beam to pass through.
  • FIG. 51 is another embodiment of an apparatus with a thickness uniformity monitor. This embodiment is similar to the embodiment of FIG. 50 except that optical fiber 504 is used. A laser beam from detector 500 passes through the optical fiber 504 to the wafer. The laser beam reflected from the wafer also passes through optical fiber 504 and returns to detector 500 .
  • the advantage of this embodiment is that the bottom of plating bath does not need to be made of transparent material.
  • a variety of metals can be plated by using the apparatus and methods of the invention. For example, Copper, Nickel, Chromium, Zinc, Cadmium, Silver, Gold, Rhodium, Palladium, Platinum, Tin, Lead, Iron and Indium can all be plated with the invention.
  • Cyanide copper electrolyte is: Copper cyanide; Sodium cyanide, Sodium carbonate, Sodium hydroxide, and Rochelle salt.
  • the basic composition of acid copper electrolyte is: Copper sulfate, Sulfuric acid, Copper fluoborate, Fluoboric acid, and Boric acid.
  • the basic composition of pyrophosphate copper electrolyte is: Copper pyrophosphate, Potassium pyrophosphate, Ammonium nitrate, and Ammonia. Considering the process integration, acid copper electrolyte is preferred for plating copper on a semiconductor wafer.
  • a cyanide electrolyte In the case of plating silver, a cyanide electrolyte is used.
  • the basic composition of cyanide electrolyte is: Silver cyanide, Potassium cyanide, Potassium carbonate, Potassium hydroxide, and Potassium nitrate.
  • a cyanide electrolyte In the case of plating gold, a cyanide electrolyte is used.
  • the basic composition of cyanide electrolyte is: Potassium gold cyanide, Potassium cyanide, Potassium carbonate, Dipotassium monohydrogen phosphate, Potassium hydroxide, Monopotassium dihydrogen phosphate, and Potassium nitrate.
  • Additives can used to enhance film quality in terms of smooth surface, small grain size, reducing the tendency to tree, small film stress, low resistively, good adhesion, and better gap filling capability.
  • acid copper plating the following materials may be used as additives: glue, dextrose, phenolsulfonic acid, molasses, and thiourea.
  • Additives for cyanide copper plating include compounds having active sulfur groups and/or containing metalloids such as selenium or tellurium; organic amines or their reaction products with active sulfur containing compounds; inorganic compounds containing such metals as selenium, tellurium, lead, thallium, antimony, arsenic; and organic nitrogen and sulfur heterocyclic compounds.
  • FIGS. 52 A- 52 C are schematic views of an embodiment of a plating system for plating a conductive film on semiconductor wafer in accordance with the present invention. It is a stand alone, fully computer controlled system with automatic wafer transfer and a cleaning module with wafer dry-in and dry-out capability. It consists of five stacked plating baths 300 , 302 , 304 , 306 , 308 , five stacked cleaning/dry chambers 310 , 312 , 314 , 316 , 318 , robot 322 , wafer cassette 321 , 322 , electrolyte tank 36 and plumbing box 330 .
  • plating bath 300 consists of anodes, cylindrical walls or tube, wafer chuck and a driver to rotate or oscillate wafers during the plating process.
  • Electrolyte tank 36 includes a temperature control.
  • Plumbing box 330 consists of a pump, LMFCs, valves, a filter, and plumbing connections.
  • the plating system further includes computer control hardware, a power supply and an operating system control software package.
  • Robot 322 has a large z-travel. A telescopic type (stacked) robot with global positioning capability made by Genmark Automation, Inc. is preferred. The operation process sequence for this embodiment is described as follows:
  • Step A Load wafer cassette 320 , 321 into the plating tool manually or with a robot.
  • Step B Select recipe and begin a process run.
  • Step C The control software initializes the system including checking all system parameters within the recipe specification, and determining that there are no system alarms.
  • Step D After completing the initialization, robot 322 picks up a wafer from cassette 320 or 321 and sends it to one of the plating baths ( 300 , or 302 , or 304 , or 306 , or 308 ).
  • Step E Plating metal film on the wafer.
  • Step F After finishing plating, robot 322 pick up the plated wafer from the plating bath, and transports it to one of the cleaning/drying chambers ( 310 , or 312 , or 314 , or 316 , or 318 ).
  • Step G Cleaning the plated wafer.
  • Step H Drying the plated wafer through spin-dry and/or N 2 purge.
  • Step I Robot 322 picks up the dried wafer and transport it to cassette 320 or 321 .
  • FIG. 53 shows the process sequence for plating multiple wafers simultaneously.
  • the process sequence for plating multiple wafers is similar to that for plating a single wafer except that the computer checks if there is any unprocessed wafer remaining in cassette 320 or 321 after process step I. If there is no unprocessed wafer remaining in cassette 320 or 321 , then the system loops back to step A, i.e. loading new cassettes or exchange cassettes. If there is still an unprocessed wafer remaining in cassette 320 and/or 321 , then system will loop back to step D, i.e. robot 322 picks the unprocessed wafer from cassette and transports it to one of the plating baths.
  • Process step E may include two process steps, a first to plate a seed layer directly on the barrier layer and a second to plate a metal film on the plated seed layer.
  • the two process steps can be performed at different baths.
  • the advantages of doing two process steps in different baths is to give better process control or a wider process window, since the electrolyte for seed layer plating may be different from that for succeeding plating on the seed layer.
  • different electrolyte means different acid type, different concentration of acid, different additives, different concentration of additives or different process temperature.
  • the plating hardware may be different, considering seed layer plating needs, such as high density nuclear sites, smooth morphology, becoming a continuous film at very early stage ( ⁇ a few hundred ⁇ ), and need for a conformal layer.
  • the succeeding plating on the seed layer needs a high plating rate, single crystal structure, particular grain orientation, and gap filling without voids.
  • the cleaning process can be performed in different chambers.
  • the cleaning process may consists of several steps, with each step using different solutions or a different concentration of solution, or using different hardware.
  • robot 322 can be hung upside down onto the top of frame 301 .
  • the number of plating bath and number of cleaning/drying can be varied from 1 to 10 as shown in the following table.
  • FIGS. 54 A- 54 C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • the FIGS. 54 A- 54 C embodiment is similar to the embodiment of FIGS. 52 A- 52 C except that the cassette 320 is moved up and down by a robot 323 .
  • the position of cassette 320 is moved up and down to match the position of the robot, so that robot 322 does not need move in the Z direction when picking up an unprocessed wafer from cassette 320 or putting a plated dry wafer back into cassette 320 . This increases the transporting speed of robot.
  • FIG. 55 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • FIG. 55 is similar to the embodiment of FIGS. 52 A- 52 C except that robot 322 itself can move in the X direction. In this way, the robot may not need the function of rotating around the Z axis.
  • FIG. 56 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention.
  • the system of FIG. 56 is similar to the embodiment of FIGS. 52 A- 52 C except that the plating baths and cleaning/drying chambers are put in one column. Compared with the embodiment of FIG. 52, the foot print of the system is reduced; however, the wafer throughput is lowered.
  • FIGS. 57 A- 57 C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. It consists of three columns of plating baths and cleaning/drying chambers, a linearly movable robot 322 , a display screen 340 , two stacked cassettes, a plumbing box 330 , and an electrolyte tank 36 . Plating process steps are similar to those described for the embodiment of FIGS. 52 A- 52 C.
  • FIGS. 58 A- 58 C are schematic views of a further embodiment of the apparatus for plating a conductive film directly on substrate with barrier layer or thin seed layer on top in accordance with the present invention.
  • the plating bath includes anode rod 1 placed in tube 109 , and anode rings 2 , and 3 placed between cylindrical walls 107 and 105 , 103 and 101 , respectively.
  • Anode 1 , 2 , and 3 are powered by power supplies 13 , 12 , and 11 , respectively.
  • the charge delivered by each of the power supplies in the plating process is monitored by charge meters 11 A, 12 A, and 13 A, respectively.
  • Electrolyte 34 is pumped by pump 33 to pass filter 32 and reach inlets of liquid mass flow controller (LMFCs) 21 , 22 , and 23 . Then LMFCs 21 , 23 and 23 deliver electrolyte at a set flow rate to sub-plating baths containing anodes 3 , 2 and 1 , respectively. After flowing through a gap between wafer 31 and top of cylindrical walls, electrolyte is fed back to tank 36 through spaces between cylindrical wall 100 and 101 , 103 and 105 , and 107 and 109 , respectively. A pressure leak valve 38 is placed between outlet of pump and electrolyte tank 36 to leak electrolyte back to tank 36 when LMFCs 21 , 22 , 23 are closed.
  • LMFCs 21 , 22 , 23 liquid mass flow controller
  • Bath temperature is controlled by heater 42 , temperature sensor 40 , and heater controller 44 .
  • a Wafer 31 chucked by wafer chuck 29 is connected to power supplies 11 , 12 and 13 .
  • a mechanism 30 is used to rotate wafer 31 around z-axis at speed ⁇ z1, and oscillate wafer 31 in the x, y, and z direction.
  • LMFC is an anti-acid or anti corrosion, and contamination free type mass flow controller.
  • Filter 32 should filter particles larger than 0.05 or 0.1 ⁇ m in order to obtain a low particle added plating process.
  • Pump 33 should be anti-acid or anticorrosion, and contamination free pump.
  • Cylindrical walls 100 , 1001 , 103 , 105 , 107 and 109 are made of electrically insulating materials. The materials are also anti-acid or anti-corrosion, and non-acid dissolving, metal free materials, such as Teflon, CPVC, PVDF, or Polypropylene.
  • Step 1 Turn on power supply 11 ,
  • Step 2 Turn on LMFC 21 only, so that electrolyte only touches portion of wafer above anode 3 . Positive metal ion will be plated onto the area portion of wafer 31 above anode 3 .
  • Step 3 When the thickness of conductive film reaches the set-value or thickness, go to step 4 with power supply 11 and LMFC 21 on.
  • Step 4 Repeat steps 1 to 3 for anode 2 (LMFC 22 , and power supply 12 ), go to step 5 with power supplies 11 , 12 , and LMFCs 21 , 22 on.
  • Step 5 Repeat step 4 for anode 1 (LMFC 23 and power supply 13 ). When film thickness on whole wafer reaches set-value, turn off all power supplies and LMFCs at the same time.
  • power supplies can be operated at DC mode, or pulse mode, or DC pulse mixed mode.
  • FIG. 59 shows each power supply on/off sequence during seed layer plating.
  • the output voltage of power supply 11 can be reduced to a level such that no plating or deplating happens on the portion of wafer above anode 3 .
  • the output voltage of power supplies 11 , 12 can be reduced to a level such that total charges delivered to anode 3 , 2 , and 1 during time T3, T2, and T1 meets the following requirement:
  • Q3 is total charge delivered to anode 3 during whole plating process
  • Q2 total charge delivered to anode 2
  • Q1 total charge delivered to anode 1 during the whole plating process.
  • Charge monitors 11 A, 12 A, and 13 A are used as in-situ thickness monitor. For instance charge variations caused by fluctuation of any power supply can be feed back to a computer. The computer can correct the variation either by adjusting current delivered by the same power supply or adjusting the plating time.
  • An advantage of above process is that no deplating happens during whole plating process. Such deplating would cause additional thickness variation, and might cause corrosion to the plated film.
  • FIGS. 60 A- 60 B show another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of FIGS. 60 A- 60 B is similar to that of FIGS. 58 A- 58 B except that output of each channel is adapted by multi-small nozzles 800 . Those nozzles will enhance the film uniformity.
  • FIG. 61 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • Plating bath 88 is rotated by a mechanism means (not shown) to form a parabolic surface of electrolyte.
  • Anode 804 is set inside of bath 88 and connected to power supply 806 .
  • Wafer chuck 29 is driven in x, y, and z movement, and is rotated around the z-axis.
  • Step 1 Deliver electrolyte to bath 800 ;
  • Step 2 Rotate bath 800 around z-axis at a speed of ⁇ z2 to form a parabolic surface on top of electrolyte;
  • Step 3 Turn on power supply 806 ;
  • Step 4 Move the chuck down at a certain speed until the whole wafer surface is touched by electrolyte.
  • the rotation angle or tilting angle is in the range of 0 to 180 degrees.
  • the speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 5 when the film reaches the pre-set value, turn off electrolyte pump, power supply, and driving means to drive bath 800 .
  • the chuck can be rotated around the z-axis to further enhance film uniformity.
  • the rotation direction of the chuck is preferred to be opposite to that of bath 80 .
  • FIGS. 62 and 63 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of FIGS. 62 and 63 are similar to that of FIG. 61 except that single anode is replaced by multi-anodes.
  • the height of insulating walls located at edge is higher than those located at center of bath. The advantages of these two embodiments provide additional variables to control film uniformity across wafer.
  • FIGS. 64 and 65 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of FIGS. 64 and 65 are similar to these of FIGS. 62 and 63 except that the height of insulating walls located from the center to the edge of the bath are the same.
  • FIG. 66 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of FIG. 66 is similar to that of FIG. 61 except that chuck 29 can be rotated around the y axis or the x-axis so that only peripheral part of wafer is contacted by electrolyte.
  • the rotation angle or tilting angle is in the range of 0 to 180 degrees.
  • Step 1 Deliver electrolyte to bath 800 ,
  • Step 2 Rotate chuck 29 around y-axis at an angle ⁇ y,
  • Step 3 Rotate chuck 29 around z-axis at a speed of ⁇ z1,
  • Step 4 Turn on power supply 806 ;
  • Step 5 Move chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by electrolyte.
  • the speed of chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 6 When the film reaches the pre-set value, turn off electrolyte pump, power supply, and driving means to drive chuck 29 .
  • the wafer chuck can be rotated around the y-axis to make it horizontal. This will enhance the film uniformity.
  • FIGS. 67 and 68 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of FIGS. 67 and 68 are similar to that of FIG. 66 except that a single anode is replaced by multi-anodes.
  • the advantage of these two embodiments is that they provide additional variables to control film uniformity across wafer.
  • FIG. 69 shows another embodiment of apparatus for plating conductive film in accordance with the present invention.
  • the embodiment of FIG. 69 is a combination of those of FIGS. 61 and 66.
  • the advantage of this embodiment is to provide additional variable to control position of a wafer relative to the surface of the electrolyte.
  • Step 1 Deliver electrolyte to bath 800 ,
  • Step 2 Rotate chuck 29 around the y-axis at an angle ⁇ y,
  • Step 3 Rotate chuck 29 around the z-axis at a speed of ⁇ z1,
  • Step 4 Rotate bath 800 around the z-axis at a speed of ⁇ z2 to form a parabolic surface on top of the electrolyte;
  • Step 5 Turn on power supply 806 ;
  • Step 6 Move chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by electrolyte.
  • the speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 7 When film reached the pre-set value, turn off electrolyte pump, power supply, and driving means to drive bath 800 and chuck 29 .
  • the wafer chuck 29 can be rotated around y-axis to make it horizontal. This will enhance the film uniformity.
  • FIGS. 70 and 71 show another two embodiments of apparatus for plating conductive film in accordance with the present invention.
  • the embodiments of FIGS. 70 and 71 are similar to that of FIG. 69 except that the single anode is replaced by multiple anodes.
  • the advantage of these two embodiments is that they provide additional variables to control film uniformity across the wafer.

Abstract

An apparatus for plating a conductive film directly on a substrate with a barrier layer on top includes anode rod (1) placed in tube (109), and anode rings (2), and (3) placed between cylindrical walls (107) and (105), (103) and (101), respectively. Anodes (1), (2), and (3) are powered by power supplies (13), (12), and (11), respectively. Electrolyte (34) is pumped by pump (33) to pass through filter (32) and reach inlets of liquid mass flow controllers (LMFCs) (21), (22), and (23). Then LMFCs (21), (22) and (23) deliver electrolyte at a set flow rate to sub-plating baths containing anodes (3), (2) and (1), respectively. After flowing through the gap between wafer (31) and the top of the cylindrical walls (101), (103), (105), (107) and (109), electrolyte flows back to tank (36) through spaces between cylindrical walls (100) and (101), (103) and (105), and (107) and (109), respectively. A pressure leak valve (38) is placed between the outlet of pump (33) and electrolyte tank (36) to leak electrolyte back to tank (36) when LMFCs (21), (22), (23) are closed. A wafer (31) held by wafer chuck (29) is connected to power supplies (11), (12) and (13). A drive mechanism (30) is used to rotate wafer (31) around the z axis, and oscillate the wafer in the x, y, and z directions shown. Filter (32) filters particles larger than 0.1 or 0.2 μm in order to obtain a low particle added plating process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates generally to a method and apparatus for plating thin films and, more particularly, plating metal films to form interconnects in semiconductor devices. [0002]
  • 2. Description of the Prior Art [0003]
  • As semiconductor device features continue to shrink according to Moore's law, interconnect delay is larger than device gate delay for 0.18 μm generation devices if aluminum (Al) and SiO[0004] 2 are still being used. In order to reduce the interconnect delay, copper and low k dielectric are a possible solution. Copper/low k interconnects provide several advantages over traditional Al/SiO2 approaches, including the ability to significantly reduce the interconnect delay, while also reducing the number of levels of metal required, minimizing power dissipation and reducing manufacturing costs. Copper offers improved reliability in that its resistance to electromigration is much better than aluminum. A variety of techniques have been developed to deposit copper, ranging from traditional physical vapor deposition (PVD) and chemical vapor deposition (CVD) techniques to new electroplating methods. PVD Cu deposition typically has a cusping problem which results in voids when filling small gaps (<0.18 μm) with a large aspect ratio. CVD Cu has high impurity incorporated inside the film during deposition, which needs a high temperature annealing to drive out the impurity in order to obtain a low resistivity Cu film. Only electroplated Cu can provide both low resistivity and excellent gap filling capability at the same time. Another important factor is the cost; the cost of electroplating tools is two thirds or half of that of PVD or CVD tools, respectively. Also, low process temperatures (30° to 60° C.) for electroplating Cu are advantageous with low k dielectrics (polymer, xerogels and aerogels) in succeeding generations of devices.
  • Electroplated Cu has been used in printed circuit boards, bump plating in chip packages and magnetic heads for many years. In conventional plating machines, density of plating current flow to the periphery of wafers is greater than that to the center of wafers. This causes a higher plating rate at the periphery than at the center of wafers. U.S. Pat. No. 4,304,841 to Grandia et al. discloses a diffuser being put between a substrate and an anode in order to obtain uniform plating current flow and electrolyte flow to the substrate. U.S. Pat. No. 5,443,707 to Mori discloses manipulating plating current by shrinking the size of the anode. U.S. Pat. No. 5,421,987 to Tzanavaras discloses a rotating anode with multiple jet nozzles to obtain a uniform and high plating rate. U.S. Pat. No. 5,670,034 to Lowery discloses a transversely reciprocating anode in front of a rotating wafer to improve plating thickness uniformity. U.S. Pat. No. 5,820,581 to Ang discloses a thief ring powered by a separate power supply to manipulate the plating current distribution across the wafer. [0005]
  • All of these prior art approaches need a Cu seed layer prior to the Cu plating. Usually the Cu seed layer is on the top of a diffusion barrier. This Cu seed layer is deposited either by physical vapor deposition (PVD), or chemical vapor deposition (CVD). As mentioned before, however, PVD Cu typically has a cusping problem, which results in voids when filling small gaps (<0.18 μm) with a large aspect ratio with subsequent Cu electroplating. CVD Cu has high impurity levels incorporated in the film during deposition, requiring a high temperature annealing to drive out the impurities in order to obtain a low resistivity Cu seed layer. As device feature size shrinks this Cu seed layer will become a more serious problem. Also, deposition of a Cu seed layer adds an additional process, which increases IC fabrication cost. [0006]
  • Another disadvantage of the prior art is that the plating current and electrolyte flow pattern are manipulated dependently, or only the plating current is manipulated. This limits the process tuning window, because the optimum plating current condition does not necessarily synchronize with optimum electrolyte flow condition for obtaining excellent gap filling capability, thickness uniformity and electrical uniformity as well as grain size and structure uniformity all at the same time. [0007]
  • Another disadvantage of the prior art is that plating head or plating systems are bulky with large foot prints, which causes higher cost of ownership for users. [0008]
  • SUMMARY OF THE INVENTION
  • It is an object of the invention to provide a novel method and apparatus for plating a metal film directly on a barrier layer without using a seed layer produced by a process other than plating. [0009]
  • It is a further object of the invention to provide a novel method and apparatus for plating a metal film over a thinner seed layer than employed in the prior art. [0010]
  • It is an additional object of the invention to provide a novel method and apparatus for plating a thin film with a more uniform thickness across a wafer. [0011]
  • It is a further object of the invention to provide a novel method and apparatus for plating a conducting film with a more uniform electrical conductivity across a wafer. [0012]
  • It is a further object of the invention to provide a novel method and apparatus for plating a thin film with a more uniform film structure, grain size, texture and orientation. [0013]
  • It is a further object of the invention to provide a novel method and apparatus for plating a thin film with an improved gap filling capability across a wafer. [0014]
  • It is a further object of the invention to provide a novel method and apparatus for plating a metal film for interconnects in an integrated circuit IC chip. [0015]
  • It is a further object of the invention to provide a novel method and apparatus for plating a thin film, with the method and apparatus having independent plating current control and electrolyte flow pattern control. [0016]
  • It is a further object of the invention to provide a novel method and apparatus for plating a metal thin film for a damascene process. [0017]
  • It is a further object of the invention to provide a novel method and apparatus for plating a metal film with a low impurity level. [0018]
  • It is a further object of the invention to provide a novel method and apparatus for plating copper with a low stress and good adhesion. [0019]
  • It is a further object of the invention to provide a novel method and apparatus for plating a metal film with a low added particle density. [0020]
  • It is a further object of the invention to provide a novel plating system with a small footprint. [0021]
  • It is a further object of the invention to provide a novel plating system with a low cost of ownership. [0022]
  • It is a further object of the invention to provide a novel plating system which plates a single wafer at a time. [0023]
  • It is a further object of the invention to provide a novel plating system with an in-situ film thickness uniformity monitor. [0024]
  • It is a further object of the invention to provide a novel plating system with a built-in cleaning system with wafer dry-in and dry-out. [0025]
  • It is a further object of the invention to provide a novel plating system with a high wafer throughput. [0026]
  • It is a further object of the invention to provide a novel plating system which can handle a wafer size beyond 300 mm. [0027]
  • It is a further object of the invention to provide a novel plating system with multiple plating baths and cleaning/drying chambers. [0028]
  • It is a further object of the invention to provide a novel plating system with a stacked plating chamber and cleaning/dry chamber structure. [0029]
  • It is a further object of the invention to provide a novel plating system with automation features of the Standard Mechanical Interface (SMIF), the Automated Guided Vehicle (AGV), and the SEMI Equipment Communication Standard/Generic Equipment Machine (SECS/GEM). [0030]
  • It is a further object of the invention to provide a novel plating system meeting Semiconductor Equipment and Materials International (SEMI) and European safety specifications. [0031]
  • It is a further object of the invention to provide a novel plating system with high productivity having a large mean time between failures (MTBF), small scheduled down time, and large equipment uptime. [0032]
  • It is a further object of the invention to provide a novel plating system controlled by a personal computer with a standard operating system, such as an IBM PC under a Windows NT environment. [0033]
  • It is a further object of the invention to provide a novel plating system with a graphical user interface, such as a touch screen. [0034]
  • These and related objects and advantages of the invention may be achieved through use of the novel method and apparatus herein disclosed. A method for plating a film to a desired thickness on a surface of a substrate in accordance with the invention includes plating the film to the desired thickness on a first portion of the substrate surface. The film is then plated to the desired thickness on at least a second portion of the substrate to give a continuous film at the desired thickness on the substrate. Additional portions of the substrate surface adjacent to and contacting the film already plated on one or more of the previous portions are plated as necessary to give a continuous film over the entire surface of the substrate. [0035]
  • An apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte. The apparatus has at least one anode for supplying plating current to the substrate and at least two flow controllers connected to supply electrolyte contacting the substrate. At least one control system is coupled to the at least one anode and the at least two flow controllers to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0036]
  • In another aspect of the invention, an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte. The apparatus has at least two anodes for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate. At least one control system is coupled to the at least two anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0037]
  • In a further aspect of the invention, an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte. The apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate. The at least one flow controller comprises at least three cylindrical walls, a first of the cylindrical walls positioned under a center portion of the substrate extending upward closer to the substrate than a second one of the cylindrical walls positioned under a second portion of the substrate peripheral to the center portion. A drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte. At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0038]
  • In yet another aspect of the invention, an apparatus for plating a film on a substrate in accordance with the invention includes a substrate holder for positioning the substrate for contact with a plating electrolyte. The apparatus has at least one anode for supplying plating current to the substrate and at least one flow controller connected to supply electrolyte contacting the substrate. The at least one flow controller comprises at least three cylindrical walls movable upward toward the substrate and downward away from the substrate, to adjust a gap between the substrate and each of the cylindrical walls to control one or more portions of the substrate contacting the electrolyte. A drive mechanism is coupled to the substrate holder to drive the substrate holder up and down to control one or more portions of the substrate contacting the electrolyte. At least one control system is coupled to the at least one anode and the at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0039]
  • In still another aspect of the invention, an apparatus for plating a film on a substrate, includes a substrate holder for positioning the substrate in a body of electrolyte. At least one movable jet anode supplies plating current and electrolyte to the substrate. The movable jet anode is movable in a direction parallel to the substrate surface. A flow controller controls electrolyte flowing through the movable jet anode. At least one control system is coupled to the movable jet anode and the flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0040]
  • In a still further aspect of the invention, an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface. A first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte. A bath for the electrolyte has at least one anode mounted in the bath. A second drive mechanism is coupled to the bath to rotate the bath around a vertical axis to form a substantially parabolic shape of the electrolyte surface. A control system is coupled to the first and second drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0041]
  • In yet another aspect of the invention, an apparatus for plating a film on a substrate includes a substrate holder for positioning the substrate above an electrolyte surface. A first drive mechanism is coupled to the substrate holder to move the substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte. A second drive mechanism is coupled to the substrate holder to rotate the substrate holder around an axis vertical to the surface of the substrate. A third drive mechanism is coupled to the substrate holder to tilt the substrate holder with respect to the electrolyte surface. A bath for the electrolyte has at least one anode mounted in the bath. A control system is coupled to the first, second and third drive mechanisms and to the at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate. [0042]
  • In a still further aspect of the invention, a method for plating a film to a desired thickness on a surface of a substrate includes providing a plurality of stacked plating modules and a substrate transferring mechanism. A substrate substrate is picked from a substrate holder with the substrate transferring mechanism. The substrate is loaded into a first one of stacked plating modules with the substrate transferring mechanism. A film is plated on the substrate in the first the one of the stacked plating modules. The substrate is returned to the substrate holder with the substrate transferring mechanism. [0043]
  • In another aspect of the invention, an automated tool for plating a film on a substrate includes at least two plating baths positioned in a stacked relationship, at least one substrate holder and a substrate transferring mechanism. A frame supports the plating baths, the substrate holder and the substrate transferring mechanism. A control system is coupled to the substrate transferring mechanism, substrate holder and the plating baths to continuously perform uniform film deposition on a plurality of the substrates. [0044]
  • Method 1: Portion of Wafer Surface is Contacted with Electrolyte (Static Anode) [0045]
  • The above and other objects of the invention are further accomplished by a method for plating a thin film directly on substrate with a barrier layer on top, comprising: 1) flowing electrolyte on a portion of a substrate surface with a barrier layer on the top; and 2) turning on DC or pulse power to plate metal film on the same portion area of substrate until the film thickness reaches the pre-set value; 3) repeating [0046] step 1 and 2 for additional portions of the substrate by flowing electrolyte to the same additional portion of substrate; 4) repeating step 3 until the entire substrate surface is plated with a thin seed layer; 5) flowing electrolyte to entire area of the substrate; 6) supplying power to apply positive potential to all anodes to plate the thin film until the film thickness reaches a desired thickness value.
  • Method 2: Whole Wafer Surface is Contacted by Electrolyte (Static Modes) [0047]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) flowing electrolyte on the full surface of the substrate; 2) plating the thin film only on a portion of the substrate surface by applying positive potential on an anode close to the same portion of wafer surface and by applying negative potential on all other anodes close to the remainder of the substrate surface until the plated film thickness on the same portion of the substrate reaches a pre-set value; 3) repeating [0048] step 2 for an additional portion of the substrate; 4) repeating step 3 until the whole area of substrate is plated with a thin seed layer; 5) plating a thin film on the whole area of the substrate at the same time by applying positive potential to all anodes until the thickness of the film on the whole surface of the substrate reaches a pre-set thickness value.
  • Method 3: Whole Wafer Surface is Contacted by Electrolyte at Beginning, and then Portion of Wafer Which has been Plated is Moved Out of Electrolyte [0049]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) flowing electrolyte on the full surface of a substrate; 2) plating the thin film only on a portion of the substrate surface by applying positive potential on an anode close to the same portion of the substrate surface and by applying negative potential on all other anodes close to the remainder of the substrate surface until the plated film thickness on the portion of the substrate surface reaches a pre-set value; 3) move the electrolyte only out of contact with the all plated portion of the substrate and keep the electrolyte still touching the rest of the non-plated portion of the substrate; 4) repeat steps 2 and 3 for plating the next portion of the substrate; 5) [0050] repeat step 4 until the whole area of the substrate is plated with a thin seed layer; 6) plate a thin film on the whole substrate at the same time by applying positive potential to all anodes and flowing electrolyte on the whole surface of the substrate until the thickness of the film on the whole surface of the substrate reaches a pre-set thickness value.
  • Method 4: A Portion of Substrate is Contacted by Electrolyte at Beginning, and then Both Plated Portion and the Next Portion of the Substrate are Contacted by Electrolyte [0051]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) flowing electrolyte on a first portion of the substrate surface; and 2) plating the thin film only on the first portion of the substrate surface by applying positive potential on an anode close to the first portion of the substrate surface until the plated film thickness on the first portion of the substrate reaches a pre-set value; 3) moving the electrolyte to contact a second portion of the substrate surface and at the same time keep the electrolyte still contacting the first portion of the substrate surface; 4) plating the thin film only on the second portion of the substrate surface by applying positive potential on a anode close to the second portion of the substrate surface and applying a negative potential on an anode close to the first portion of the substrate surface; 5) repeating step 3 and 4 for plating a third portion of the substrate surface; 6) repeating step 4 until the whole area of the substrate surface is plated with a thin seed layer; 7) plating the thin film on the whole wafer at the same time by applying positive potential to all anodes and flowing electrolyte on the full surface of the substrate until the thickness of the film on the whole surface of the substrate reaches a pre-set thickness value. [0052]
  • Method 5: Portion of Substrate Surface is Contacted with Electrolyte (Movable Anodes) for Seed Layer Plating Only [0053]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) flowing electrolyte on a portion of the substrate surface with a barrier layer on the top through a movable jet anode; 2) tuning on DC or pulse power to plate a metal film on the portion of the substrate until the film thickness reaches a pre-set value; 3) repeating [0054] steps 1 and 2 for an additional portion of the substrate by moving the movable jet anode close to the additional portion of the substrate; 4) repeating step 3 until the whole area of the substrate is plated with a thin seed layer.
  • Method 6: Whole Substrate Surface is Contacted by Electrolyte (Movable Anodes) for Seed Layer Plating Only [0055]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer on top, comprising: 1) immersing the full surface of a substrate into an electrolyte; 2) plating the thin film only on a first portion of the substrate surface by applying positive potential on a movable anode close to the first portion of the substrate surface; 3) repeating [0056] step 2 for additional portions of the substrate by moving the movable anode close to the additional portions of the substrate; 4) repeating step 3 until the whole area of the substrate is plated with a thin seed layer.
  • Apparatus 1: Multiple Liquid Flow Mass Controllers (LMFCs) and Multiple Power Supplies [0057]
  • In a further aspect of the invention there is provided an apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate holder for holding a substrate above an electrolyte surface; at least two anodes, with each anode being separated by an insulating cylindrical wall; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to touch a portion of the substrate; a separate power supply to create a potential between each anode and cathode or the substrate; the portion of the substrate surface will be plated only when the liquid flow controller and power supply corresponding to the portion of the substrate is turned on at the same time. [0058]
  • Apparatus 2: One Common LMFC and Multiple Power Supplies [0059]
  • In a further aspect of the invention there is provided another apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; at least two anodes, with each anode being separated by two insulating cylindrical walls, the height of the cylindrical walls being reduced along the outward radial direction of the substrate; one common liquid mass flow controller for controlling electrolyte flowing through spaces between each adjacent cylindrical wall to reach the substrate surface; separate power supplies to create potential between each anode and cathode or the substrate; a portion of the substrate surface is plated only when the anode close to the portion of the substrate is powered to positive potential and the rest of anodes are powered to negative potential and the portion of the substrate is contacted by the electrolyte at the same time. After the plating thickness reaches a seed layer set-value, the substrate is moved up so that the plated portion is out of the electrolyte. This will allow no further plating or etching when other portions of the substrate are plated. [0060]
  • Apparatus 3: Multiple LMFCs and One Common Power Supply [0061]
  • In a further aspect of the invention there is provided another apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate holder holding the substrate above an electrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to touch a portion of the substrate; one common power supply to create potential between each anode and cathode or the substrate; a portion of the substrate surface is plated only when its liquid mass flow controller and the power supply are turned on at the same time. [0062]
  • Apparatus 4: One Common LMFC and One Common Power Supply [0063]
  • In a further aspect of the invention there is provided another apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate holder holding the substrate above an electrolyte surface; at least two anodes, each anode being separated by two insulating cylindrical walls; the cylindrical walls can be moved up and down to adjust a gap between the substrate and the top of the cylindrical walls, thereby to control electrolyte to contact a portion of the substrate adjacent to the walls, one liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls; one power supply to create potential between all anodes and a cathode or the substrate; a portion of the substrate surface will be plated only when the cylindrical wall below the portion of the substrate surface is moved up so that the electrolyte touches the portion of the substrate and the power supply is turned on at the same time. [0064]
  • Apparatus 5: Movable Anode with Substrate not Immersed in Electrolyte [0065]
  • In a further aspect of the invention there is provided another apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate holder for holding the substrate above an electrolyte surface; a movable anode jet placed under and close to the substrate, the movable anode jet being capable of moving toward the substrate surface, thereby the electrolyte from the anode jet can be controlled to touch any portion of the substrate; one power supply to create a potential between the movable anode jet and a cathode or the substrate; a portion of substrate surface is plated only when the portion of the surface is contacted by electrolyte ejected from the movable anode jet. [0066]
  • Apparatus 6: Movable Anode with Substrate Immersed in Electrolyte [0067]
  • In a further aspect of the invention there is provided another apparatus for plating a thin film directly on a substrate with a barrier layer on top, comprising: a substrate holder for holding a substrate, with the substrate being immersed in electrolyte; a movable anode jet adjacent to the substrate, the movable anode jet being movable toward the substrate surface, whereby the plating current from the anode jet can be controlled to go to any portion of the substrate; one power supply to create potential between the movable anode jet and a cathode or the substrate; a portion of substrate surface is plated only when the portion of the substrate is close to the movable anode jet. [0068]
  • Method 7: Plating Metal Film on to Substrate through a Fully Automation Plating Tool [0069]
  • In a further aspect of the invention there is provided another method for plating a thin film onto a substrate through a fully automated plating tool, comprising: 1) picking up a wafer from a cassette and sending to one of stacked plating baths with a robot; 2) plating metal film on the wafer; 3) after finishing the plating, picking up the plated wafer from the stacked plating bath with the robot and transporting it to one of the stacked cleaning/drying chambers; 4) Cleaning the plated wafer; 5) drying the plated wafer; 6) picking up the dried wafer from the stacked cleaning/drying chamber with the robot and transporting it to the cassette. [0070]
  • Apparatus 7: Fully Automated Tool for Plating Metal Film on to Substrate [0071]
  • In a further aspect of the invention there is provided a fully automated tool for plating a metal film onto a substrate, comprising: a robot transporting a wafer; wafer cassettes; multiple stacked plating baths; multiple stacked cleaning/drying baths; an electrolyte tank; and a plumbing box holding a control valve, filter, liquid mass flowing controller, and plumbing. The fully automated tool further comprises a computer and control hardware coupled between the computer and the other elements of the automated tool, and an operating system control software package resident on the computer. [0072]
  • Method 8: Plating Thin Layer—Portion of Wafer Surface is Contacted with Electrolyte and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte and are Plated by Metal [0073]
  • In a further aspect of the invention there is provided another method for plating a thin film directly on a substrate with a barrier layer or thin seed layer on top, comprising: 1) turning on DC or pulse power; 2) making a first portion of the substrate surface contact an electrolyte, so that a metal film is plated on the first portion of the substrate; 3) when the metal film thickness reaches a pre-set value, repeating [0074] step 1 and 2 for one or more additional portions of the substrate by making the one or more additional portions of the substrate contact the electrolyte, while continuing to plate the first portion of the substrate and any previous of the one or more additional portions of the substrate; 4) repeating step 3 until the entire area of the substrate is plated with a thin seed layer.
  • Method 9: Plating Thin Layer then Thick Layer—Portion of Wafer Surface is Contacted with Electrolyte, and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte and are Plated by Metal [0075]
  • In a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) turning on DC or pulse power, 2) making a first portion of a substrate surface contact an electrolyte, so that a metal film is plated on the first portion of the substrate; 3) when the metal film thickness reaches a pre-set value, repeating [0076] step 1 and 2 for one or more additional portions of the substrate by making the one or more additional portions of the substrate contact the electrolyte, while continuing to plate the first portion of the substrate and any previous of the one or more additional portions of the substrate; 4) repeating step 3 until all portions of the substrate are plated with a thin seed layer; 5) contacting all of the portions of the substrate with the electrolyte; 6) applying positive potential to anodes adjacent to all of the portions of the substrate to plate a film until the film thickness reaches a desired thickness value.
  • Method 10: Plating a Thin Layer—A First Portion of Wafer Surface is Contacted by Electrolyte Initially, and then Both the First Portion and a Second Portion of Wafer are Contacted by Electrolyte, but Only the Second Portion of Wafer is Plated [0077]
  • In a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) applying a positive potential on a first anode close to a first portion of the substrate surface; 2) contacting the first portion of the substrate surface with the electrolyte, so that the film is plated on the first portion of the substrate surface; 3) when the film thickness on the first portion of the substrate surface reaches a pre-set value, further contacting a second portion of the substrate surface while maintaining electrolyte contact with the first portion of the substrate surface; 4) plating the film only on the second portion of the substrate surface by applying positive potential on a second anode close to the second portion of the substrate surface and applying a sufficient positive potential on the first anode close to the first portion of the substrate surface so that the first portion of the substrate surface is not plated but also not deplated; 5) repeating [0078] steps 3 and 4 for plating a third portion of the substrate while avoiding deplating of the first and second portions of the substrate surface; 6) repeating step 4 for successive areas of the substrate surface until whole area of the substrate surface is plated with a thin seed layer.
  • Method 11: Plating Thin Layer then Thick Layer—A Portion of Wafer is Contacted by Electrolyte at Beginning and then Both Plated Portion and the Next Portion of Wafer are Contacted by Electrolyte, and Only the Next Portion of Wafer is Plated [0079]
  • In a further aspect of the invention there is provided another method for plating a film directly on substrate with a barrier layer or thin seed layer on top, comprising: 1) contacting a first portion of a substrate area with an electrolyte; and 2) plating thin film only on the first portion of the substrate surface by applying positive potential on a first anode close to the same portion of wafer surface until a plated film thickness on the first portion of the substrate surface reaches a pre-set value; 3) further contacting a second portion of the substrate surface while maintaining electrolyte contact with the first portion of the substrate surface; 4) plating the film only on the second portion of the substrate surface by applying positive potential on a second anode close to the second portion of the substrate surface and applying a sufficient positive potential on the first anode close to the first portion of the substrate surface so that the first portion of the substrate surface is not plated but also not deplated; 5) repeating steps 3 and 4 for plating a third portion of the substrate while avoiding deplating of the first and second portions of the substrate surface; 6) repeating step 4 until whole area of the substrate surface is plated with a thin seed layer; 7) plating a further metal film on the whole wafer at the same time by applying positive potential to all anodes and contacting the whole area of the substrate surface until a thickness of the further film on the whole substrate surface reaches a desired thickness value. [0080]
  • Apparatus 8: Rotating Plating Bath to Form Parabolic Shape of Electrolyte (Single-Anode) [0081]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; a bath with an anode immersed; a liquid mass flow controller for controlling electrolyte flowing to contact the substrate; a power source to create potential between the anode and a cathode or substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion of the substrate surface is plated only when the liquid mass flow controller and the power supply are turned on at the same time. After a plating thickness reaches a seed layer predetermined value, the substrate is moved down so that the next portion of the substrate is contacting the electrolyte and is plated. [0082]
  • Apparatus 9: Rotating Plating Bath to Form Parabolic Shape of Electrolyte (Multi-Anodes) [0083]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion of the substrate surface will be plated only when the anode close to that portion of the substrate is powered to positive as well as that portion of the substrate surface is contacted by electrolyte at the same time. After a plating thickness reaches a predetermined value, the substrate is moved down so that the next portion of the substrate is contacting the electrolyte and is plated. [0084]
  • Apparatus 10: Tilting Wafer Holder Around y-Axis or x-Axis (Single-Anode) [0085]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface, the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the electrolyte to contact the substrate; a power source to create potential between the anode and a cathode or substrate; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by electrolyte, and the liquid mass flow controller and power source are turned on at the same time. [0086]
  • Apparatus 11: Tilting Rotation Axis of Wafer Holder (Multi-Anodes) [0087]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface, the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by electrolyte, and the liquid mass flow controllers and power source are turned on at the same time. [0088]
  • Apparatus 12: Rotating Plating Bath to Form Parabolic Shape of Electrolyte and Tilting Wafer Holder Around y-Axis or x-Axis (Single-Anode) [0089]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; an anode; a liquid mass flow controller for controlling the electrolyte to contact the substrate; a power source to create potential between the anode and a cathode or substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a peripheral portion of the substrate surface will be plated only when the substrate chuck is tilted around the y-axis or x-axis and is rotated around the z-axis so that the peripheral portion of the substrate is contacted by electrolyte, and the liquid mass flow controller and power source are turned on at the same time. [0090]
  • Apparatus 13: Rotating Plating Bath to Form Parabolic Shape of Electrolyte and Tilting Wafer Holder Around y-Axis or x-Axis (Multi-Anodes) [0091]
  • In a further aspect of the invention there is provided another apparatus for plating a film directly on a substrate with a barrier layer or thin seed layer on top, comprising: a substrate chuck holding the substrate above an electrolyte surface; a motor driving the substrate holder up or down to control the portion of the surface area contacting the electrolyte; the substrate holder being rotatable around a z-axis, and also tiltable around a y-axis or an x-axis; at least two anodes, each anode being separated by two insulating cylindrical walls, the cylindrical walls being closer to the substrate at its center than at its edge; a separate liquid mass flow controller for controlling electrolyte flowing through a space between the two cylindrical walls to contact a portion of the substrate; separate power supplies to create potential between each anode and cathode or the substrate; another motor driving the plating bath to rotate around its central axis at such a speed that a surface of the electrolyte surface forms a parabolic shape; a portion of the substrate surface will be plated only when the anode close to that portion of the substrate is powered to positive as well as that portion of the substrate surface being contacted by electrolyte at the same time. After a plating thickness reaches a predetermined value, the substrate is moved down so that the next portion of the substrate is contacted by the electrolyte and is plated. [0092]
  • The central idea of this invention for plating a metal film without using a seed layer produced by a process other than plating is to plate one portion of wafer a time to reduce current load to a barrier layer, since the barrier layer typically has 100 times higher resistivity than a copper metal film. For details, please see following theoretical analysis. [0093]
  • The attainment of the foregoing and related objects, advantages and features of the invention should be more readily apparent to those skilled in the art, after review of the following more detailed description of the invention, taken together with the drawings, in which:[0094]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a portion of a prior art plating apparatus, useful for understanding the invention. [0095]
  • FIG. 1B is a plan view of a substrate shown in FIG. 1. [0096]
  • FIG. 2 is a corresponding plan view of a substrate during plating in accordance with the invention. [0097]
  • FIG. 3A is a plan view of a portion of a plating apparatus in accordance with the invention. [0098]
  • FIG. 3B is a view, partly in cross section, taken along the [0099] line 3B-3B in FIG. 3A, and partly in block diagram form, of a plating apparatus in accordance with the invention.
  • FIG. 4A is a plan view of a substrate ready for plating in accordance with the invention. [0100]
  • FIG. 4B is a cross section view, taken along the line [0101] 4A-4A of the substrate in FIG. 4A.
  • FIG. 5 is a set of waveform diagrams, useful for understanding operation of the FIGS. [0102] 3A-3B embodiment of the invention.
  • FIGS. 6A and 6B are partial cross section views of plated substrates, useful for further understanding of the invention. [0103]
  • FIGS. 7 and 8 are additional sets of waveform diagrams, useful for a further understanding operation of the FIGS. [0104] 3A-3B embodiment of the invention.
  • FIGS. [0105] 9A-9D are plan views of portions of alternative embodiments of plating apparatuses in accordance with the invention.
  • FIG. 10 is a plot of waveforms obtained in operation of apparatus in accordance with the invention. [0106]
  • FIG. 11 is a flow diagram for a process in accordance with the invention. [0107]
  • FIG. 12 is a set of waveform diagrams for an another embodiment of a process in accordance with the invention. [0108]
  • FIG. 13A is a plan view of a portion of a second embodiment of a plating apparatus in accordance with the invention. [0109]
  • FIG. 13B is a view, partly in cross section, taken along the [0110] line 13B-13B in FIG. 13A, and partly in block diagram form, of the second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 14A is a plan view of a portion of a third embodiment of a plating apparatus in accordance with the invention. [0111]
  • FIG. 14B is a view, partly in cross section, taken along the [0112] line 14B-14B in FIG. 14A, and partly in block diagram form, of the third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 15A is a plan view of a portion of a fourth embodiment of a plating apparatus in accordance with the invention. [0113]
  • FIG. 15B is a view, partly in cross section, taken along the [0114] line 15B-15B in FIG. 15A, and partly in block diagram form, of the fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 16A is a plan view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention. [0115]
  • FIG. 16B is a view, partly in cross section, taken along the [0116] line 16B-16B in FIG. 16A, and partly in block diagram form, of the fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 17 is a cross section view of a portion of a fifth embodiment of a plating apparatus in accordance with the invention. [0117]
  • FIG. 18A is a plan view of a portion of a sixth embodiment of a plating apparatus in accordance with the invention. [0118]
  • FIG. 18B is a view, partly in cross section, taken along the [0119] line 18B-18B in FIG. 18A, and partly in block diagram form, of the sixth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 19A is a plan view of a portion of a seventh embodiment of a plating apparatus in accordance with the invention. [0120]
  • FIG. 19B is a view, partly in cross section, taken along the [0121] line 19B-19B in FIG. 19A, and partly in block diagram form, of the seventh embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 20A and 20B are views, partly in cross section and partly in block diagram form, of an eighth embodiment of a plating apparatus in accordance with the invention. [0122]
  • FIGS. 21A and 21B are views, partly in cross section and partly in block diagram form, of a ninth embodiment of a plating apparatus in accordance with the invention. [0123]
  • FIG. 22A is a plan view of a portion of a tenth embodiment of a plating apparatus in accordance with the invention. [0124]
  • FIG. 22B is a view, partly in cross section, taken along the [0125] line 22B-22B in FIG. 22A, and partly in block diagram form, of the tenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 23A and 23B are plan views of a portion of eleventh and twelfth embodiments of plating apparatus in accordance with the invention. [0126]
  • FIG. 24A is a plan view of a portion of a thirteenth embodiment of a plating apparatus in accordance with the invention. [0127]
  • FIG. 24B is a view, partly in cross section, taken along the [0128] line 24B-24B in FIG. 24A, and partly in block diagram form, of the thirteenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. [0129] 25A-25C are plan views of a portion of fourteenth, fifteenth and sixteenth embodiments of plating apparatus in accordance with the invention.
  • FIG. 26A is a plan view of a portion of a seventeenth embodiment of a plating apparatus in accordance with the invention. [0130]
  • FIG. 26B is a view, partly in cross section, taken along the [0131] line 26B-26B in FIG. 26A, and partly in block diagram form, of the seventeenth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 27 and 28 are plan views of a portion of eighteenth and nineteenth embodiments of plating apparatus in accordance with the invention. [0132]
  • FIGS. [0133] 29A-29C are plan views of a portion of twentieth, twenty first and twenty second embodiments of plating apparatus in accordance with the invention.
  • FIG. 30A is a plan view of a portion of a twenty third embodiment of a plating apparatus in accordance with the invention. [0134]
  • FIG. 30B is a view, partly in cross section, taken along the [0135] line 30B-30B in FIG. 30A, and partly in block diagram form, of the twenty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 31A is a plan view of a portion of a twenty fourth embodiment of a plating apparatus in accordance with the invention. [0136]
  • FIG. 31B is a view, partly in cross section, taken along the [0137] line 31B-31B in FIG. 31A, and partly in block diagram form, of the twenty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 32A is a plan view of a portion of a twenty fifth embodiment of a plating apparatus in accordance with the invention. [0138]
  • FIG. 32B is a view, partly in cross section, taken along the [0139] line 32B-32B in FIG. 32A, and partly in block diagram form, of the twenty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 33A is a plan view of a portion of a twenty sixth embodiment of a plating apparatus in accordance with the invention. [0140]
  • FIG. 33B is a view, partly in cross section, taken along the [0141] line 33B-33B in FIG. 33A, and partly in block diagram form, of the twenty sixth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. [0142] 34A-34D are cross section views of a portion of twenth seventh through thirtieth embodiments of plating apparatus in accordance with the invention.
  • FIG. 35 shows a substrate during plating with a process in accordance with the invention. [0143]
  • FIGS. [0144] 36A-36D are plan views of thirty first through thirty fourth embodiments of plating apparatus in accordance with the invention.
  • FIGS. 37A and 37B are cross section views of a portion of thirty fifth and thirty sixth embodiments of plating apparatus in accordance with the invention. [0145]
  • FIG. 38A is a plan view of a portion of a thirty seventh embodiment of a plating apparatus in accordance with the invention. [0146]
  • FIG. 38B is a view, partly in cross section, taken along the [0147] line 38B-38B in FIG. 38A, and partly in block diagram form, of the thirty seventh embodiment of a plating apparatus in accordance with the invention.
  • FIG. 39 is a set of waveform diagrams useful for understanding operation of the plating apparatus in FIGS. 38A and 38B. [0148]
  • FIG. 40 is a plan view of a portion of a thirty eighth embodiment of a plating apparatus in accordance with the invention. [0149]
  • FIG. 40B is a view, partly in cross section, taken along the [0150] line 40B-40B in FIG. 40A, and partly in block diagram form, of the thirty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 41A is a plan view of a portion of a thirty ninth embodiment of a plating apparatus in accordance with the invention. [0151]
  • FIG. 41B is a view, partly in cross section, taken along the [0152] line 41B-41B in FIG. 41A, and partly in block diagram form, of the thirty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 42A is a plan view of a portion of a fortieth embodiment of a plating apparatus in accordance with the invention. [0153]
  • FIG. 42B is a view, partly in cross section, taken along the [0154] line 42B-42B in FIG. 42A, and partly in block diagram form, of the fortieth embodiment of a plating apparatus in accordance with the invention.
  • FIGS. 43 and 44 are sets of waveform diagrams useful for understanding operation of the embodiment of FIGS. 42A and 42B. [0155]
  • FIG. 45A is a plan view of a portion of a forty first embodiment of a plating apparatus in accordance with the invention. [0156]
  • FIG. 45B is a view, partly in cross section, taken along the [0157] line 45B-45B in FIG. 45A, and partly in block diagram form, of the forty first embodiment of a plating apparatus in accordance with the invention.
  • FIG. 46A is a plan view of a portion of a forty second embodiment of a plating apparatus in accordance with the invention. [0158]
  • FIG. 46B is a view, partly in cross section, taken along the [0159] line 46B-46B in FIG. 46A, and partly in block diagram form, of the forty second embodiment of a plating apparatus in accordance with the invention.
  • FIG. 47A is a plan view of a portion of a forty third embodiment of a plating apparatus in accordance with the invention. [0160]
  • FIG. 47B is a view, partly in cross section, taken along the line [0161] 47B-47B in FIG. 47A, and partly in block diagram form, of the forty third embodiment of a plating apparatus in accordance with the invention.
  • FIG. 48A is a plan view of a portion of a forty fourth embodiment of a plating apparatus in accordance with the invention. [0162]
  • FIG. 48B is a view, partly in cross section, taken along the line [0163] 48B-48B in FIG. 48A, and partly in block diagram form, of the forty fourth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 49A is a plan view of a portion of a forty fifth embodiment of a plating apparatus in accordance with the invention. [0164]
  • FIG. 49B is a view, partly in cross section, taken along the [0165] line 49B-49B in FIG. 49A, and partly in block diagram form, of the forty fifth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 50 is a view, partly in cross section and partly in block diagram form, of a forty sixth embodiment of a plating apparatus in accordance with the invention. [0166]
  • FIG. 51 is a view, partly in cross section and partly in block diagram form, of a forty seventh embodiment of a plating apparatus in accordance with the invention. [0167]
  • FIGS. [0168] 52A-52C are schematic top, cross section and side views of a first embodiment of a plating system in accordance with the invention.
  • FIG. 53 is a flow diagram of operation of a portion of software for controlling the plating system of FIG. 52. [0169]
  • FIGS. [0170] 54A-54C are schematic top, cross section and side views of a second embodiment of a plating system in accordance with the invention.
  • FIGS. 55 and 56 are schematic top views of third and fourth embodiments of plating systems in accordance with the invention. [0171]
  • FIGS. [0172] 57A-57C are schematic top, cross section and side views of a plating system in accordance with the invention.
  • FIG. 58A is a plan view of a portion of a forty eighth embodiment of a plating apparatus in accordance with the invention. [0173]
  • FIG. 58B is a view, partly in cross section, taken along the [0174] line 58B-58B in FIG. 58A, and partly in block diagram form, of the forty eighth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 59 is a set of waveform diagrams showing power supply on/off sequences in use of the FIGS. [0175] 58A-58B embodiment during plating.
  • FIG. 60A is a plan view of a portion of a forty ninth embodiment of a plating apparatus in accordance with the invention. [0176]
  • FIG. 60B is a cross section view, partly taken along the [0177] line 60B-60B in FIG. 60A, of the forty ninth embodiment of a plating apparatus in accordance with the invention.
  • FIG. 61 is a partly cross section and partly schematic view of a fiftieth embodiment of a plating apparatus in accordance with the invention. [0178]
  • FIGS. [0179] 62-71 are schematic views of fifty first through sixtieth embodiments of plating apparatuses in accordance with the invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Turning now to the drawings, more particularly to FIGS. [0180] 1A-1B, there is shown a portion of a prior art plating apparatus, useful for understanding the present invention.
  • Theoretical Calculation of Potential Difference Between Center and Edge of Wafer During Conventional Plating [0181]
  • FIGS. 1A shows a cross section view of a conventional fountain type plating tool and a [0182] semiconductor wafer 31 with a thin barrier layer 400. The following theoretical calculation is for determining the potential difference between the center and the periphery of the wafer during normal plating. Assuming plating current density on the whole wafer surface is the same, the potential difference can be calculated by the following formula: V = ( I 0 ρ s 4 π r 0 2 ) ( r 2 - r 0 2 ) ( 1 )
    Figure US20020008036A1-20020124-M00001
  • where: r is the radius (cm), r[0183] 0 is the radius of a wafer (cm), I0 is the total plating current flow to the wafer (Amp.), ρs is the sheet resistance of barrier layer (Ω/square).
  • Assuming the atomic radius=3 Å, then we can calculate that the surface density is 1E15 atom/cm[0184] 2. The density of current flowing to the wafer can be expressed as: I D = ( 2 × 1 E15 60 ) ( qP . R . D atom ) ( 2 )
    Figure US20020008036A1-20020124-M00002
  • where, ID is the plating current density (A/cm[0185] 2), q is the charge of an electron (C), P.R. is the plating rate (Å/min), Datom is the diameter of an atom. Substitute P.R.=2000 Å/min, q=1.82E-19 C, and =3 Å into eq. (2): I D = ( 2 × 1 E15 60 ) ( 1.62 E - 19 × 2000. 3 ) = 3.6 E - 3 A / cm 2 ( 3 )
    Figure US20020008036A1-20020124-M00003
  • Total current flowing to a 200 mm wafer is[0186]
  • I 0 =πr 0 2 I D=3.14×100×3.6E−3=1.13 Amp.  (4)
  • Sheet resistance depends on thickness of film, and the method of depositing the film. Sheet resistance at thickness of 200 Å and deposited by a normal PVD or CVD method is in a range of 100 to 300 Ω/square. Substituting above I[0187] 0=1.13 Amp., ρs=100 to 300 Ω/square, and r=0, r0=10 cm into eq. (1), the potential difference between the center and the periphery (edge) of the wafer is:
  • V=8.96 to 26.9 Volt.  (5)
  • The normal plating voltage in acid Cu plating is in a range of 2 to 4 Volts. It is clear that such a potential difference will make it impossible to plate directly onto barrier layer by a conventional plating tool. Even though metal still can be plated on the center of the wafer by using over voltage, a substantial quantity of H[0188] + ions will come out together with metal ions at the periphery of the wafer, which makes a poor quality of metal film. For the semiconductor interconnect application, plated copper film will have a very large resistivity, and poor morphology.
  • Theoretical Calculation of Potential Difference Between Outside and Inside of Plating Area During Plating of the Invention [0189]
  • As shown in FIG. 2, the invention only plates a portion of wafer at one time. The potential difference between the position at radius r[0190] 2 and the position at radius r1 can be expressed as:
  • V 21 →∫dv=∫IdR=∫I Dr 2 2 −πr 1 2)(ρs/2πr)dr=(I Dρs/2)[(0.5 r 2 2 −r 1 23 ln r2)−(0.5 r 1 2 −r 1 2 ln r 1)]  (6)
  • The worst case is on the periphery of the wafer. Substitute r[0191] 1=9 cm, r2=10 cm, ID=3.6E-3 Amp. (corresponding to P.R.=2000 Å/min), ρs=100 to 300 Ω/square into eq. (6):
  • V21=0.173 to 0.522 Volts  (7)
  • Hydrogen overvoltage is about 0.83 V. It is clear that no hydrogen comes out during plating in accordance with the invention. [0192]
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • In describing the variety of embodiments of the invention, corresponding parts in different figures are designated with the same reference number in order to minimize repetitive description. [0193]
  • 1. Multiple Power Supplies and Multiple LMFCs [0194]
  • FIGS. [0195] 3A-3B are schematic views of one embodiment of the apparatus for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The plating bath includes anode rod 1 placed in tube 109, and anode rings 2, and 3 placed between cylindrical walls 107 and 105, 103 and 101, respectively. Anodes 1, 2, and 3 are powered by power supplies 13, 12, and 11, respectively. Electrolyte 34 is pumped by pump 33 to pass through filter 32 and reach inlets of liquid mass flow controllers (LMFCs) 21, 22, and 23. Then LMFCs 21, 22 and 23 deliver electrolyte at a set flow rate to sub-plating baths containing anodes 3, 2 and 1, respectively. After flowing through the gap between wafer 31 and the top of the cylindrical walls 101, 103, 105, 107 and 109, electrolyte flows back to tank 36 through spaces between cylindrical walls 100 and 101, 103 and 105, and 107 and 109, respectively. A pressure leak valve 38 is placed between the outlet of pump 33 and electrolyte tank 36 to leak electrolyte back to tank 36 when LMFCs 21, 22, 23 are closed. Bath temperature is controlled by heater 42, temperature sensor 40, and heater controller 44. A wafer 31 held by wafer chuck 29 is connected to power supplies 11, 12 and 13. A drive mechanism 30 is used to rotate wafer 31 around the z axis, and oscillate the wafer in the x, y, and z directions shown. The LMFCs are anti-acid or anti corrosion, and contamination free type mass flow controllers of a type known in the art. Filter 32 filters particles larger than 0.1 or 0.2 μm in order to obtain a low particle added plating process. Pump 33 should be an anti-acid or anticorrosion, and contamination free pump. Cylindrical walls 100, 1001, 103, 105, 107 and 109 are made of electrically insulating, anti-acid or anti-corrosion, and non-acid dissolved, metal free materials, such as tetrafluoroethylene, polyvinyl chloride (PVC), polyvinylidene fluoride (PVDF), polypropylene, or the like.
  • FIGS. [0196] 4A-4B show the wafer 31 with barrier layer 203 on top. The barrier layer 203 is used to block diffusion of the plated metal into the silicon wafer. Typically, titanium nitride or tantalum nitride are used. In order to reduce the contact resistance between the cathode lead wire and the barrier layer, a metal film 201 is deposited by PVD or CVD on the periphery of wafer 31. The thickness of metal film 201 is in a range of 500 Å to 2000 Å. The material of film 201 is preferably the same as that plated later. For example, Cu is preferably chosen as material of film 201 for plating a Cu film.
  • 1A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0197]
  • Step 1: Turn on [0198] LMFC 21 only, so that electrolyte only touches a portion of wafer 31 above anode 3.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0199] power supply 11. Positive metal ion will be plated onto portion area of wafer 31 above anode 3.
  • Step 3: When the thickness of the metal conductive film reaches the set-value or thickness, turn off [0200] power supply 11 and turn off LMFC 21.
  • Step 4: [0201] Repeat step 1 to 3 for anode 2, using LMFC 22 and power supply 12.
  • Step 5: [0202] Repeat step 4 for anode 1, using LMFC 23 and power supply 13.
  • During the above plating process, the power supplies can be operated in DC mode, pulse mode, or DC pulse mixed mode. In DC mode, the power supplies can be operated in a constant current mode, or a constant voltage mode, or a combination of the constant current mode and constant voltage mode. The combination of the constant current mode and constant voltage mode means that the power supply can be switched from one mode to the other mode during the plating process. FIG. 5 shows each power on/off sequence during a representative seed layer plating. T[0203] p is called plating time, i.e. positive pulse on time during one cycle; Te is called etching time, i.e. negative pulse on time during one cycle. Te/Tp is called the etching plating ratio. It is generally in the range of 0 to 1. As shown in FIGS. 6A and 6B, a large ratio of Te/Tp means better gap filling or less cusping, but a lower plating rate. A small ratio of Te/Tp means a higher plating rate, but poor gap filling or more cusping.
  • 1B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 1A [0204]
  • Step 6: Turn on [0205] LMFCs 21, 22, and 23. In principle, the flow rate of electrolyte from each LMFC is set as proportional to wafer area covered by the corresponding anode.
  • Step 7: After all flow is stabilized, turn on [0206] power supplies 11, 12, and 13. In principle, the current of each power supply is also set as proportional to the wafer area covered by corresponding anode.
  • Step 8: Turn off [0207] power supplies 11, 12, and 13 at the same time when plating current is used as thickness uniformity tuning variable. Alternatively, the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIG. 7 shows a representative sequence for plating metal film on the pre-plated metal seed layer. As mentioned above, total plating time T[0208] 3, T2, and T1 can be the same when using the plating current as a variable to tune thickness uniformity within wafer, or can be different when using plating time to tuning the thickness uniformity within a wafer.
  • The number of anodes can be any number larger than 1. The more electrodes, the better film uniformity can be expected. Considering a trade off between the performance and cost, the number of the anodes is typically 7 to 20 for plating a 200 mm wafer, and 10 to 30 for plating a 300 mm wafer. [0209]
  • As shown in FIG. 8, instead of using the bipolar pulse wave form (a), a modified sine-wave pulse wave form (b), a unipolar pulse wave form (c), a pulse reverse wave form (d), a pulse-on-pulse wave form (e), or a duplex pulse wave form (i) can be used. [0210]
  • In a seed layer plating process, a sequence of [0211] anode 3, then anode 2, and then anode 1 is usually preferred, but the plating sequence can also be as follows:
  • 1) [0212] anode 1, then anode 2, and then anode 3;
  • 2) [0213] anode 2, then anode 1, and then anode 3;
  • 3) [0214] anode 2, then anode 3, and then anode 1;
  • 4) [0215] anode 3, then anode 1, and then anode 2; or
  • 5) [0216] anode 1, then anode 3, and then anode 2.
  • FIGS. [0217] 9A-9D show schematic cross section views of other embodiments of anode and wall shapes. It can be seen that the wafer area above the space between electrode 103 and 105 receives less plating current than the wafer area above anode 3 does in the case of FIG. 3. This causes thickness variation across the wafer if wafer is only rotated during plating process. In order to plate a better uniformity of film without oscillating wafer in the x and y directions, the shape of the anodes and walls can be, for example, a triangle, square, rectangle, pentagon, polygon, or ellipse. In these ways, the plating current distribution can be averaged out across the wafer.
  • FIG. 10 shows a mechanism to verify if the seed layer becomes a continuous film across the whole wafer. Since the resistivity of a barrier layer (Ti/TiN or Ta/TaN) is about 50 to 100 times that of metallic copper, the potential difference between an edge and the center before plating a seed layer is much higher than that after plating a continuous copper seed layer. This resistance can be calculated by measuring the output voltage and current of [0218] power supplies 11, 12 and 13 as shown in FIG. 10. When the seed layer becomes a continuous film, the loading resistance reduces significantly. In this way, it also can be determined which area is not covered by a continuous film. For instance:
  • Logic Table 1 [0219]
  • 1) if V[0220] 11, V12 are small, and V13 is large, then the film on the wafer area above anode 1 is not continuous;
  • 2) if V[0221] 11 is small, and V12 and V13 are large, then at least the film on the wafer area above anode 2 is not continuous;
  • further under condition (2), [0222]
  • if V[0223] 12 and V13 are close to each other, then the film on the wafer area above anode 1 is continuous;
  • if V[0224] 12 and V13 are significantly different, then the film on the wafer area above anode 1 is not continuous;
  • 3) if V[0225] 11, V12 and V13 are large, then at least the film on the wafer area above anode 3 is not continuous;
  • further under condition (3) [0226]
  • if V[0227] 12 and V13 are significantly different, then the film on the wafer areas above anode 2 and anode 1 are not continuous;
  • If V[0228] 11 and V12 are significantly different, and V12 and V13 are close to each other, then the film on the wafer area above anode 2 is not continuous, but the film on the wafer area above area 1 is continuous;
  • If V[0229] 11 and V12 are close to each other, and V12 and V13 are significantly different, then the film on the wafer area anode 2 is continuous, and the film on the wafer area above anode 1 is not continuous.
  • If V[0230] 12 and V13 are close to V11, then the film on the wafer areas above anode 1 and 2 are continuous.
  • Through a logic check as shown in FIG. 11, it can be figured out where the seed layer is continuous. Then further seed layer plating can be performed. [0231]
  • FIG. 12 shows a process sequence for plating a seed layer with the whole area wafer immersed in electrolyte employing the embodiment of FIGS. [0232] 3A-3B. In the first half cycle, the wafer area above anode 3 is in plating mode, and wafer areas above anode 2 and 1 are in etching mode. In the second half cycle, the wafer area above anode 3 is in etching mode, and wafer areas above anodes 2 and 1 are in plating mode. In this way, part of the plating current is cancelled by etching current, and therefore total current flow to the periphery of the wafer is significantly reduced. Instead of using a bipolar pulse wave form, other pulse wave forms as shown in FIG. 7 also can be used.
  • FIGS. [0233] 13A-13B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 13A-13B is similar to that of FIGS. 3A-3B except that LMFCs 21, 22 and 23 are replaced by valves 51, 52, 53 and LMFC 55. Valves 51, 52 and 53 are on/off valves. The flow rate setting of LMFC 55 is determined by the status of each valve as follows:
  • Flow rate setting of [0234] LMFC 55=F.R. 3×f(valve 51)+F.R. 2×f(valve 52)+F.R. 1×f(valve 53)
  • where: F.R. 1 is the flow rate setting for [0235] anode 1, F.R. 2 the flow rate setting for anode 2, and F.R. 3 is the flow rate setting for anode 3, and f(valve #) is the valve status function defined as follows:
  • f(valve #)=1, when valve # is turned on; 0, when valve # is turned off. [0236]
  • FIGS. [0237] 14A-14B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 14A-14B is similar to that of FIGS. 3A-3B except that LMFCs 21, 22 and 23 are replaced by on/off valves 51, 52, 53 and three pumps 33. Electrolyte flowing to each anode is controlled independently by one pump 33 and one on/off valve.
  • FIGS. [0238] 15A-15B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 15A-15B is similar to that of FIGS. 3A-3B except that additional anodes 5 and 4 are added between cylindrical walls 109 and 107, and between cylindrical walls 103 and 105, respectively, anode 3 and cylindrical wall 101 are taken out, and on/off valves 81, 82, 83, 84 are inserted between the outlet of LMFCs 21, 22, 23, 24 and tank 36.
  • 2A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0239]
  • Step 1: Turn on [0240] LMFC 21 and valves 82, 83, and 84; turn off LMFCS 22, 23, 24 and valve 81, so that electrolyte only touches the portion of the wafer above anode 4, and then flows back to tank 36 through return path spaces between cylindrical walls 100 and 103, through valves 82, 83, and 84.
  • Step 2: After flow of electrolyte stabilized, turn on [0241] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0242] power supply 11 and turn off LMFC 21.
  • Step 4: [0243] Repeat step 1 to 3 for anode 3 (turn on LMFC 22, valves 81, 83, 84, and power supply 12, and turn off LMFCS 21, 23, 24, valve 82, power supplies 11, 13, 14).
  • Step 5: [0244] Repeat step 4 for anode 2 (turn on LMFC 23, valves 81, 82, 84, and power supply 13, and turn off LMFCS 21, 22, 24, valve 83, and power supplies 11, 12, 14).
  • Step 6: [0245] Repeat step 4 for anode 1 (turn on LMFC 24, valves 81, 82, 83, and power supply 14, and turn off LMFCS 21, 22, 23, valve 84, and power supplies 11, 12, 13). In the above seed layer plating process, instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence.
  • 2B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 2A [0246]
  • Step 7: Turn on [0247] LMFCS 21, 22, 23 and 24 and turn off valves 81, 82, 83, 84. In principle, the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 8: After all flow is stabilized, turn on [0248] power supplies 11, 12, 13 and 14. In principle, the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9: Turn off [0249] power supplies 11, 12, 13 and 14 at the same time when plating current is used as thickness uniformity tuning variable. The power supplies can also be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. [0250] 16A-16B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 16A-16B is similar to that of FIGS. 15A-15B except that on/off valves 81, 82, 83, 84 are removed, and the electrolyte return path is reduced to only one between cylindrical walls 100 and 103.
  • 3A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0251]
  • Step 1: Turn on [0252] LMFC 21 only, turn off LMFCS 22, 23, 24. The whole wafer is immersed in the electrolyte. However, only the portion of wafer above anode 4 faces the flowing electrolyte from LMFC 21.
  • Step 2: After the flow of electrolyte stabilized, turn on [0253] power supply 11 to output positive potential to electrode 4 and turn on power supplies 12, 13, and 14 to output negative potential to electrode 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0254] power supply 11 and turn off LMFC 21.
  • Step 4: Turn on [0255] LMFC 22 only, turn off LMFCS 21, 23, 24. In this way, even whole wafer area is immersed in the electrolyte, only the wafer area above anode 3 is facing the flowing electrolyte from LMFC 22.
  • Step 5: [0256] Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and power supplies 11, 13, and 14 to output negative potential to anode 4, 2, and 1, and turn off LMFCS 21, 23, 24).
  • Step 6: [0257] Repeat step 4 to 5 for anode 2 (turn on LMFC 23, and power supply 13 to output positive potential to anode 2, and power supplies 11, 12, and 14 to output negative potential to anode 4, 3, and 1, and turn off LMFCS 21, 22, 24).
  • Step 7: [0258] Repeat step 4 to 5 for anode 1 (turn on LMFC 24, and power supply 14 to output positive potential to anode 1, and power supplies 11, 12, and 13 to output negative potential to anode 4, 3 and 2, and turn off LMFCS 21, 22, 23).
  • In the above seed layer plating process, instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence. [0259]
  • 3B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 3A [0260]
  • Step 8: Turn on [0261] LMFCS 21, 22, 23 and 24. In principle, the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9: After all flow is stabilized, turn on [0262] power supplies 11, 12, 13 and 14. In principle, the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 10: Turn off [0263] power supplies 11, 12, 13 and 14 at the same time when plating current is used as the thickness uniformity tuning variable. Also the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIG. 17 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIG. 17 is similar to that of FIGS. [0264] 3A-3B except that a diffuser ring 112 is added above each anode to make the flow rate uniform along its cylindrical wall. The diffuser can be made by punching many holes through the diffuser ring, or directly made of porous materials with porosity range of 10% to 90%. The material for making the diffuser is anti-acid, anti-corrosion, particle and contamination free.
  • FIGS. [0265] 18A-18B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 18A-18B is similar to that of FIGS. 3A-3B except that a charge accumulator meter is added to each power supply to precisely measure the charge each power supply provides during the plating process. For instance, the total number of atoms of copper can be calculated by the accumulated charge divided by two, because copper ions have a valence of two.
  • FIGS. [0266] 19A-19B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 19A-19B is similar to that of FIGS. 3A-3B except that the number of electrolyte inlets to the plating bath is two instead of one. This will further enhance the flow rate uniformity along the periphery of the cylindrical walls. The number of inlets also can be 3, 4, 5, 6, . . . i.e. any number larger than 2 in order to make the flow rate uniform along the periphery of the cylindrical walls.
  • FIGS. [0267] 20A-20B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 20A-20B is similar to that of FIGS. 15A-15B and FIGS. 16A-16B, except that the height of the cylindrical walls is increasing along the outward radial direction as shown in FIG. 20A, and is reduced along the outward radial direction as shown in FIG. 20B. This provides a additional variable to manipulate the flow pattern of electrolyte and plating current in order to optimize the plating conditions.
  • FIGS. [0268] 21A-21B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 21A-21B is similar to that of FIGS. 3A-3B except that the height of the cylindrical walls is increasing along the outward radial direction as shown in FIG. 21A, and is reducing along the outward radial direction as shown in FIG. 21B. This provides an additional variable to manipulate the flow pattern of electrolyte and plating current in order to optimize the plating conditions.
  • FIGS. [0269] 22A-22B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 22A-22B is similar to that of FIGS. 3A-3B, except that the cylindrical walls can move up and down to adjust the flow pattern. As shown in FIG. 22B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above wall 105 and 107. Plating process steps are described as follows:
  • 4A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0270]
  • Step 1: Turn on [0271] LMFC 21 only and move cylindrical walls 101, 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0272] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0273] power supply 11, turn off LMFC 21, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4: [0274] Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22, cylindrical wall 105 and 107, and power supply 12).
  • Step 5: [0275] Repeat step 4 for tube 109 (LMFC 23, tube 109, and power supply 13).
  • 4B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 4A [0276]
  • Step 6: Turn on [0277] LMFCS 21, 22, and 23, and move all cylindrical walls 101, 103, 105, 107 and tube 109 close to wafer 31. In principle, the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7: After all flow is stabilized, turn on [0278] power supplies 11, 12, and 13. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8: Turn off [0279] power supplies 11, 12, and 13 at the same time when plating current is used as the thickness uniformity tuning variable. The power supplies also can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. [0280] 23A-23B show another two embodiments of apparatus for plating a conductive film in accordance with the present invention. The embodiments of FIGS. 23A and 23B are similar to those of FIGS. 15A-15B and FIGS. 3A-3B, except that the cylindrical walls and anode ring are divided into six sectors by plate 113. The number of sectors can be any number larger than 2. The following table 2 shows possible combinations of anode to power supply connections and each sector to an LMFC.
    TABLE 2
    Com-
    bina-
    tion Anode connection to power Sector connection
    type supply in each sector to LMFC
    1 Each anode is connected to an Each sector is connected to an
    independent power supply independent LMFC
    2 Each anode is connected to an Sectors on the same radius are
    independent power supply connected to an independent
    LMFC
    3 Each anode is connected to an All sectors are connected to one
    independent power supply common LMFC
    4 Anodes on the same radius are Each sector is connected to an
    connected to an independent independent LMFC
    power supply
    5 Anodes on the same radius are Sectors on the same radius are
    connected to an independent connected to an independent
    power supply LMFC
    6 Anodes on the same radius are All sectors are connected to one
    connected to an independent common LMFC
    power supply
    7 All anodes are connected to one Each sector is connected to an
    common power supply independent LMFC
    8 All anodes are connected to one Sectors on the same radius are
    common power supply connected to an independent
    LMFC
    9 All anodes are connected to one All sectors are connected to one
    common power supply common LMFC
  • In the above table, the operation of [0281] combination types 1, 2, 4, and 5 are the same as described above. In the case of combination types 1, 2, and 3, the wafer rotating mechanism can be eliminated since each anode at a different sector is controlled by an independent power supply. For instance, the thickness of the plating film on a portion of the substrate can be manipulated by controlling the plating current or the plating time of the anode below the same portion of the substrate. The operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • FIGS. [0282] 24A-24B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 24A-24B is similar to that of FIGS. 3A-3B except that the cylindrical walls and anode ring are replaced by multiple rod type anodes 1 and tubes 109. Electrolyte comes out of the tubes 109, touches the wafer surface, and then flows back to the tank (not shown) through multiple holes 500. The tubes and anodes in a ring are placed in the same circle. There are multiple holes between two adjacent ring of tubes and anodes for draining electrolyte back to tank 36. The following table 3 shows possible combinations of anode to power supply connection and each sector to LMFC.
    TABLE 3
    Com-
    bina-
    tion Anode connection to power Tube connection
    type supply in each tube to LMFC
    1 Each anode is connected to an Each tube is connected to an
    independent power supply independent LMFC
    2 Each anode is connected to an Tubes on the same radius are
    independent power supply connected to an independent
    LMFC
    3 Each anode is connected to an All tubes are connected to one
    independent power supply common LMFC
    4 Anodes on the same radius are Each tube is connected to an
    connected to an independent independent LMFC
    power supply
    5 Anodes on the same radius are Tubes on the same radius are
    connected to an independent connected to an independent
    power supply LMFC
    6 Anodes on the same radius are All tubes are connected to one
    connected to an independent common LMFC
    power supply
    7 All anodes are connected to one Each tube is connected to an
    common power supply independent LMFC
    8 All anodes are connected to one Tubes on the same radius are
    common power supply connected to an independent
    LMFC
    9 All anodes are connected to one All tubes are connected to one
    common power supply common LMFC
  • In the above table, the operation of [0283] combination types 1, 2, 4, and 5 are the same as described above. In the case of combination types 1, 2, and 3, the wafer rotating mechanism can be eliminated since each anode at a different tube is controlled by an independent power supply. For instance, the thickness of plating film on a portion of the substrate can be manipulated by controlling the plating current or the plating time of the anode below the same portion of the substrate. The operation of combination types 3, 6, 7, 8, 9 will be discussed later in detail.
  • Instead of placing tubes and anodes on a circular ring, the tubes and anodes also can be placed on triangular, square, rectangular, pentagonal, polygonal, and elliptical rings. Triangular, square and elliptical rings are shown in FIGS. [0284] 25A-25C.
  • 2. Multiple LMFCs and Single Power Supply [0285]
  • FIGS. [0286] 26A-26B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 26A-26B is similar to that of FIGS. 3A-3B except that the anode rings and cylindrical walls are replaced by a single anode 240, bar 242 and valves 202, 204, 206, 208, 210, 212, 214, 216 and 218. The power supplies is reduced to a singe power supply 200. The new valves are on/off valves, and are used to control electrolyte flowing to the wafer area. Valves 208 and 212, 206 and 214, 204 and 216, 202 and 218 are placed symmetrically on bar 242, respectively.
  • 5A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0287]
  • Step 1: Turn on [0288] pump 33, LMFC 55, and valves 202 and 218 as well as drive 30, so that electrolyte coming out of valves 202 and 218 only touches the peripheral portion of the wafer above valve 202 and 218.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0289] power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 202 and 218.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0290] power supply 200 and turn off LMFC 55, valves 202 and 218.
  • Step 4: [0291] Repeat step 1 to 3 for valves 204 and 216.
  • Step 5: [0292] Repeat step 4 for valves 206 and 214.
  • Step 6: [0293] Repeat step 4 for valves 208 and 212.
  • Step 7: [0294] Repeat step 4 for valves 210.
  • During the above plating process, the power supply can be operated in DC mode, or any of the variety of pulse modes shown in FIG. 8. [0295]
  • 5B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 5A [0296]
  • Step 8: Turn on [0297] LMFC 55 and all valves 202, 204, 206, 208, 210, 212, 214, 216, 218, so that electrolyte touches the whole wafer area.
  • Step 9: After all flow is stabilized, turn on power supplies [0298] 200.
  • Step 10: Turn off [0299] power supply 200 and all the valves when the film thickness reaches the set value. The valves can also be turned off at different times with the power supply 200 turned on for adjusting the plating film thickness uniformity within the wafer.
  • FIG. 27 shows another embodiment of apparatus for plating conductive film in accordance with the present invention. The embodiment of FIG. 27 is similar to that of FIGS. [0300] 26A-26B, except that all valves are placed on the bar 242 with a different radius in order to plate metal with better uniformity. Plating process steps are described as follows:
  • 6A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0301]
  • Step 1: Turn on [0302] pump 33, LMFC 55, and valve 218 as well as drive 30, so that electrolyte coming out of valve 218 only touches the peripheral portion of the wafer above valve 218.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0303] power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valve 218.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0304] power supply 200, LMFC 55 and valve 218.
  • Step 4: [0305] Repeat step 1 to 3 for valve 204.
  • Step 5: [0306] Repeat step 4 for valve 216.
  • Step 6: [0307] Repeat step 4 for valve 206
  • Step 7: [0308] Repeat step 4 for valves 214, 208, 212, and 210, respectively.
  • During the above plating process, the [0309] power supply 200 can be operated in DC mode or any of the variety of pulse modes shown in FIG. 8.
  • 6B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 6A [0310]
  • Step 8: Turn on [0311] LMFC 55 and all valves 204, 206, 208, 210, 212, 214, 216, 218, so that electrolyte touches the whole wafer area.
  • Step 9: After all flow is stabilized, turn on [0312] power supply 200.
  • Step 10: Turn off [0313] power supply 200 and all valves when the film thickness reaches the set value. The valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • FIG. 28 shows another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIG. 28 is similar to that of FIG. 26 except that an additional bar is added to form a cross [0314] shape bar structure 244. Valves 202 and 218, 204 and 216, 206 and 214, 208 and 212 are placed symmetrically on the horizontal portion of bar structure 244. Similarly, valves 220 and 236, 222 and 234, 224 and 232 are placed symmetrically on the vertical portion of the bar structure 244. All valves on the horizontal portion of bar 244 also have a different radius from those on the vertical portion of bar 244, respectively. Plating process steps are described as follows:
  • 7A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0315]
  • Step 1: Turn on [0316] pump 33, LMFC 55, and valve 218 and 202 as well as drive 30, so that electrolyte coming out of valves 218 only touches the peripheral portion of the wafer above valves 218 and 202.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0317] power supply 200. Positive metal ions will be plated onto the peripheral portion of wafer 31 above valves 218 and 202.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0318] power supply 200, LMFC 55 and valves 218 and 202.
  • Step 4: [0319] Repeat step 1 to 3 for valves 220 and 236.
  • Step 5: [0320] Repeat step 4 for valves 204 and 216.
  • Step 6: [0321] Repeat step 4 for valves 222 and 234.
  • Step 7: [0322] Repeat step 4 for valves 206 and 214, 224 and 232, 208 and 212, and 210 only, respectively.
  • During the above plating process, the power supply can be operated in DC mode, or any of the variety of pulse modes shown in FIG. 8. [0323]
  • 7B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 7A [0324]
  • Step 8: Turn on [0325] LMFC 55 and all valves 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 232, 234, 236, so that electrolyte touches the whole wafer area.
  • Step 9: After all flow is stabilized, turn on [0326] power supply 200.
  • Step 10: Turn off [0327] power supply 200 and all valves when the film thickness reaches the set value. The valves can also be turned off at different times with the power supply 200 turned on for adjusting plating film thickness uniformity within the wafer.
  • FIGS. [0328] 29A-29C show portions of an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIG. 29A is similar to that of FIGS. 26A-26B except that the number of bars is increased to three. The angle between two adjacent bars is 60°. The embodiment of FIG. 29B is similar to that of FIGS. 26A-26B except that the number of bars is increased to four. The angle between two adjacent bars is 45°. The embodiment of FIG. 29C is similar to that of FIGS. 26A-26B except that the bar is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7, or more.
  • The plating step sequence can be started from valves close to the periphery of the wafer, or started from the center of the wafer, or started randomly. Starting from the periphery of the wafer is preferred since the previously plated metal seed layer (with a larger diameter) can be used to conduct current for plating the next seed layer (with a smaller diameter). [0329]
  • FIGS. [0330] 30A-30B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 30A-30B is similar to that of FIGS. 26A-26B except that fixed position valves (jet) are replaced by two movable anode jets 254. Anode jets 254 are placed under wafer 31 and sit on guide bar 250. Anode jets 254 inject electrolyte onto a portion of wafer 31, and can move in the x direction as shown in FIG. 30B. Fresh electrolyte is supplied through flexible pipe 258. This embodiment is especially preferred for plating a seed layer. The seed layer plating process is shown as follows:
  • 8A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0331]
  • Step 1: Turn on [0332] pump 33, LMFC 55 and valves 356 as well as drive 30, so that electrolyte coming out of valves 356 only touches the peripheral portion of the wafer above valves 356.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0333] power supply 200. Positive metal ions
  • will be plated onto the peripheral portion of [0334] wafer 31 above valves 356.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0335] power supply 200, LMFC 55, and valves 356.
  • Step 4: Move [0336] anode jet 254 to the next position with a smaller radius;
  • Step 5: [0337] Repeat step 1 to 4 until the whole wafer area is plated by the thin film.
  • The above process steps can be modified as follows: [0338]
  • Step 1: Same as above [0339]
  • Step 2: Same as above [0340]
  • Step 3: When the thickness of the conductive film reaches a certain percentage of the predetermined set-value or thickness, start slowly moving [0341] anode jet 254 radially toward the wafer center. The rate of moving the anode jet 254 is determined by the predetermined set-value or thickness. Also since the surface area plated by the anode jet 254 is proportional to the radius of the position of anode jet 254, the rate of moving anode jet 254 increases as it moves toward the wafer center.
  • Step 4: When anode [0342] jet 254 reaches the wafer center, turn off power supply 200, LMFC 55, and valves 356.
  • FIGS. [0343] 31A-31B shows another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 31A-31B is similar to that of FIGS. 30A-30B except that two additional movable anode jets are added in the Y direction in order to increasing plating speed. The process sequence is similar to that of the FIGS. 30A-30B embodiment.
  • FIGS. [0344] 32A-32B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 32A-32B is similar to that of FIGS. 30A-30B except that wafer 31 is immersed into the electrolyte. A movable anode is placed very close to the wafer 31 in order to focus plating current on a portion of wafer 31. The gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm. The process sequence is similar to that of the FIG. 30 embodiment.
  • FIGS. [0345] 33A-33B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 33A-33B is similar to that of FIGS. 32A-32B except that fresh electrolyte is input from the center of the bath through pipes 260 instead of anode jets 254 through flexible pipe 258. Wafer 31 is also immersed into the electrolyte. Similarly, a movable anode is placed very close to wafer 31 in order to focus plating current on a portion of wafer 31. The gap size is in a range of 0.1 mm to 5 mm, and preferably 1 mm. The process sequence is similar to that of FIG. 30.
  • FIGS. [0346] 34A-34D show four embodiments of movable anodes in accordance with the present invention. FIG. 34A shows an anode structure consisting of anode 252 and case 262. Case 262 is made of insulator materials such as tetrafluoroethylene, PVC, PVDF, or polypropylene. FIG. 34B shows an anode structure consisting of anode 266 and case 264. The electrolyte is feed through a hole at the bottom of case 264. FIG. 34C shows an anode structure consisting of anode 262, electrodes 274 and 270, insulator spacer 272 and case 262, and power supplies 276, 268. Electrode 274 is connected to negative output of power supply 276, and electrode 270 is connected to cathode wafer 31. The function of electrode 274 is to trap any metal ions flowing out of case 262, therefore no film is plated on the wafer area outside of case 262. The function of electrode 270 is to prevent electrical field leakage from electrode 274 to minimize any etching effect. The embodiment of FIG. 34D is similar to that of FIG. 34C except that the case 264 has a hole at the bottom for electrolyte to flow through.
  • FIG. 35 shows the surface status of a wafer during plating. [0347] Wafer area 280 was plated by a seed layer, area 284 is in the process of plating, and wafer area 282 has not been plated.
  • FIGS. [0348] 36A-36C show an additional three embodiments of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIG. 36A is similar to that of FIGS. 30A-30B except that the number of bars is increased to three. The angle between two adjacent bars is 60°. The embodiment of FIG. 36B is similar to that of FIGS. 30A-30B except that the number of bars is increased to four. The angle between two adjacent bars is 45°. The embodiment of FIG. 36C is similar to that of FIGS. 30A-30B except that the number of bars is reduced to 0.5, i.e. half a bar. Alternatively, the number of bars can be 5, 6, 7 or more.
  • The embodiment of FIG. 36D is similar to that of FIGS. [0349] 30A-30B except that the shape of bar 250 is a spiral instead of a straight line. Movable anode jet 254 is movable along the spiral bar so that good plating uniformity can be achieved without rotating the wafer. This simplifies the wafer chuck mechanism.
  • FIGS. 37A and 37B show additional two embodiments of apparatus for plating a conductive film in accordance with the present invention. The embodiments of FIGS. 37A and 37B are similar to that of FIGS. [0350] 30A-30B, except that the wafer is placed upside down and vertically, respectively.
  • FIGS. [0351] 38A-38B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 38A-38B is similar to that of FIGS. 16A-16B except that all of the anodes are replaced by a one piece anode 8. Anode 8 is connected to single power supply 11. Plating process steps using this embodiment are described as follows:
  • 9A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0352]
  • Step 1: Turn on [0353] LMFC 21 and valves 82, 83, and 84 and turn off LMFCS 22, 23, 24 and valve 81, so that electrolyte only touches the portion of the wafer above sub-plating bath 66, and then flows back to tank 36 through the return paths of spaces between cylindrical walls 100 and 103, 105 and 107, 107 and 109, and tube 109.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0354] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above sub-plating bath 66.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0355] power supply 11 and turn off LMFC 21.
  • Step 4: [0356] Repeat step 1 to 3 for LMFC 22 (turn on LMFC 22, valves 81, 83, 84, and power supply 11, and turn off LMFCs 21 23, 24, valve 82).
  • Step 5: [0357] Repeat step 4 for LMFC 23 (turn on LMFC 23, valves 81, 82, 84, and power supply 11, and turn off LMFCs 21, 22, 24, valve 83).
  • Step 6: [0358] Repeat step 4 for LMFC 24 (turn on LMFC 24, valves 81, 82, 83, and power supply 11, and turn off LMFCs 21, 22, 23 and valve 84).
  • In the above seed layer plating process, instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed in a randomly chosen anode sequence. [0359]
  • 9B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 9A [0360]
  • Step 7: Turn on [0361] LMFCs 21, 22, 23 and 24 and turn off valves 81, 82, 83, 84. In principle, the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 8: After all flows are stabilized, turn on [0362] power supply 11.
  • Step 9: Turn off [0363] power supply 11 when the film thickness reaches the set-value.
  • LMFCs can be turned off at different times in order to adjust the plating film thickness uniformity as shown in FIG. 39. At time t[0364] 1, only LMFCs 21, 23, and 24 are turned off, and valves 81, 83, and 84 are also turned off. Therefore, electrolyte does not touch the wafer except in the area above sub-plating bath 64. As the power supply 11 remains turned on, metal ions will be plated only on the area above sub-plating bath 64. Then LMFC 22 turns off at time t2. Similarly, LMFC 24 turns on at time t3 and turns off at time t4 to obtain extra plating at the wafer area above sub-plating bath 60. Turn off time of t2 and t4 can be fine tuned by measuring wafer thickness uniformity.
  • FIGS. [0365] 40A-40B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 40A-40B is similar to that of FIGS. 3A-3B except that all anodes are connected to single power supply 11. Since the electrolyte only touches the portion of wafer above an anode during the seed layer plating process, the plating current will only pass through the anode and go to that portion of the wafer. The plating process steps are similar to those of FIGS. 3A-3B with power supply 11 replacing power supplies 12 and 13.
  • FIGS. [0366] 41A-41B show another embodiment of apparatus for plating a conductive film in accordance with the present invention. The embodiment of FIGS. 41A-41B is similar to that of FIGS. 40A-40B except that the cylindrical walls can move up and down to adjust the flow pattern. As shown in FIG. 41B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above walls 105 and 107. The plating process steps for this embodiment are described as follows:
  • 10A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0367]
  • Step 1: Turn on [0368] LMFC 21 only and move cylindrical walls 101, 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2: After the flow of electrolyte stabilized, turn on [0369] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0370] power supply 11 and LMFC 21, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4: [0371] Repeat step 1 to 3 for cylindrical walls 105 and 107 (LMFC 22, cylindrical walls 105 and 107).
  • Step 5: [0372] Repeat step 4 for tube 109 (LMFC 23 and tube 109).
  • 10B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 10A [0373]
  • Step 6: Turn on [0374] LMFC 21, 22, and 23, and move all cylindrical walls 101, 103, 105, 107 and tube 109 close to wafer 31. In principle, the flow rate of electrolyte from each LMFC is set as proportional to the wafer area covered by the corresponding LMFC.
  • Step 7: After all flows are stabilized, turn on power supplies [0375] 11.
  • Step 8: Move all cylindrical walls down to their lower position, and turn off all LMFCs at the same time, then turn off [0376] power supplies 11 when the film thickness reaches the predetermined set-value. Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust thickness uniformity. For example, as shown in FIG. 41B, cylindrical walls 105 and 107 are being kept at the higher position with LMFC 22 on. The wafer area above cylindrical walls 105 and 107 will have extra plating film on that portion. The extra plating times and locations can be determined by analyzing the thickness uniformity of the plated film on the wafer.
  • 3. Multiple Power Supplies and Single LMFC [0377]
  • FIGS. [0378] 42A-42B is an embodiment of the apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 42A-42B is similar to that of FIGS. 16A-16B except that LMFCs 21, 22, 23 and 24 are replaced by a single LMFC 55.
  • 11A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0379]
  • Step 1: Turn on [0380] LMFC 55 and immerse the whole wafer in the electrolyte.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0381] power supply 11 to output positive potential to electrode 4, and turn on power supplies 12, 13, and 14 to output negative potential to electrodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0382] power supply 11.
  • Step 4: Repeat steps 2 to 3 for anode [0383] 3 (turn on power supply 12 to output positive potential to anode 3, and power supplies 11, 13, and 14 to output negative potential to anodes 2 and 1).
  • Step 5: [0384] Repeat step 4 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and power supply 14 to output negative potential to anode 1).
  • Step 6: [0385] Repeat step 4 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • FIG. 43 shows the power supply turn on/off sequence for plating wafer areas [0386] 4 (above anode 4), 3, 2, and 1. The power supply output wave forms can be selected from a variety of wave forms, such as a modified sine-wave form, a unipolar pulse, a reverse pulse, a pulse-on-pulse or a duplex pulse, as shown in FIG. 44.
  • In the above seed layer plating process, instead of plating from the periphery of the wafer to the center of the wafer, the plating also can be performed from the center to the periphery, or can be performed with a randomly chosen anode sequence. [0387]
  • 11B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in [0388] Process 11A
  • Step 7: Turn on [0389] LMFC 55.
  • Step 8: After all flows are stabilized, turn on [0390] power supplies 11, 12, 13 and 14. In principle, the current of each power supply is set as proportional to the wafer area covered by the corresponding anode.
  • Step 9: Turn off [0391] power supplies 11, 12, 13 and 14 at the same time when plating current is used as thickness uniformity tuning variable. Alternatively, the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. [0392] 45A-45B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 45A-45B is similar to that of FIGS. 42A-42B except that the cylindrical walls can move up and down to adjust flow pattern. As shown in FIG. 45B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of the wafer above walls 105 and 107. The plating process steps with this embodiment are described as follows:
  • 12A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0393]
  • Step 1: Turn on [0394] LMFC 55 and move cylindrical walls 101, 103 close to the wafer, so that electrolyte only touches the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0395] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0396] power supply 11, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4: [0397] Repeat step 1 to 3 for cylindrical walls 105 and 107 ( cylindrical walls 105 and 107, and power supply 12).
  • Step 5: [0398] Repeat step 4 for tube 109 (tube 109, and power supply 13).
  • 12B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in [0399] Process 12A
  • Step 6: Turn on [0400] LMFC 55, and move all cylindrical walls 101, 103, 105, 107 and tube 109 close to wafer 31.
  • Step 7: After all flows are stabilized, turn on [0401] power supplies 11, 12, and 13. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 8: Turn off [0402] power supplies 11, 12, and 13 at the same time when plating current is used as the thickness uniformity tuning variable. Alternatively, the power supplies can be turned off at different times for adjusting plating film thickness uniformity.
  • FIGS. [0403] 46A-46B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 46A-46B is similar to that of FIGS. 42A-42B except that the height of the cylindrical wall is reduced along the outward radial direction as shown in FIG. 46B. The shape or flow pattern of the electrolyte can be adjusted by moving cylindrical wall 120 up or down. When the cylindrical wall is moved to the highest position, the whole wafer area will be touched by the electrolyte, whereas the center portion of the wafer will be touched by the electrolyte when the cylindrical wall 120 is moved to the lowest position. The plating process steps with this embodiment are described as follows:
  • 13A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0404]
  • Step 1: Turn on [0405] LMFC 55 and move cylindrical wall 120 to the highest position, so that the electrolyte touches the whole area of wafer 31.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0406] power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set-value or thickness, turn off [0407] power supply 11.
  • Step 4: Move [0408] cylindrical wall 120 to a lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of the electrolyte.
  • Step 5: Repeat steps 2 to 3 for anode [0409] 3 (turn on power supply 12 to output positive potential to anode 3, and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6: Move [0410] cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the electrolyte.
  • Step 7: [0411] Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and turn on power supply 14 to output negative potential to anode 1).
  • Step 8: Move [0412] cylindrical wall 120 to the next lower position so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the electrolyte.
  • Step 9: [0413] Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • 13B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in [0414] Process 13A
  • Step 10: Turn on [0415] LMFC 55, and move cylindrical wall 120 to the highest position, so that whole area of wafer 31 is touched by the electrolyte.
  • Step 11: After flow is stabilized, turn on [0416] power supplies 11, 12, 13, and 14. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12: Turn off [0417] power supplies 11, 12, 13, and 14 at the same time when plating current is used as thickness uniformity tuning variable. Alternatively, each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • FIGS. [0418] 47A-47B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 47A-47B is similar to that of FIGS. 46A-46B except that the position of cylindrical wall 120 is fixed and the level of the electrolyte is changed by adjusting the flow rate of the electrolyte. When the flow rate of the electrolyte is large, the electrolyte level is high, so that the whole wafer area is touched by the electrolyte. When the flow rate is small, the electrolyte level is low, so that the peripheral portion of wafer 31 is out of the electrolyte as shown in FIG. 47B. The plating process steps with this embodiment are described as follows:
  • 14A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0419]
  • Step 1: Turn on [0420] LMFC 55 and to set a flow rate sufficiently large that the electrolyte touches the whole area of wafer 31.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0421] power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ion will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film on the peripheral portion of the wafer reaches the set-value or thickness, turn off [0422] power supply 11.
  • Step 4: Reduce the flow rate of the electrolyte to such a value that only the peripheral portion of the wafer plated by the metal thin film in [0423] step 3 is out of the electrolyte.
  • Step 5: Repeat steps 2 to 3 for anode [0424] 3 (turn on power supply 12 to output positive potential to anode 3, and turn on power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6: Reduce the flow rate of the electrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of the electrolyte. [0425]
  • Step 7: Repeat steps 2 to 3 for anode [0426] 2 (turn on power supply 13 to output positive potential to anode 2, and turn power supply 14 to output negative potential to anode 1).
  • Step 8: Reduce the flow rate of the electrolyte so that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of the electrolyte. [0427]
  • Step 9: Repeat steps 2 to 3 for anode [0428] 1 (turn on power supply 14 to output positive potential to anode 1).
  • 14B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 14A [0429]
  • Step 10: Increase the flow rate of the electrolyte so that the whole area of [0430] wafer 31 is touched by the electrolyte.
  • Step 11: After flow is stabilized, turn on [0431] power supplies 11, 12, 13, and 14. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12: Turn off [0432] power supplies 11, 12, 13, and 14 at the same time when plating current is used as the thickness uniformity tuning variable. Alternatively, each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • FIGS. [0433] 48A-48B is another embodiment of an apparatus with multiple power supplies and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 48A-48B is similar to that of FIGS. 47A-47B except that the level of electrolyte is fixed and the wafer 31 itself can be moved up and down to adjust the size of the wafer area contacted by the electrolyte. When wafer 31 is moved to the lowest position, the whole wafer area is touched by the electrolyte. When the wafer is moved to the highest position, only the center area of wafer 31 is contacted by the electrolyte as shown in FIG. 48B. The plating process steps with this embodiment are described as follows:
  • 15A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0434]
  • Step 1: Turn on [0435] LMFC 55, and move wafer 31 to such a position that the electrolyte contacts the whole area of wafer 31.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0436] power supply 11 to output positive potential to anode 4, and turn on power supplies 12, 13 and 14 to output negative potential to anodes 3, 2, and 1, respectively. Therefore, positive metal ions will be plated only onto the peripheral portion of wafer 31 above anode 4.
  • Step 3: When the thickness of the conductive film on the peripheral portion of the wafer reaches the predetermined set-value or thickness, turn off [0437] power supply 11.
  • Step 4: Move [0438] wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 3 is out of contact with the electrolyte.
  • Step 5: [0439] Repeat step 2 to 3 for anode 3 (turn on power supply 12 to output positive potential to anode 3, and turn power supplies 13 and 14 to output negative potential to anodes 2 and 1).
  • Step 6: Move [0440] wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 5 is out of contact with the electrolyte.
  • Step 7: [0441] Repeat step 2 to 3 for anode 2 (turn on power supply 13 to output positive potential to anode 2, and turn on power supply 14 to output negative potential to anode 1).
  • Step 8: Move [0442] wafer 31 up to a position such that only the peripheral portion of the wafer plated by the metal thin film in step 7 is out of contact with the electrolyte.
  • Step 9: [0443] Repeat step 2 to 3 for anode 1 (turn on power supply 14 to output positive potential to anode 1).
  • 15B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 15A [0444]
  • Step 10: Move [0445] wafer 31 down to a position such that the whole area of wafer 31 is contacted by the electrolyte.
  • Step 11: After flow is stabilized, turn on [0446] power supplies 11, 12, 13, and 14. In principle, the current from each power supply is proportional to the wafer area covered by the corresponding anode or power supply.
  • Step 12: Turn off [0447] power supplies 11, 12, 13, and 14 at the same time when plating current is used as thickness uniformity tuning variable. Alternatively, each power supply can be turned off at a different time for adjusting the film thickness uniformity.
  • 4. Single Power Supply and Single LMFC [0448]
  • FIGS. [0449] 49A-49B is another embodiment of an apparatus with a single power supply and a single LMFC for plating a conductive film directly on a substrate with a barrier layer on top in accordance with the present invention. The embodiment of FIGS. 49A-49B is similar to that of FIGS. 45A-45B except that the number of power supplies is reduced to one, and all the anodes are connected to single power supply 11. Similarly, the cylindrical walls can move up and down to adjust the flow pattern. As shown in FIG. 49B, cylindrical walls 105 and 107 are moved up, so that the electrolyte flows toward the portion of wafer above walls 105 and 107. The plating process steps with this embodiment are described as follows:
  • 16A. Process Steps for Plating Conductive Film (or Seed Layer) Directly on Barrier Layer [0450]
  • Step 1: Turn on [0451] LMFC 55 and move cylindrical walls 101, 103 close to wafer, so that the electrolyte only contacts the portion of the wafer above cylindrical walls 101 and 103.
  • Step 2: After the flow of electrolyte is stabilized, turn on [0452] power supply 11. Positive metal ions will be plated onto the portion of wafer 31 above cylindrical walls 101 and 103.
  • Step 3: When the thickness of the conductive film reaches the predetermined set-value or thickness, turn off [0453] power supply 11, and move cylindrical walls 101 and 103 to a lower position.
  • Step 4: [0454] Repeat step 1 to 3 for cylindrical walls 105 and 107 (move cylindrical walls 105 and 107 up close to wafer 31, and turn on power supply 11).
  • Step 5: [0455] Repeat step 4 for tube 109 (move tube 109 up to close to wafer 31, and turn on power supply 11).
  • 16B. Process Steps for Succeeding Metal Plating on the Metal Seed Layer Plated in Process 16A [0456]
  • Step 6: Turn on [0457] LMFC 55, and move all cylindrical walls 101, 103, 105, 107 and tube 109 up to close to wafer 31.
  • Step 7: After all flows are stabilized, turn on [0458] power supply 11.
  • Step 8: Move all cylindrical walls down to lower position at the same time, then turn off [0459] power supply 11 when the film thickness reaches the predetermined set-value. Each pair of cylindrical walls can also be moved down at different times with power supply 11 on in order adjust the thickness uniformity. For example, as shown in FIG. 49B, cylindrical walls 105 and 107 are kept at the higher position with power supply 11 on. The wafer area above cylindrical walls 105 and 107 will have extra plating film on that portion. The extra plating time length and location can be determined by analyzing the thickness uniformity of the film on the wafer through later film characterization.
  • 5. Other Possible Combinations [0460]
  • A flow rate adjuster, such as the diffuser of the FIG. 17 embodiment may be inserted into all embodiments that use a single LMFC. Multiple stage filters, such as two filters connected in series, the first one a rough filter for filtering particles larger than 1 μm, the second one a fine filter for filtering particles larger than 0.1 μm, may be employed. Also, instead of rotating the wafer, the plating bath can be rotated during plating in order to obtain good film uniformity within the wafer. In this case, a slip ring for conducting plating current, which is also configured to transport the electrolyte, should be used. Alternatively, a separate structure for transporting the electrolyte could be used. [0461]
  • An situ thickness uniformity monitor can be added to the plating baths in accordance with the present invention as shown in FIG. 50. One [0462] thickness detector 500 is set under each sub-plating bath or channel at the different radii. After detecting thickness signals, detector 500 transmits the signals to computer 502. Computer 502 processes the signals and outputs the thickness uniformity. Also the wafer rotation position can be input to computer 500 to locate the position along the peripheral direction. In this case, the bottom of the plating bath is made of transparent material or has a window for a laser beam to pass through.
  • FIG. 51 is another embodiment of an apparatus with a thickness uniformity monitor. This embodiment is similar to the embodiment of FIG. 50 except that [0463] optical fiber 504 is used. A laser beam from detector 500 passes through the optical fiber 504 to the wafer. The laser beam reflected from the wafer also passes through optical fiber 504 and returns to detector 500. The advantage of this embodiment is that the bottom of plating bath does not need to be made of transparent material.
  • A variety of metals can be plated by using the apparatus and methods of the invention. For example, Copper, Nickel, Chromium, Zinc, Cadmium, Silver, Gold, Rhodium, Palladium, Platinum, Tin, Lead, Iron and Indium can all be plated with the invention. [0464]
  • In the case of plating copper, three type of electrolytes are used, Cyanide, acid, and Pyrophosphate complex electrolytes. The basic composition of Cyanide copper electrolyte is: Copper cyanide; Sodium cyanide, Sodium carbonate, Sodium hydroxide, and Rochelle salt. The basic composition of acid copper electrolyte is: Copper sulfate, Sulfuric acid, Copper fluoborate, Fluoboric acid, and Boric acid. The basic composition of pyrophosphate copper electrolyte is: Copper pyrophosphate, Potassium pyrophosphate, Ammonium nitrate, and Ammonia. Considering the process integration, acid copper electrolyte is preferred for plating copper on a semiconductor wafer. [0465]
  • In the case of plating silver, a cyanide electrolyte is used. The basic composition of cyanide electrolyte is: Silver cyanide, Potassium cyanide, Potassium carbonate, Potassium hydroxide, and Potassium nitrate. [0466]
  • In the case of plating gold, a cyanide electrolyte is used. The basic composition of cyanide electrolyte is: Potassium gold cyanide, Potassium cyanide, Potassium carbonate, Dipotassium monohydrogen phosphate, Potassium hydroxide, Monopotassium dihydrogen phosphate, and Potassium nitrate. [0467]
  • Additives can used to enhance film quality in terms of smooth surface, small grain size, reducing the tendency to tree, small film stress, low resistively, good adhesion, and better gap filling capability. In the case of acid copper plating, the following materials may be used as additives: glue, dextrose, phenolsulfonic acid, molasses, and thiourea. Additives for cyanide copper plating, include compounds having active sulfur groups and/or containing metalloids such as selenium or tellurium; organic amines or their reaction products with active sulfur containing compounds; inorganic compounds containing such metals as selenium, tellurium, lead, thallium, antimony, arsenic; and organic nitrogen and sulfur heterocyclic compounds. [0468]
  • 5. System Architecture Design (Stacked Structure) [0469]
  • FIGS. [0470] 52A-52C are schematic views of an embodiment of a plating system for plating a conductive film on semiconductor wafer in accordance with the present invention. It is a stand alone, fully computer controlled system with automatic wafer transfer and a cleaning module with wafer dry-in and dry-out capability. It consists of five stacked plating baths 300, 302, 304, 306, 308, five stacked cleaning/ dry chambers 310, 312, 314, 316, 318, robot 322, wafer cassette 321, 322, electrolyte tank 36 and plumbing box 330. As described above, plating bath 300 consists of anodes, cylindrical walls or tube, wafer chuck and a driver to rotate or oscillate wafers during the plating process. Electrolyte tank 36 includes a temperature control. Plumbing box 330 consists of a pump, LMFCs, valves, a filter, and plumbing connections. The plating system further includes computer control hardware, a power supply and an operating system control software package. Robot 322 has a large z-travel. A telescopic type (stacked) robot with global positioning capability made by Genmark Automation, Inc. is preferred. The operation process sequence for this embodiment is described as follows:
  • Single Wafer Plating Operation Sequence [0471]
  • Step A: [0472] Load wafer cassette 320, 321 into the plating tool manually or with a robot.
  • Step B: Select recipe and begin a process run. [0473]
  • Step C: The control software initializes the system including checking all system parameters within the recipe specification, and determining that there are no system alarms. [0474]
  • Step D: After completing the initialization, [0475] robot 322 picks up a wafer from cassette 320 or 321 and sends it to one of the plating baths (300, or 302, or 304, or 306, or 308).
  • Step E: Plating metal film on the wafer. [0476]
  • Step F: After finishing plating, [0477] robot 322 pick up the plated wafer from the plating bath, and transports it to one of the cleaning/drying chambers (310, or 312, or 314, or 316, or 318).
  • Step G: Cleaning the plated wafer. [0478]
  • Step H: Drying the plated wafer through spin-dry and/or N[0479] 2 purge.
  • Step I: [0480] Robot 322 picks up the dried wafer and transport it to cassette 320 or 321.
  • FIG. 53 shows the process sequence for plating multiple wafers simultaneously. The process sequence for plating multiple wafers is similar to that for plating a single wafer except that the computer checks if there is any unprocessed wafer remaining in [0481] cassette 320 or 321 after process step I. If there is no unprocessed wafer remaining in cassette 320 or 321, then the system loops back to step A, i.e. loading new cassettes or exchange cassettes. If there is still an unprocessed wafer remaining in cassette 320 and/or 321, then system will loop back to step D, i.e. robot 322 picks the unprocessed wafer from cassette and transports it to one of the plating baths.
  • Process step E may include two process steps, a first to plate a seed layer directly on the barrier layer and a second to plate a metal film on the plated seed layer. [0482]
  • Instead of carrying out seed layer plating and the metal plating on the seed layer in one bath, the two process steps can be performed at different baths. The advantages of doing two process steps in different baths is to give better process control or a wider process window, since the electrolyte for seed layer plating may be different from that for succeeding plating on the seed layer. Here, different electrolyte means different acid type, different concentration of acid, different additives, different concentration of additives or different process temperature. Also, the plating hardware may be different, considering seed layer plating needs, such as high density nuclear sites, smooth morphology, becoming a continuous film at very early stage (<a few hundred Å), and need for a conformal layer. The succeeding plating on the seed layer needs a high plating rate, single crystal structure, particular grain orientation, and gap filling without voids. [0483]
  • Instead of cleaning wafers in one chamber, the cleaning process can be performed in different chambers. The cleaning process may consists of several steps, with each step using different solutions or a different concentration of solution, or using different hardware. Instead of mounting [0484] robot 322 on the bottom of frame 301, robot 322 can be hung upside down onto the top of frame 301.
  • Instead of arranging five plating baths and five cleaning/drying chambers, the number of plating bath and number of cleaning/drying can be varied from 1 to 10 as shown in the following table. [0485]
    Figure US20020008036A1-20020124-C00001
  • The preferred range is shaded in the above table. [0486]
  • FIGS. [0487] 54A-54C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. The FIGS. 54A-54C embodiment is similar to the embodiment of FIGS. 52A-52C except that the cassette 320 is moved up and down by a robot 323. The position of cassette 320 is moved up and down to match the position of the robot, so that robot 322 does not need move in the Z direction when picking up an unprocessed wafer from cassette 320 or putting a plated dry wafer back into cassette 320. This increases the transporting speed of robot.
  • FIG. 55 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. FIG. 55 is similar to the embodiment of FIGS. [0488] 52A-52C except that robot 322 itself can move in the X direction. In this way, the robot may not need the function of rotating around the Z axis.
  • FIG. 56 is a schematic view of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. The system of FIG. 56 is similar to the embodiment of FIGS. [0489] 52A-52C except that the plating baths and cleaning/drying chambers are put in one column. Compared with the embodiment of FIG. 52, the foot print of the system is reduced; however, the wafer throughput is lowered.
  • FIGS. [0490] 57A-57C are schematic views of another embodiment of a plating system for plating a conductive film on a semiconductor wafer in accordance with the present invention. It consists of three columns of plating baths and cleaning/drying chambers, a linearly movable robot 322, a display screen 340, two stacked cassettes, a plumbing box 330, and an electrolyte tank 36. Plating process steps are similar to those described for the embodiment of FIGS. 52A-52C.
  • FIGS. [0491] 58A-58C are schematic views of a further embodiment of the apparatus for plating a conductive film directly on substrate with barrier layer or thin seed layer on top in accordance with the present invention. The plating bath includes anode rod 1 placed in tube 109, and anode rings 2, and 3 placed between cylindrical walls 107 and 105, 103 and 101, respectively. Anode 1, 2, and 3 are powered by power supplies 13, 12, and 11, respectively. The charge delivered by each of the power supplies in the plating process is monitored by charge meters 11A, 12A, and 13A, respectively. Electrolyte 34 is pumped by pump 33 to pass filter 32 and reach inlets of liquid mass flow controller (LMFCs) 21, 22, and 23. Then LMFCs 21, 23 and 23 deliver electrolyte at a set flow rate to sub-plating baths containing anodes 3, 2 and 1, respectively. After flowing through a gap between wafer 31 and top of cylindrical walls, electrolyte is fed back to tank 36 through spaces between cylindrical wall 100 and 101, 103 and 105, and 107 and 109, respectively. A pressure leak valve 38 is placed between outlet of pump and electrolyte tank 36 to leak electrolyte back to tank 36 when LMFCs 21, 22, 23 are closed. Bath temperature is controlled by heater 42, temperature sensor 40, and heater controller 44. A Wafer 31 chucked by wafer chuck 29 is connected to power supplies 11, 12 and 13. A mechanism 30 is used to rotate wafer 31 around z-axis at speed ωz1, and oscillate wafer 31 in the x, y, and z direction. LMFC is an anti-acid or anti corrosion, and contamination free type mass flow controller. Filter 32 should filter particles larger than 0.05 or 0.1 μm in order to obtain a low particle added plating process. Pump 33 should be anti-acid or anticorrosion, and contamination free pump. Cylindrical walls 100, 1001, 103, 105, 107 and 109 are made of electrically insulating materials. The materials are also anti-acid or anti-corrosion, and non-acid dissolving, metal free materials, such as Teflon, CPVC, PVDF, or Polypropylene.
  • 16. Process Steps for Plating a Conductive Film Directly on Barrier Layer or an Ultra-Thin Seed Layer [0492]
  • Step 1: Turn on [0493] power supply 11,
  • Step 2: Turn on [0494] LMFC 21 only, so that electrolyte only touches portion of wafer above anode 3. Positive metal ion will be plated onto the area portion of wafer 31 above anode 3.
  • Step 3: When the thickness of conductive film reaches the set-value or thickness, go to step 4 with [0495] power supply 11 and LMFC 21 on.
  • Step 4: Repeat steps 1 to 3 for anode [0496] 2 (LMFC 22, and power supply 12), go to step 5 with power supplies 11, 12, and LMFCs 21, 22 on.
  • Step 5: [0497] Repeat step 4 for anode 1 (LMFC 23 and power supply 13). When film thickness on whole wafer reaches set-value, turn off all power supplies and LMFCs at the same time.
  • During the above plating process, power supplies can be operated at DC mode, or pulse mode, or DC pulse mixed mode. FIG. 59 shows each power supply on/off sequence during seed layer plating. After completion of [0498] step 3, the output voltage of power supply 11 can be reduced to a level such that no plating or deplating happens on the portion of wafer above anode 3. Also after completion of step 3, and 4, the output voltage of power supplies 11, 12 can be reduced to a level such that total charges delivered to anode 3, 2, and 1 during time T3, T2, and T1 meets the following requirement:
  • Q3/(area above anode [0499] 3)=Q2/(area above anode 2)=Q1/(area above anode 1)=pre-set value
  • Where Q3 is total charge delivered to [0500] anode 3 during whole plating process, Q2 total charge delivered to anode 2, and Q1 total charge delivered to anode 1 during the whole plating process.
  • Charge monitors [0501] 11A, 12A, and 13A are used as in-situ thickness monitor. For instance charge variations caused by fluctuation of any power supply can be feed back to a computer. The computer can correct the variation either by adjusting current delivered by the same power supply or adjusting the plating time.
  • An advantage of above process is that no deplating happens during whole plating process. Such deplating would cause additional thickness variation, and might cause corrosion to the plated film. [0502]
  • FIGS. [0503] 60A-60B show another embodiment of apparatus for plating conductive film in accordance with the present invention. The embodiment of FIGS. 60A-60B is similar to that of FIGS. 58A-58B except that output of each channel is adapted by multi-small nozzles 800. Those nozzles will enhance the film uniformity.
  • FIG. 61 shows another embodiment of apparatus for plating conductive film in accordance with the present invention. Plating bath [0504] 88 is rotated by a mechanism means (not shown) to form a parabolic surface of electrolyte. Anode 804 is set inside of bath 88 and connected to power supply 806. Wafer chuck 29 is driven in x, y, and z movement, and is rotated around the z-axis.
  • 17. Process Steps for Plating Conductive Film Directly on Barrier Layer or Ultra-Thin Seed Layer [0505]
  • Step 1: Deliver electrolyte to [0506] bath 800;
  • Step 2: Rotate [0507] bath 800 around z-axis at a speed of ωz2 to form a parabolic surface on top of electrolyte;
  • Step 3: Turn on [0508] power supply 806;
  • Step 4: Move the chuck down at a certain speed until the whole wafer surface is touched by electrolyte. The rotation angle or tilting angle is in the range of 0 to 180 degrees. The speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating. [0509]
  • Step 5, when the film reaches the pre-set value, turn off electrolyte pump, power supply, and driving means to drive [0510] bath 800.
  • During the above process, the chuck can be rotated around the z-axis to further enhance film uniformity. The rotation direction of the chuck is preferred to be opposite to that of bath [0511] 80.
  • FIGS. 62 and 63 show another two embodiments of apparatus for plating conductive film in accordance with the present invention. The embodiments of FIGS. 62 and 63 are similar to that of FIG. 61 except that single anode is replaced by multi-anodes. The height of insulating walls located at edge is higher than those located at center of bath. The advantages of these two embodiments provide additional variables to control film uniformity across wafer. [0512]
  • FIGS. 64 and 65 show another two embodiments of apparatus for plating conductive film in accordance with the present invention. The embodiments of FIGS. 64 and 65 are similar to these of FIGS. 62 and 63 except that the height of insulating walls located from the center to the edge of the bath are the same. [0513]
  • FIG. 66 shows another embodiment of apparatus for plating conductive film in accordance with the present invention. The embodiment of FIG. 66 is similar to that of FIG. 61 except that [0514] chuck 29 can be rotated around the y axis or the x-axis so that only peripheral part of wafer is contacted by electrolyte. The rotation angle or tilting angle is in the range of 0 to 180 degrees.
  • 18. Process Steps for Plating Conductive Film Directly on Barrier Layer or Ultra-Thin Seed Layer [0515]
  • Step 1: Deliver electrolyte to [0516] bath 800,
  • Step 2: Rotate [0517] chuck 29 around y-axis at an angle θy,
  • Step 3: Rotate [0518] chuck 29 around z-axis at a speed of ωz1,
  • Step 4: Turn on [0519] power supply 806;
  • Step 5: Move [0520] chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by electrolyte. The speed of chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 6: When the film reaches the pre-set value, turn off electrolyte pump, power supply, and driving means to drive [0521] chuck 29.
  • During process step 5, after wafer is fully contacted by electrolyte, the wafer chuck can be rotated around the y-axis to make it horizontal. This will enhance the film uniformity. [0522]
  • FIGS. 67 and 68 show another two embodiments of apparatus for plating conductive film in accordance with the present invention. The embodiments of FIGS. 67 and 68 are similar to that of FIG. 66 except that a single anode is replaced by multi-anodes. The advantage of these two embodiments is that they provide additional variables to control film uniformity across wafer. [0523]
  • FIG. 69 shows another embodiment of apparatus for plating conductive film in accordance with the present invention. The embodiment of FIG. 69 is a combination of those of FIGS. 61 and 66. The advantage of this embodiment is to provide additional variable to control position of a wafer relative to the surface of the electrolyte. [0524]
  • 19. Process Steps for Plating Conductive Film Directly on Barrier Layer or Ultra-Thin Seed Layer [0525]
  • Step 1: Deliver electrolyte to [0526] bath 800,
  • Step 2: Rotate [0527] chuck 29 around the y-axis at an angle θy,
  • Step 3: Rotate [0528] chuck 29 around the z-axis at a speed of ωz1,
  • Step 4: Rotate [0529] bath 800 around the z-axis at a speed of ωz2 to form a parabolic surface on top of the electrolyte;
  • Step 5: Turn on [0530] power supply 806;
  • Step 6: Move [0531] chuck 29 down (z-axis) at a certain speed until the whole wafer surface is contacted by electrolyte. The speed of the chuck moving down determines initial film thickness distribution. This initial thickness distribution affects potential across the wafer during the succeeding plating.
  • Step 7: When film reached the pre-set value, turn off electrolyte pump, power supply, and driving means to drive [0532] bath 800 and chuck 29.
  • During process step 6, after wafer is fully touched by electrolyte, the [0533] wafer chuck 29 can be rotated around y-axis to make it horizontal. This will enhance the film uniformity.
  • FIGS. 70 and 71 show another two embodiments of apparatus for plating conductive film in accordance with the present invention. The embodiments of FIGS. 70 and 71 are similar to that of FIG. 69 except that the single anode is replaced by multiple anodes. The advantage of these two embodiments is that they provide additional variables to control film uniformity across the wafer. [0534]
  • It should further be apparent to those skilled in the art that various changes in form and details of the invention as shown and described may be made. It is intended that such changes be included within the spirit and scope of the claims appended hereto. [0535]

Claims (109)

What is claimed is:
1. A method for plating a film to a desired thickness on a surface of a substrate, comprising:
plating the film to the desired thickness on a first portion of the substrate surface; and
plating the film to the desired thickness on at least a second portion of the substrate surface to give a continuous film at the desired thickness on the substrate.
2. The method of claim 1 in which the desired thickness is for a continuous seed layer of the film on the substrate.
3. The method of claim 2, further comprising the step of:
plating an additional thickness on the continuous seed layer to give a continuous film of a second uniform thickness greater than the desired thickness of the seed layer on the substrate.
4. The method of claim 3 in which the film is plated on the first portion of the substrate by flowing an electrolyte on the first portion of the substrate surface and applying a plating current to plate the film on the first portion of the substrate until the film reaches the desired thickness; repeating the electrolyte flowing and plating current flowing steps for at least the second portion of the substrate to plate the film on the second portion to the desired thickness; and flowing electrolyte to the first portion and at least the second portion of the substrate and applying plating current to at least the second portion until the second uniform thickness is obtained.
5. The method of claim 4 in which the film is plated on the first and second portions of the substrate by independently providing plating current to plating electrodes for the first and second portions.
6. The method of claim 5 in which the electrolyte is independently flowed to the first and second portions of the substrate.
7. The method of claim 1 in which the film is plated on the first and the second portion of the substrate by flowing electrolyte on the first and the second portion of the substrate at the same time, and applying plating current to plating electrodes for the first and second portions separately.
8. The method of claim 7 additionally comprising the step of providing a sufficient current to the first portion of the substrate to prevent deplating after the film reaches the desired thickness on the first portion of the substrate while applying the plating current to the second portion of the substrate.
9. The method of claim 7 additionally comprising the step of providing a sufficient plating voltage to the second portion of the substrate to prevent deplating while applying the plating current to the first portion of the substrate.
10. The method of claim 7 additionally comprising the step of moving the first portion of the substrate out of the electrolyte after the film reaches the desired thickness on the first portion of the substrate while applying the plating current to the second portion of substrate.
11. The method of claim 1 in which the film is plated on the first and the second portion of the substrate by flowing electrolyte on the first portion of the substrate while plating the film on the first portion of the substrate, and by flowing electrolyte to the first and second portion of the substrate at the same time while plating the film on the second portion of the substrate.
12. The method of claim 11 additionally comprising the step of providing a sufficient plating voltage to the first portion of the substrate to prevent deplating after the film reaches the desired thickness on the first portion of the substrate while applying the plating current to the second portion of substrate.
13. The method of claim 1 in which the film is plated on the first and the second portion of the substrate by only flowing electrolyte on the first portion of the substrate through moving a movable jet anode close to the first portion of substrate; and by only flowing electrolyte on the second portion of the substrate through moving a movable jet anode close to the second portion of the substrate.
14. The method of claim 1 additionally comprising the step of immersing the substrate surface into electrolyte, and the film is plated in the first and the second portion of the substrate by separately moving a movable jet anode close to the first portion of substrate and moving a movable jet anode close to the second portion of the substrate.
15. The method of claim 1 in which the film continues to be plated on the first portion of the substrate while the film is plated on the second portion of the substrate.
16. The method of claim 15 in which the film is plated on the first and the second portion of the substrate by flowing electrolyte on the first portion of the substrate while plating the film on the first portion of the substrate, and by flowing electrolyte to the first and second portions of the substrate at the same time while plating the film on the first and the second portion of the substrate simultaneously.
17. The method of claim 16 in which the film is plated on the first and second portions of the substrate to the desired thickness to give a continuous seed layer, further comprising the step of: plating an additional thickness on the continuous seed layer to give a continuous film of a second uniform thickness greater than the desired thickness of the seed layer on the substrate.
18. The method of claim 1 in which the film is plated on the first and the second portion of the substrate by flowing electrolyte only on the first portion of the substrate while plating the film on the first portion of the substrate, and by flowing electrolyte to the first and second portion of the substrate at the same time while plating the film on the second portion of the substrate.
19. The method of claim 18 additionally comprising the step of providing a sufficient plating voltage to the first portion of the substrate to prevent deplating after the film reaches the desired thickness on the first portion of the substrate while applying the plating current to the second portion of substrate.
20. The method of claim 19 in which the film is plated on the first and second portions of the substrate to the desired thickness to give a continuous seed layer, further comprising the step of:
plating an additional thickness on the continuous seed layer to give a continuous film of a second uniform thickness grater than the desired thickness of the seed layer on the substrate.
21. The method of claim 1 in which the second portion of substrate is adjacent to the first portion of substrate.
22. The method of claim 1 in which the substrate is a semiconductor wafer.
23. The method of claim 22 in which the semiconductor wafer is a silicon wafer.
24. The method of claim 23 in which the silicon wafer includes a barrier layer on its top.
25. The method of claim 24 in which the barrier layer is titanium, titanium nitride, tantalum or tantalum nitride.
26. The method of claim 24 in which the semiconductor wafer further includes a seed layer on top of the barrier layer.
27. The method of claim 26 in which the seed layer is thicker proximate to a peripheral area and thinner on an inner area of the semiconductor wafer.
28. The method of claim 22 in which the film comprises interconnects in integrated circuits on the semiconductor wafer.
29. The method of claim 28 in which the interconnects are in a damascene structure.
30. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate for contact with a plating electrolyte;
at least one anode for supplying plating current to the substrate;
at least two flow controllers connected to supply electrolyte contacting the substrate;
a control system coupled to said at least one anode and said at least two flow controllers to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
31. The apparatus of claim 30 in which said at least one anode comprises at least two anodes separated by an insulating wall enclosing each of the at least two anodes.
32. The apparatus of claim 31 in which the insulating wall of each anode is of the same height.
33. The apparatus of claim 31 in which the insulating wall of each anode is of a different height.
34. The apparatus of claim 31 in which the insulating wall of each anode proximate to a center of the substrate are higher than the insulating wall of each anode proximate to an edge of said substrate.
35. The apparatus of claim 31 in which the insulating wall of each anode proximate to a center of the substrate are lower than the insulating wall of each anode proximate to an edge of said substrate.
36. The apparatus of claim 31 in which the at least two flow controllers are separate valves for selectively supplying plating electrolyte to the portions of the substrate adjacent each of the at least two anodes, the apparatus additionally comprising at least one pump coupled to the separate valves.
37. The apparatus of claim 36 in which the at least one pump comprises two pumps.
38. The apparatus of claim 36 additionally comprising a pressure leak valve coupled to an outlet of the at least one pump.
39. The apparatus of claim 36 in which the valves are liquid mass flow control valves.
40. The apparatus of claim 31 in which the at least one control system is configured to selectively supply plating current to said at least two anodes.
41. The apparatus of claim 31 additionally comprising a plurality of electrolyte flow channels configured to supply the electrolyte to the successive portions of the substrate.
42. The apparatus of claim 41 in which each of said plurality of electrolyte flow channels has an inlet and a plurality of nozzles facing said substrate holder.
43. The apparatus of claim 41 in which two adjacent electrolyte flow channels comprises at least one electrolyte return path between the two adjacent electrolyte flow channels.
44. The apparatus of claim 30 in which said substrate holder is movable up and down for adjusting a gap between said substrate and said anode.
45. The apparatus of claim 30 in which said substrate holder is oscillatable in a horizontal direction during plating.
46. The apparatus of claim 30 in which said substrate holder is rotatable around an axis vertical to substrate during the plating process.
47. The apparatus of claim 30 further comprising a temperature control device to maintain said electrolyte at a constant temperature during the plating process.
48. The apparatus of claim 30 further comprising a tank and a filter coupled to said at least two flow controllers for circulating electrolyte during the plating process.
49. The apparatus of claim 30 in which said control system comprises at least two DC power supplies operable in constant current mode.
50. The apparatus of claim 30 in which said control system comprises at least two DC power supplies operable in constant voltage mode.
51. The apparatus of claim 50 in which the at least two DC power supplies operable in both a constant voltage mode and a constant current mode.
52. The apparatus of claim 30 in which said control system comprises at least two pulse power supplies.
53. The apparatus of claim 52 in which the at least two pulse power supplies are operable in a bipolar pulse, modified sine-wave, unipolar pulse, pulse reverse, pulse-on-pulse or duplex pulse mode.
54. The apparatus of claim 52 in which said at least two pulse power supplies is operable in a phase shift mode.
55. The apparatus of claim 30 in which said control system comprises at least one charge monitor to measure thickness of film being plated.
56. The apparatus of claim 55 in which said control system includes software to control thickness uniformity of film being plated on the substrate based on thickness input from the at least one charge monitor.
57. The apparatus of claim 30 in which said at least one anode has a circular, elliptical or polygonal shape.
58. The apparatus of claim 57 in which the polygonal shape is a triangle, square, rectangle or pentagon.
59. The apparatus of claim 57 in which said anode comprises at least two sub-anodes positioned to form the circular, elliptical or polygonal shape.
60. The apparatus of claim 59 in which the sub-anodes are electrically isolated from each other.
61. The apparatus of claim 30 in which said control system further includes a logic table to check continuity of the film after successive plating of the film on the portions of the substrate.
62. The apparatus of claim 30 additionally comprising a plurality of electrolyte flow channels and in which said at least two flow controllers each comprise a valve and an outlet from one of said plurality of electrolyte flow channels.
63. The apparatus of claim 62 in which each valve and outlet is radially positioned relative to a center of the substrate.
64. The apparatus of claim 62 in which said plurality of flow controllers each further comprises a liquid mass flow controller and a pump, and said control system is configured to turn off the valve of one of the flow controllers while plating film on the portion of said substrate above the outlet of the flow channel controlled by the one of the flow controllers.
65. The apparatus of claim 62 in which said at least one anode is a single electrode.
66. The apparatus of claim 62 in which said at least one anode comprises at least two electrically connected electrodes connected electrically, each of the electrodes being in a different one of the plurality of electrolyte flow channels.
67. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate for contact with a plating electrolyte;
at least two anodes for supplying plating current to the substrate;
at least one flow controller for controlling electrolyte contacting the substrate;
at least one control system coupled to said at least one anode and said at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
68. The apparatus of claim 67 in which said at least two anodes are separated by an insulating wall enclosing each of the at least two anodes.
69. The apparatus of claim 67 in which the at least one control system is configured to selectively supply plating current to said at least two anodes.
70. The apparatus of claim 67 additionally comprising a plurality of electrolyte flow channels configured to supply the electrolyte to the successive portions of the substrate.
71. The apparatus of claim 70 in which each of said plurality of electrolyte flow channels has a plurality of nozzles facing said substrate holder.
72. The apparatus of claim 67 in which the at least one flow controller is at least one mass flow controller.
73. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate for contact with a plating electrolyte;
at least one anode for supplying plating current to the substrate;
at least one flow controller for controlling electrolyte contacting the substrate said at least one flow controller comprising at least three cylindrical walls, a first of the cylindrical walls positioned under a center portion of the substrate extending upward closer to the substrate than a second one of the cylindrical walls positioned under a second portion of the substrate peripheral to the center portion;
a drive mechanism coupled to said substrate holder to drive said substrate holder up and down to control one or more portions of the substrate contacting the electrolyte;
at least one control system coupled to said at least one anode and said at least one flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
74. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate for contact with a plating electrolyte;
at least one anode for supplying plating current to the substrate;
a flow controller for controlling electrolyte contacting the substrate, said at least one flow controller comprising at least three cylindrical walls movable upward toward the substrate and downward away from the substrate, to adjust a gap between the substrate and each of the cylindrical walls to control one or more portions of the substrate contacting the electrolyte;
at least one control system coupled to said at least one anode and said flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
75. The apparatus of claim 74 in which said at least one anode comprises at least two anodes.
76. The apparatus of claim 75 in which said flow controller additionally comprises at least two valves for controlling flow of electrolyte to different portions of the substrate.
77. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate above an electrolyte surface;
at least one movable jet anode for supplying plating current and electrolyte to the substrate, said movable jet anode being movable in a direction parallel to the substrate surface;
at least one flow controller for controlling electrolyte flowing through said movable jet anode;
at least one control system coupled to said movable jet anode and said flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
78. The apparatus of claim 77 in which said substrate holder is rotatable around an axis perpendicular to the substrate.
79. The apparatus of claim 77 in which said substrate holder is movable into the electrolyte to immerse the substrate completely into the electrolyte and movable away from the electrolyte.
80. The apparatus of claim 77 in which said moveable jet anode comprises one anode and an electrolyte flow nozzle enclosing the anode.
81. The apparatus of claim 80 in which said movable jet anode further comprises a second electrode outside of and positioned around the nozzle.
82. The apparatus of claim 81 in which said movable jet anode further comprises an insulating wall positioned around the second electrode, and a third electrode positioned around the insulating wall.
83. The apparatus of claim 77 in which said movable jet anode is movable in a straight path parallel to the substrate.
84. The apparatus of claim 77 in which said movable jet anode is movable in a curved path parallel to the substrate.
85. The apparatus of claim 84 in which the curved path is a spiral path.
86. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate in a body of electrolyte;
at least one movable jet anode for supplying plating current and electrolyte to the substrate, said movable jet anode being movable in a direction parallel to the substrate surface;
a flow controller for controlling electrolyte flowing through said movable jet anode;
at least one control system coupled to said movable jet anode and said flow controller to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
87. The apparatus of claim 86 in which said movable jet anode is movable in a straight path parallel to the substrate.
88. The apparatus of claim 86 in which said movable jet anode is movable in a curved path parallel to the substrate.
89. The apparatus of claim 88 in which the curved path is a spiral path.
90. The apparatus of claim 86 in which the substrate is positioned horizontally, adjacent to and under said movable jet anode.
91. The apparatus of claim 86 in which the substrate is placed vertically adjacent to said movable jet anode.
92. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate above an electrolyte surface;
a first drive mechanism coupled to said substrate holder to move said substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte;
a bath for the electrolyte;
at least one anode mounted in said bath;
a second drive mechanism coupled to said bath to rotate said bath around a vertical axis to form a substantially parabolic shape of the electrolyte surface;
a control system coupled to said first and second drive mechanisms and to said at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
93. The apparatus of claim 92 further comprising at least one flow controller to supply fresh electrolyte during plating.
94. The apparatus of claim 92 in which said at least one anode comprises a plurality of anodes.
95. The apparatus of claim 92 further comprising a third drive mechanism coupled to said substrate holder to rotate said substrate holder around an axis vertical to the surface of the substrate.
96. An apparatus for plating a film on a substrate, comprising:
a substrate holder for positioning the substrate above an electrolyte surface;
a first drive mechanism coupled to said substrate holder to move said substrate holder toward and away from the electrolyte surface to control a portion of a surface of the substrate contacting the electrolyte;
a second drive mechanism coupled to said substrate holder to rotate said substrate holder around an axis vertical to the surface of the substrate;
a third drive mechanism coupled to said substrate holder to tilt said substrate holder with respect to the electrolyte surface;
a bath for the electrolyte;
at least one anode mounted in said bath;
a control system coupled to said first, second and third drive mechanisms and to said at least one anode to provide electrolyte and plating current in combination to successive portions of the substrate to provide a continuous, uniform thickness film on the substrate by successive plating of the film on the portions of the substrate.
97. The apparatus of claim 96 further comprising at least one flow controller to supply fresh electrolyte during plating.
98. The apparatus of claim 96 in which said at least one anode comprises a plurality of anodes.
99. The apparatus of claim 96 in which the third drive mechanism is configured to tilt the substrate holder in a tilting angle from about 0 to 180 degrees.
100. The apparatus of claim 96 additionally comprising:
a fourth drive mechanism coupled to said bath to rotate said bath around a vertical axis to form a substantially parabolic shape of the electrolyte surface.
101. A method for plating a film to a desired thickness on a surface of a substrate, comprising:
providing a plurality of stacked plating modules and a substrate transferring mechanism;
picking up a substrate from a substrate holder with the substrate transferring mechanism;
loading the substrate into a first one of stacked plating modules with the substrate transferring mechanism;
plating a film on the substrate in the first the one of the stacked plating modules;
returning the substrate to said substrate holder with the substrate transferring mechanism.
102. The method of claim 101, further comprising the step of:
after plating the film on the substrate, drying the substrate by at least one of spinning the substrate or directing drying gas onto the substrate.
103. The method of claim 101 in which at least a second one of the plurality of plating modules is a cleaning module, further comprising the steps of:
after plating, picking up the substrate with the substrate transferring mechanism from the first one of the stacked plating modules;
placing the substrate into the second one of stacked plating modules for cleaning;
cleaning the substrate in the second one of the stacked plating modules; and
drying the substrate in the second one of the stacked plating modules.
104. An automated tool for plating a film on a substrate, comprising:
at least two plating baths positioned in a stacked relationship;
at least one substrate holder;
a substrate transferring mechanism;
a frame supporting said plating baths, said substrate holder and said substrate transferring mechanism; and
a control system coupled to said substrate transferring mechanism, substrate holder and said plating baths to continuously perform uniform film deposition on a plurality of the substrates.
105. The automated tool of claim 104 further comprising:
at least two cleaning modules positioned in a stacked relationship with said at least two plating baths.
106. The automated tool of claim 104 in which the substrate transferring mechanism includes a telescoping member movable in x, y and z axes.
107. The automated tool of claim 104 in which said substrate transferring mechanism is mounted on a bottom portion of said frame.
108. The automated tool of claim 104 in which said substrate transferring mechanism is mounted on a top portion of said frame.
109. The automated tool of claim 104 further comprising at least a second set of plating baths positioned in a stacked relationship and at least two additional cleaning modules positioned in a stacked relationship with said second set of plating baths.
US09/837,902 1998-02-12 2001-04-18 Plating apparatus and method Abandoned US20020008036A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/837,902 US20020008036A1 (en) 1998-02-12 2001-04-18 Plating apparatus and method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US7446698P 1998-02-12 1998-02-12
US9421598P 1998-07-27 1998-07-27
US09/232,864 US6391166B1 (en) 1998-02-12 1999-01-15 Plating apparatus and method
US09/837,902 US20020008036A1 (en) 1998-02-12 2001-04-18 Plating apparatus and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/232,864 Division US6391166B1 (en) 1998-02-12 1999-01-15 Plating apparatus and method

Publications (1)

Publication Number Publication Date
US20020008036A1 true US20020008036A1 (en) 2002-01-24

Family

ID=26755698

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/232,864 Expired - Lifetime US6391166B1 (en) 1998-02-12 1999-01-15 Plating apparatus and method
US09/837,911 Abandoned US20010040100A1 (en) 1998-02-12 2001-04-16 Plating apparatus and method
US09/837,902 Abandoned US20020008036A1 (en) 1998-02-12 2001-04-18 Plating apparatus and method

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/232,864 Expired - Lifetime US6391166B1 (en) 1998-02-12 1999-01-15 Plating apparatus and method
US09/837,911 Abandoned US20010040100A1 (en) 1998-02-12 2001-04-16 Plating apparatus and method

Country Status (9)

Country Link
US (3) US6391166B1 (en)
EP (1) EP1055020A2 (en)
JP (2) JP3523197B2 (en)
KR (1) KR100474746B1 (en)
CN (1) CN1222641C (en)
AU (1) AU2233399A (en)
CA (1) CA2320278C (en)
TW (2) TW591122B (en)
WO (1) WO1999041434A2 (en)

Cited By (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020084183A1 (en) * 2000-03-21 2002-07-04 Hanson Kyle M. Apparatus and method for electrochemically processing a microelectronic workpiece
US20020102853A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Articles for polishing semiconductor substrates
US20020139678A1 (en) * 1999-04-13 2002-10-03 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US6561873B2 (en) 2000-02-17 2003-05-13 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20030116446A1 (en) * 2001-12-21 2003-06-26 Alain Duboust Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20030127337A1 (en) * 1999-04-13 2003-07-10 Hanson Kayle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030136684A1 (en) * 2002-01-22 2003-07-24 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20030209448A1 (en) * 2002-05-07 2003-11-13 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US20040020788A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20040023495A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040031693A1 (en) * 1998-03-20 2004-02-19 Chen Linlin Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US20040082288A1 (en) * 1999-05-03 2004-04-29 Applied Materials, Inc. Fixed abrasive articles
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US20040134792A1 (en) * 2000-02-17 2004-07-15 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US20040266327A1 (en) * 2000-02-17 2004-12-30 Liang-Yuh Chen Conductive polishing article for electrochemical mechanical polishing
US20040266085A1 (en) * 2000-12-18 2004-12-30 Applied Materials, Inc. Integrated multi-step gap fill and all feature planarization for conductive materials
US20050000801A1 (en) * 2000-02-17 2005-01-06 Yan Wang Method and apparatus for electrochemical mechanical processing
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20050056537A1 (en) * 2001-03-14 2005-03-17 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US20050084987A1 (en) * 1999-07-12 2005-04-21 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050087439A1 (en) * 1999-04-13 2005-04-28 Hanson Kyle M. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US20050098439A1 (en) * 1998-04-30 2005-05-12 Akihisa Hongo Substrate plating method and apparatus
US20050109612A1 (en) * 1998-07-10 2005-05-26 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US20050109633A1 (en) * 1999-04-13 2005-05-26 Wilson Gregory J. System for electrochemically processing a workpiece
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US20050124262A1 (en) * 2003-12-03 2005-06-09 Applied Materials, Inc. Processing pad assembly with zone control
US20050133363A1 (en) * 2000-02-17 2005-06-23 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US20050139478A1 (en) * 1998-03-20 2005-06-30 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20050155864A1 (en) * 1999-04-13 2005-07-21 Woodruff Daniel J. Adaptable electrochemical processing chamber
US20050161341A1 (en) * 2000-02-17 2005-07-28 Applied Materials, Inc. Edge bead removal by an electro polishing process
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US20050189215A1 (en) * 1999-04-13 2005-09-01 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US20050233578A1 (en) * 2004-01-29 2005-10-20 Applied Materials, Inc. Method and composition for polishing a substrate
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US6991526B2 (en) 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20060032749A1 (en) * 2000-02-17 2006-02-16 Liu Feng Q Contact assembly and method for electrochemical mechanical processing
US20060057812A1 (en) * 2004-09-14 2006-03-16 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060070872A1 (en) * 2004-10-01 2006-04-06 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20060124454A1 (en) * 2002-12-23 2006-06-15 Metakem Gesellschaft Fur Schichtchemie Der Metalle Mbh Anode used for electroplating
US7077725B2 (en) 1999-11-29 2006-07-18 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US20060166500A1 (en) * 2005-01-26 2006-07-27 Applied Materials, Inc. Electroprocessing profile control
US20060163074A1 (en) * 2002-09-16 2006-07-27 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20060163058A1 (en) * 2005-01-26 2006-07-27 Kiyonori Watanabe Apparatus for plating a semiconductor wafer and plating solution bath used therein
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060172671A1 (en) * 2001-04-24 2006-08-03 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20060175202A1 (en) * 2004-11-30 2006-08-10 Stephen Mazur Membrane-limited selective electroplating of a conductive surface
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060229007A1 (en) * 2005-04-08 2006-10-12 Applied Materials, Inc. Conductive pad
US20060237319A1 (en) * 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060269658A1 (en) * 2005-04-13 2006-11-30 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20070099552A1 (en) * 2001-04-24 2007-05-03 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US20070111638A1 (en) * 2000-02-17 2007-05-17 Applied Materials, Inc. Pad assembly for electrochemical mechanical polishing
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US20070221502A1 (en) * 1999-04-13 2007-09-27 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US20070232065A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition Control For Photovoltaic Thin Film Manufacturing
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US20080014709A1 (en) * 2006-07-07 2008-01-17 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
WO2010031215A1 (en) * 2008-09-16 2010-03-25 Acm Research (Shanghai) Inc. Method for substantially uniform copper deposition onto semiconductor wafer
TWI410531B (en) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg Vertical plating equipment and plating method thereof
WO2018017452A1 (en) * 2016-07-20 2018-01-25 Technic, Inc. Electro-depositing metal layers of uniform thickness on semiconducting wafers
WO2018063257A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Methods & apparatus for electroless plating dispense
US11466378B2 (en) * 2018-12-31 2022-10-11 Lg Display Co., Ltd. Electroplating apparatus and electroplating method using the same

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
WO1999054527A2 (en) 1998-04-21 1999-10-28 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6837978B1 (en) 1999-04-08 2005-01-04 Applied Materials, Inc. Deposition uniformity control for electroplating apparatus, and associated method
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
WO2001027357A1 (en) * 1999-10-12 2001-04-19 Semitool, Inc. Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
US20050205111A1 (en) * 1999-10-12 2005-09-22 Ritzdorf Thomas L Method and apparatus for processing a microfeature workpiece with multiple fluid streams
US6660139B1 (en) * 1999-11-08 2003-12-09 Ebara Corporation Plating apparatus and method
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US6495005B1 (en) * 2000-05-01 2002-12-17 International Business Machines Corporation Electroplating apparatus
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
JP2001316887A (en) * 2000-05-08 2001-11-16 Tokyo Electron Ltd Plating equipment
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
JP2004513221A (en) 2000-05-23 2004-04-30 アプライド マテリアルズ インコーポレイテッド Method and apparatus for overcoming copper seed layer anomalies and adjusting surface feature size and aspect ratio
US20050109627A1 (en) * 2003-10-10 2005-05-26 Applied Materials, Inc. Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
JP2003535974A (en) * 2000-06-05 2003-12-02 アプライド マテリアルズ インコーポレイテッド Programmable anode device and related method
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US7584761B1 (en) * 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US6747734B1 (en) * 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6428673B1 (en) * 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
JP2002212786A (en) * 2001-01-17 2002-07-31 Ebara Corp Substrate processor
US20040020780A1 (en) * 2001-01-18 2004-02-05 Hey H. Peter W. Immersion bias for use in electro-chemical plating system
JP2002220692A (en) * 2001-01-24 2002-08-09 Ebara Corp Plating equipment and method
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US20020139684A1 (en) * 2001-04-02 2002-10-03 Mitsubishi Denki Kabushiki Kaisha Plating system, plating method, method of manufacturing semiconductor device using the same, and method of manufacturing printed board using the same
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
JP3530149B2 (en) * 2001-05-21 2004-05-24 新光電気工業株式会社 Wiring board manufacturing method and semiconductor device
US6551487B1 (en) 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
US7033465B1 (en) 2001-11-30 2006-04-25 Novellus Systems, Inc. Clamshell apparatus with crystal shielding and in-situ rinse-dry
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
US6830673B2 (en) 2002-01-04 2004-12-14 Applied Materials, Inc. Anode assembly and method of reducing sludge formation during electroplating
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
JP3843871B2 (en) * 2002-03-26 2006-11-08 ソニー株式会社 Electropolishing method and semiconductor device manufacturing method
US7854828B2 (en) * 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US6893505B2 (en) * 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7247222B2 (en) 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
JP4058307B2 (en) 2002-08-29 2008-03-05 大日本スクリーン製造株式会社 Plating equipment
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US6988326B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Phobic barrier meniscus separation and containment
US7389783B2 (en) * 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7997288B2 (en) * 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7240679B2 (en) * 2002-09-30 2007-07-10 Lam Research Corporation System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7069937B2 (en) * 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7614411B2 (en) * 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7293571B2 (en) * 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
AU2003298904A1 (en) * 2002-12-05 2004-06-30 Surfect Technologies, Inc. Coated and magnetic particles and applications thereof
CN100514581C (en) * 2002-12-09 2009-07-15 Acm研究公司 Measuring alignment between a wafer chuck and polishing/plating receptacle
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
US20040154926A1 (en) * 2002-12-24 2004-08-12 Zhi-Wen Sun Multiple chemistry electrochemical plating method
JP4303484B2 (en) * 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 Plating equipment
US20060049038A1 (en) * 2003-02-12 2006-03-09 Surfect Technologies, Inc. Dynamic profile anode
US20040192066A1 (en) * 2003-02-18 2004-09-30 Applied Materials, Inc. Method for immersing a substrate
KR100691168B1 (en) * 2003-02-27 2007-03-09 섬모픽스, 인코포레이티드 Dielectric barrier layer films
US7842169B2 (en) 2003-03-04 2010-11-30 Applied Materials, Inc. Method and apparatus for local polishing control
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
KR100545192B1 (en) * 2003-06-19 2006-01-24 동부아남반도체 주식회사 Deposition stop time detect apparatus and copper wiring formation apparatus of semiconductor device using the same
US7675000B2 (en) * 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US7067048B2 (en) * 2003-08-08 2006-06-27 Lsi Logic Corporation Method to improve the control of electro-polishing by use of a plating electrode an electrolyte bath
DE10337669B4 (en) * 2003-08-08 2006-04-27 Atotech Deutschland Gmbh Aqueous, acid solution and process for the electrodeposition of copper coatings and use of the solution
JP5232844B2 (en) * 2003-08-21 2013-07-10 株式会社荏原製作所 Plating equipment
JP4624738B2 (en) * 2003-08-21 2011-02-02 株式会社荏原製作所 Plating equipment
US6972438B2 (en) * 2003-09-30 2005-12-06 Cree, Inc. Light emitting diode with porous SiC substrate and method for fabricating
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050095854A1 (en) * 2003-10-31 2005-05-05 Uzoh Cyprian E. Methods for depositing high yield and low defect density conductive films in damascene structures
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US20070039827A1 (en) * 2003-12-09 2007-02-22 Acm Reasearch, Inc. Measuring alignment between a wafer chuck and polishing/plating receptacle
WO2005076977A2 (en) * 2004-02-04 2005-08-25 Surfect Technologies, Inc. Plating apparatus and method
US8062471B2 (en) * 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7645364B2 (en) * 2004-06-30 2010-01-12 Lam Research Corporation Apparatus and method for plating semiconductor wafers
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
DE602005017512D1 (en) 2004-12-08 2009-12-17 Symmorphix Inc DEPOSIT OF LICOO2
US7368042B2 (en) * 2004-12-30 2008-05-06 United Microelectronics Corp. Electroplating apparatus including a real-time feedback system
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US20060219566A1 (en) * 2005-03-29 2006-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating metal layer
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7838133B2 (en) * 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
KR100651919B1 (en) * 2005-09-29 2006-12-01 엘지전자 주식회사 Mobile telecommunication device having function for adjusting recording rate and method thereby
JP2007123473A (en) * 2005-10-27 2007-05-17 Alps Electric Co Ltd Soft magnetic film, its manufacturing method, thin film magnetic head using the same and its manufacturing method
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8029653B2 (en) * 2006-02-21 2011-10-04 Ebara Corporation Electroplating apparatus and electroplating method
US7655126B2 (en) * 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US7928366B2 (en) * 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
WO2008039471A2 (en) 2006-09-29 2008-04-03 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
JP4915220B2 (en) * 2006-11-24 2012-04-11 富士通株式会社 Mobile terminal device
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7799182B2 (en) 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US8146902B2 (en) * 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US7975708B2 (en) * 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
DE102007026633B4 (en) 2007-06-06 2009-04-02 Atotech Deutschland Gmbh Apparatus and method for the electrolytic treatment of plate-shaped goods
US8141566B2 (en) * 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US7985325B2 (en) 2007-10-30 2011-07-26 Novellus Systems, Inc. Closed contact electroplating cup assembly
US7935231B2 (en) 2007-10-31 2011-05-03 Novellus Systems, Inc. Rapidly cleanable electroplating cup assembly
TWI441937B (en) 2007-12-21 2014-06-21 Infinite Power Solutions Inc Method for sputter targets for electrolyte films
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR101606183B1 (en) 2008-01-11 2016-03-25 사푸라스트 리써치 엘엘씨 Thin film encapsulation for thin film batteries and other devices
US8703615B1 (en) 2008-03-06 2014-04-22 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US8513124B1 (en) 2008-03-06 2013-08-20 Novellus Systems, Inc. Copper electroplating process for uniform across wafer deposition and void free filling on semi-noble metal coated wafers
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
KR101672254B1 (en) 2008-04-02 2016-11-08 사푸라스트 리써치 엘엘씨 Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
CN101580945B (en) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 Electrodeposition system
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
CN102119454B (en) 2008-08-11 2014-07-30 无穷动力解决方案股份有限公司 Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
KR101613671B1 (en) 2008-09-12 2016-04-19 사푸라스트 리써치 엘엘씨 Energy device with integral conductive surface for data communication via electromagnetic energy and method thereof
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US10011917B2 (en) 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
US20120261254A1 (en) 2011-04-15 2012-10-18 Reid Jonathan D Method and apparatus for filling interconnect structures
US11225727B2 (en) 2008-11-07 2022-01-18 Lam Research Corporation Control of current density in an electroplating apparatus
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
CN101748459B (en) * 2008-12-01 2014-09-24 盛美半导体设备(上海)有限公司 Method for depositing copper film on semiconductor wafer super-uniformly
US9512538B2 (en) 2008-12-10 2016-12-06 Novellus Systems, Inc. Plating cup with contoured cup bottom
US8172992B2 (en) 2008-12-10 2012-05-08 Novellus Systems, Inc. Wafer electroplating apparatus for reducing edge defects
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
JP5084766B2 (en) * 2009-03-11 2012-11-28 住友電気工業株式会社 Thin film superconducting wire and superconducting cable conductor
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8599572B2 (en) 2009-09-01 2013-12-03 Infinite Power Solutions, Inc. Printed circuit board with integrated thin film battery
US20110041899A1 (en) * 2009-10-30 2011-02-24 National Institute Of Standards And Technology Three Dimensionally Structured Thin Film Photovoltaic Devices with Self-Aligned Back Contacts
US9347987B2 (en) 2009-11-06 2016-05-24 Intel Corporation Direct liquid-contact micro-channel heat transfer devices, methods of temperature control for semiconductive devices, and processes of forming same
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
TWI397614B (en) * 2009-12-22 2013-06-01 Zhen Ding Technology Co Ltd Plating fixture
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9385035B2 (en) 2010-05-24 2016-07-05 Novellus Systems, Inc. Current ramping and current pulsing entry of substrates for electroplating
US20110300432A1 (en) 2010-06-07 2011-12-08 Snyder Shawn W Rechargeable, High-Density Electrochemical Device
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
TWI414640B (en) * 2010-09-06 2013-11-11 Grand Plastic Technology Co Ltd Wafer clamping apparatus with vertical haning arm for plating
TW201213622A (en) * 2010-09-27 2012-04-01 Pin-Chun Huang Device and method for electroplating thin board
US20120325671A2 (en) * 2010-12-17 2012-12-27 Tel Nexx, Inc. Electroplated lead-free bump deposition
CN102041531B (en) * 2010-12-30 2012-05-23 东莞铭励电器制品有限公司 Spray plating device for contact nails locally spray-plated with silver and contact nails locally spray-plated with silver
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
TWI550139B (en) 2011-04-04 2016-09-21 諾菲勒斯系統公司 Electroplating apparatus for tailored uniformity profile
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
WO2012174732A1 (en) 2011-06-24 2012-12-27 Acm Research (Shanghai) Inc. Methods and apparatus for uniformly metallization on substrates
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
JP5646758B2 (en) * 2011-08-11 2014-12-24 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device, and wiring forming jig
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US20130233356A1 (en) * 2012-03-12 2013-09-12 Lam Research Ag Process and apparatus for treating surfaces of wafer-shaped articles
KR102112881B1 (en) 2012-03-28 2020-05-19 노벨러스 시스템즈, 인코포레이티드 Methods and apparatuses for cleaning electroplating substrate holders
US9476139B2 (en) 2012-03-30 2016-10-25 Novellus Systems, Inc. Cleaning electroplating substrate holders using reverse current deplating
CN103590092B (en) * 2012-08-16 2017-05-10 盛美半导体设备(上海)有限公司 Device and method used for electrochemical polishing/electroplating
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435048B2 (en) * 2013-02-27 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Layer by layer electro chemical plating (ECP) process
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
KR102119634B1 (en) * 2013-04-22 2020-06-08 에이씨엠 리서치 (상하이) 인코포레이티드 Method and apparatus for uniformly metallization on substrate
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
CN103938257A (en) * 2014-05-08 2014-07-23 中国科学院宁波材料技术与工程研究所 Multipurpose electrochemical cell device as well as electroplating and analysis method
CN105316754B (en) * 2014-07-29 2019-08-16 盛美半导体设备(上海)有限公司 Electrochemical machining process and electrochemical machining apparatus
CN105590987B (en) * 2014-10-20 2022-06-14 苏州易益新能源科技有限公司 Method for horizontal electrochemical deposition of metal
US20170260641A1 (en) * 2014-11-25 2017-09-14 Acm Research (Shanghai) Inc. Apparatus and method for uniform metallization on substrate
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
TWI560323B (en) * 2015-02-13 2016-12-01 Inotera Memories Inc Electrochemical plating device and anode assembly thereof
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
EP3176288A1 (en) * 2015-12-03 2017-06-07 ATOTECH Deutschland GmbH Method for galvanic metal deposition
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
CN110168145B (en) 2016-07-13 2021-08-06 英奥创公司 Electrochemical method, assembly and composition
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN106917121A (en) * 2017-03-02 2017-07-04 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) A kind of Wafer electroplating device and electro-plating method
KR102000672B1 (en) * 2017-07-28 2019-07-17 주식회사 선익시스템 Method for manufacturing thin film deposition mask and deposition mask manufactured thereby
KR101987172B1 (en) * 2017-07-28 2019-06-10 주식회사 선익시스템 Method for manufacturing thin film deposition mask and deposition mask manufactured thereby
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN110512248B (en) * 2018-05-21 2022-04-12 盛美半导体设备(上海)股份有限公司 Electroplating apparatus and electroplating method
JP7296699B2 (en) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 GAS SUPPLY SYSTEM, PLASMA PROCESSING APPARATUS, AND GAS SUPPLY SYSTEM CONTROL METHOD
KR20240007943A (en) * 2018-12-28 2024-01-17 에이씨엠 리서치 (상하이), 인코포레이티드 Plating apparatus and plating method
IT201900007878A1 (en) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl GALVANOSTATIC PLANT FOR THE MANAGEMENT OF THE DISTRIBUTION OF THE THICKNESS OF GALVANIC REPORTS AND THE PROCEDURE FOR OBTAINING THEM
CN110614074B (en) * 2019-09-25 2021-07-23 赣州泰普新材料有限公司 Terpene is apparatus for producing for resin with waste disposal mechanism
CN110923761B (en) * 2019-12-26 2022-01-11 重庆切普电子技术有限公司 Spraying electroplating system
CN113493920B (en) * 2020-03-19 2022-12-23 芯恩(青岛)集成电路有限公司 Device and method for improving uniformity of electroplating film
JP7356401B2 (en) * 2020-05-12 2023-10-04 株式会社荏原製作所 Plate, plating equipment, and plate manufacturing method
CN111854415B (en) * 2020-07-15 2022-04-01 合肥三伍机械有限公司 Self-cleaning screen plate structure for dryer and dryer
KR200496932Y1 (en) 2020-08-25 2023-06-07 주식회사 한국가스기술공사 explosion proof lamp testing device
CN112899743B (en) * 2021-01-19 2021-09-21 鑫巨(深圳)半导体科技有限公司 Electroplating device and electroplating method

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5326455A (en) * 1990-12-19 1994-07-05 Nikko Gould Foil Co., Ltd. Method of producing electrolytic copper foil and apparatus for producing same
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5443707A (en) * 1992-07-10 1995-08-22 Nec Corporation Apparatus for electroplating the main surface of a substrate
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5678320A (en) * 1994-04-28 1997-10-21 Semitool, Inc. Semiconductor processing systems
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56102590A (en) * 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
JPS57171690A (en) * 1981-04-13 1982-10-22 Tokio Osaki Plating method
GB8617675D0 (en) * 1986-07-19 1986-08-28 Ae Plc Deposition of bearing alloys
JPH01234590A (en) * 1988-03-16 1989-09-19 Toshiba Eng Co Ltd Partial plating device
WO1990000476A1 (en) 1988-07-12 1990-01-25 The Regents Of The University Of California Planarized interconnect etchback
JPH07113159B2 (en) * 1988-08-29 1995-12-06 日本電装株式会社 Plating equipment
JPH02185999A (en) * 1989-01-11 1990-07-20 Nec Corp Electroplating tank
JPH083153B2 (en) * 1990-02-26 1996-01-17 日本電装株式会社 Plating equipment
JPH04170031A (en) * 1990-11-02 1992-06-17 Nec Corp Formation of metal interconnection
JP2538705Y2 (en) * 1991-01-10 1997-06-18 日本電気株式会社 Plating equipment
JPH04311591A (en) * 1991-04-08 1992-11-04 Sumitomo Metal Ind Ltd Device and method for plating
JP3112700B2 (en) * 1991-05-08 2000-11-27 啓一郎 菅沼 Semiconductor manufacturing method and apparatus
JPH0555167A (en) * 1991-08-28 1993-03-05 Nec Corp Manufacture of semiconductor device
JPH05206064A (en) * 1991-12-10 1993-08-13 Nec Corp Manufacture of semiconductor device
JPH05195183A (en) * 1992-01-23 1993-08-03 Mitsubishi Electric Corp Production for semiconductor device
JPH0617291A (en) * 1992-07-03 1994-01-25 Nec Corp Metal plating device
JPH0645283A (en) * 1992-07-27 1994-02-18 Nec Corp Manufacture of semiconductor device
JP3350564B2 (en) * 1993-01-22 2002-11-25 沖電気工業株式会社 Plating apparatus and plating method
JP3064734B2 (en) * 1993-04-01 2000-07-12 日本電気株式会社 Method for manufacturing semiconductor device
JP3289459B2 (en) * 1993-12-29 2002-06-04 カシオ計算機株式会社 Plating method and plating equipment
WO1995020064A1 (en) * 1994-01-24 1995-07-27 Berg N Edward Uniform electroplating of printed circuit boards
JP3033009B2 (en) * 1994-09-09 2000-04-17 東京エレクトロン株式会社 Processing equipment
JP3394842B2 (en) * 1995-04-14 2003-04-07 島田理化工業株式会社 Wafer processing equipment
US5522975A (en) * 1995-05-16 1996-06-04 International Business Machines Corporation Electroplating workpiece fixture
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm A thin film metal barrier for electrical interconnections
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3537269B2 (en) * 1996-05-21 2004-06-14 アネルバ株式会社 Multi-chamber sputtering equipment
US5779799A (en) * 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6221230B1 (en) * 1997-05-15 2001-04-24 Hiromitsu Takeuchi Plating method and apparatus
JP3223850B2 (en) * 1997-07-18 2001-10-29 日本電気株式会社 Jet plating equipment
JPH1180993A (en) * 1997-09-10 1999-03-26 Ebara Corp Semiconductor wafer plating device
JP3554665B2 (en) * 1997-09-17 2004-08-18 株式会社荏原製作所 Barrier layer and wiring structure of semiconductor substrate wiring
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6143155A (en) * 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4898647A (en) * 1985-12-24 1990-02-06 Gould, Inc. Process and apparatus for electroplating copper foil
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5326455A (en) * 1990-12-19 1994-07-05 Nikko Gould Foil Co., Ltd. Method of producing electrolytic copper foil and apparatus for producing same
US5443707A (en) * 1992-07-10 1995-08-22 Nec Corporation Apparatus for electroplating the main surface of a substrate
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5584310A (en) * 1993-08-23 1996-12-17 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5678320A (en) * 1994-04-28 1997-10-21 Semitool, Inc. Semiconductor processing systems
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5744019A (en) * 1995-11-29 1998-04-28 Aiwa Research And Development, Inc. Method for electroplating metal films including use a cathode ring insulator ring and thief ring
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6174796B1 (en) * 1998-01-30 2001-01-16 Fujitsu Limited Semiconductor device manufacturing method

Cited By (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100116671A1 (en) * 1998-03-20 2010-05-13 Semitool, Inc. Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US20050245083A1 (en) * 1998-03-20 2005-11-03 Semitool, Inc. Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US20050139478A1 (en) * 1998-03-20 2005-06-30 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20050150770A1 (en) * 1998-03-20 2005-07-14 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20040031693A1 (en) * 1998-03-20 2004-02-19 Chen Linlin Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US20050173252A1 (en) * 1998-03-20 2005-08-11 Semitool, Inc. Apparatus and method for electrolytically depositing copper on a semiconductor workpiece
US20050098439A1 (en) * 1998-04-30 2005-05-12 Akihisa Hongo Substrate plating method and apparatus
US20050161336A1 (en) * 1998-07-10 2005-07-28 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US20050109611A1 (en) * 1998-07-10 2005-05-26 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US20050109612A1 (en) * 1998-07-10 2005-05-26 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US20050167274A1 (en) * 1999-04-13 2005-08-04 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronics workpiece
US20050109625A1 (en) * 1999-04-13 2005-05-26 Wilson Gregory J. System for electrochemically processing a workpiece
US20080217166A9 (en) * 1999-04-13 2008-09-11 Hanson Kyle M Apparatus and methods for electrochemical processsing of microelectronic workpieces
US20050167273A1 (en) * 1999-04-13 2005-08-04 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050189215A1 (en) * 1999-04-13 2005-09-01 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20080217167A9 (en) * 1999-04-13 2008-09-11 Hanson Kyle M Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030127337A1 (en) * 1999-04-13 2003-07-10 Hanson Kayle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050189227A1 (en) * 1999-04-13 2005-09-01 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050155864A1 (en) * 1999-04-13 2005-07-21 Woodruff Daniel J. Adaptable electrochemical processing chamber
US20050109633A1 (en) * 1999-04-13 2005-05-26 Wilson Gregory J. System for electrochemically processing a workpiece
US20090114533A9 (en) * 1999-04-13 2009-05-07 Hanson Kyle M Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20050205419A1 (en) * 1999-04-13 2005-09-22 Hanson Kyle M Apparatus and methods for electrochemical processsing of microelectronic workpieces
US20050205409A1 (en) * 1999-04-13 2005-09-22 Hanson Kyle M Apparatus and methods for electrochemical processing of microelectronic workpieces
US20040188259A1 (en) * 1999-04-13 2004-09-30 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050194248A1 (en) * 1999-04-13 2005-09-08 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050224340A1 (en) * 1999-04-13 2005-10-13 Wilson Gregory J System for electrochemically processing a workpiece
US20070089991A1 (en) * 1999-04-13 2007-04-26 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050189214A1 (en) * 1999-04-13 2005-09-01 Hanson Kyle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050109628A1 (en) * 1999-04-13 2005-05-26 Wilson Gregory J. System for electrochemically processing a workpiece
US20080217165A9 (en) * 1999-04-13 2008-09-11 Hanson Kyle M Apparatus and methods for electrochemical processing of microelectronic workpieces
US20070221502A1 (en) * 1999-04-13 2007-09-27 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20020139678A1 (en) * 1999-04-13 2002-10-03 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050109629A1 (en) * 1999-04-13 2005-05-26 Wilson Gregory J. System for electrochemically processing a workpiece
US20050087439A1 (en) * 1999-04-13 2005-04-28 Hanson Kyle M. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20040082288A1 (en) * 1999-05-03 2004-04-29 Applied Materials, Inc. Fixed abrasive articles
US20050084987A1 (en) * 1999-07-12 2005-04-21 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7077725B2 (en) 1999-11-29 2006-07-18 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US20040023495A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20070111638A1 (en) * 2000-02-17 2007-05-17 Applied Materials, Inc. Pad assembly for electrochemical mechanical polishing
US6962524B2 (en) 2000-02-17 2005-11-08 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20050284770A1 (en) * 2000-02-17 2005-12-29 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7678245B2 (en) 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US20050000801A1 (en) * 2000-02-17 2005-01-06 Yan Wang Method and apparatus for electrochemical mechanical processing
US20050092621A1 (en) * 2000-02-17 2005-05-05 Yongqi Hu Composite pad assembly for electrochemical mechanical processing (ECMP)
US20040266327A1 (en) * 2000-02-17 2004-12-30 Liang-Yuh Chen Conductive polishing article for electrochemical mechanical polishing
US20050133363A1 (en) * 2000-02-17 2005-06-23 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US20060032749A1 (en) * 2000-02-17 2006-02-16 Liu Feng Q Contact assembly and method for electrochemical mechanical processing
US7670468B2 (en) 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6561873B2 (en) 2000-02-17 2003-05-13 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20040134792A1 (en) * 2000-02-17 2004-07-15 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) 2000-02-17 2006-06-27 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US20050161341A1 (en) * 2000-02-17 2005-07-28 Applied Materials, Inc. Edge bead removal by an electro polishing process
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040020788A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20080108288A1 (en) * 2000-02-17 2008-05-08 Yongqi Hu Conductive Polishing Article for Electrochemical Mechanical Polishing
US20080026681A1 (en) * 2000-02-17 2008-01-31 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20060231414A1 (en) * 2000-02-17 2006-10-19 Paul Butterfield Contacts for electrochemical processing
US20020084183A1 (en) * 2000-03-21 2002-07-04 Hanson Kyle M. Apparatus and method for electrochemically processing a microelectronic workpiece
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20040266085A1 (en) * 2000-12-18 2004-12-30 Applied Materials, Inc. Integrated multi-step gap fill and all feature planarization for conductive materials
US20070066200A9 (en) * 2000-12-22 2007-03-22 Applied Materials, Inc. Perforation and grooving for polishing articles
US20060217049A1 (en) * 2000-12-22 2006-09-28 Applied Materials, Inc. Perforation and grooving for polishing articles
US20020102853A1 (en) * 2000-12-22 2002-08-01 Applied Materials, Inc. Articles for polishing semiconductor substrates
US20060006074A1 (en) * 2001-03-14 2006-01-12 Liu Feng Q Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US20040053499A1 (en) * 2001-03-14 2004-03-18 Applied Materials, Inc. Method and composition for polishing a substrate
US20030178320A1 (en) * 2001-03-14 2003-09-25 Applied Materials, Inc. Method and composition for polishing a substrate
US20050218010A1 (en) * 2001-03-14 2005-10-06 Zhihong Wang Process and composition for conductive material removal by electrochemical mechanical polishing
US20050056537A1 (en) * 2001-03-14 2005-03-17 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20060172671A1 (en) * 2001-04-24 2006-08-03 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20070099552A1 (en) * 2001-04-24 2007-05-03 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US20070066201A1 (en) * 2001-04-24 2007-03-22 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US20050145507A1 (en) * 2001-12-21 2005-07-07 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
US20030116445A1 (en) * 2001-12-21 2003-06-26 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US20030116446A1 (en) * 2001-12-21 2003-06-26 Alain Duboust Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20030136684A1 (en) * 2002-01-22 2003-07-24 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
US6837983B2 (en) 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US20030209448A1 (en) * 2002-05-07 2003-11-13 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US20050194681A1 (en) * 2002-05-07 2005-09-08 Yongqi Hu Conductive pad with high abrasion
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US20080051009A1 (en) * 2002-09-16 2008-02-28 Yan Wang Endpoint for electroprocessing
US7112270B2 (en) 2002-09-16 2006-09-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20060228992A1 (en) * 2002-09-16 2006-10-12 Manens Antoine P Process control in electrochemically assisted planarization
US7070475B2 (en) 2002-09-16 2006-07-04 Applied Materials Process control in electrochemically assisted planarization
US20060237330A1 (en) * 2002-09-16 2006-10-26 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US7628905B2 (en) 2002-09-16 2009-12-08 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US20060163074A1 (en) * 2002-09-16 2006-07-27 Applied Materials, Inc. Algorithm for real-time process control of electro-polishing
US7790015B2 (en) 2002-09-16 2010-09-07 Applied Materials, Inc. Endpoint for electroprocessing
US6991526B2 (en) 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US6848970B2 (en) 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20050178743A1 (en) * 2002-09-16 2005-08-18 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20050061674A1 (en) * 2002-09-16 2005-03-24 Yan Wang Endpoint compensation in electroprocessing
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US20060124454A1 (en) * 2002-12-23 2006-06-15 Metakem Gesellschaft Fur Schichtchemie Der Metalle Mbh Anode used for electroplating
US7943032B2 (en) * 2002-12-23 2011-05-17 Metakem Gesellschaft Fur Schichtchemie Der Metalle Mbh Anode used for electroplating
US20040182721A1 (en) * 2003-03-18 2004-09-23 Applied Materials, Inc. Process control in electro-chemical mechanical polishing
US20080017521A1 (en) * 2003-03-18 2008-01-24 Manens Antoine P Process control in electro-chemical mechanical polishing
US20040248412A1 (en) * 2003-06-06 2004-12-09 Liu Feng Q. Method and composition for fine copper slurry for low dishing in ECMP
US20060102872A1 (en) * 2003-06-06 2006-05-18 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US20050121141A1 (en) * 2003-11-13 2005-06-09 Manens Antoine P. Real time process control for a polishing process
US20050124262A1 (en) * 2003-12-03 2005-06-09 Applied Materials, Inc. Processing pad assembly with zone control
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20050178666A1 (en) * 2004-01-13 2005-08-18 Applied Materials, Inc. Methods for fabrication of a polishing article
US20050233578A1 (en) * 2004-01-29 2005-10-20 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US20090008600A1 (en) * 2004-01-29 2009-01-08 Renhe Jia Method and composition for polishing a substrate
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US20060260951A1 (en) * 2004-09-14 2006-11-23 Liu Feng Q Full Sequence Metal and Barrier Layer Electrochemical Mechanical Processing
US20060057812A1 (en) * 2004-09-14 2006-03-16 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060070872A1 (en) * 2004-10-01 2006-04-06 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060175202A1 (en) * 2004-11-30 2006-08-10 Stephen Mazur Membrane-limited selective electroplating of a conductive surface
US20080047841A1 (en) * 2005-01-26 2008-02-28 Manens Antoine P Electroprocessing profile control
US20060163058A1 (en) * 2005-01-26 2006-07-27 Kiyonori Watanabe Apparatus for plating a semiconductor wafer and plating solution bath used therein
US20080045012A1 (en) * 2005-01-26 2008-02-21 Manens Antoine P Electroprocessing profile control
US7655565B2 (en) 2005-01-26 2010-02-02 Applied Materials, Inc. Electroprocessing profile control
US7709382B2 (en) 2005-01-26 2010-05-04 Applied Materials, Inc. Electroprocessing profile control
US20060166500A1 (en) * 2005-01-26 2006-07-27 Applied Materials, Inc. Electroprocessing profile control
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
US20060196778A1 (en) * 2005-01-28 2006-09-07 Renhe Jia Tungsten electroprocessing
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060229007A1 (en) * 2005-04-08 2006-10-12 Applied Materials, Inc. Conductive pad
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20060269658A1 (en) * 2005-04-13 2006-11-30 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US20060237319A1 (en) * 2005-04-22 2006-10-26 Akira Furuya Planting process and manufacturing process for semiconductor device thereby, and plating apparatus
US20110155578A1 (en) * 2005-04-22 2011-06-30 Renesas Electronics Corporation Plating process and manufacturing process for semiconductor device thereby
US8512540B2 (en) 2005-04-22 2013-08-20 Renesas Electronics Corporation Plating process and manufacturing process for semiconductor device thereby
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US7736913B2 (en) * 2006-04-04 2010-06-15 Solopower, Inc. Composition control for photovoltaic thin film manufacturing
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US20070232065A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition Control For Photovoltaic Thin Film Manufacturing
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
US7422982B2 (en) 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080014709A1 (en) * 2006-07-07 2008-01-17 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US20080035474A1 (en) * 2006-07-07 2008-02-14 You Wang Apparatus for electroprocessing a substrate with edge profile control
US20080293343A1 (en) * 2007-05-22 2008-11-27 Yuchun Wang Pad with shallow cells for electrochemical mechanical processing
US20090250352A1 (en) * 2008-04-04 2009-10-08 Emat Technology, Llc Methods for electroplating copper
US20120199491A1 (en) * 2008-04-04 2012-08-09 Moses Lake Industries Methods for electroplating copper
US8911609B2 (en) * 2008-04-04 2014-12-16 Moses Lake Industries, Inc. Methods for electroplating copper
WO2010031215A1 (en) * 2008-09-16 2010-03-25 Acm Research (Shanghai) Inc. Method for substantially uniform copper deposition onto semiconductor wafer
KR101521470B1 (en) * 2008-09-16 2015-05-19 에이씨엠 리서치 (상하이) 인코포레이티드 Method for substantially uniform copper deposition onto semiconductor wafer
TWI410531B (en) * 2010-05-07 2013-10-01 Taiwan Semiconductor Mfg Vertical plating equipment and plating method thereof
WO2018017452A1 (en) * 2016-07-20 2018-01-25 Technic, Inc. Electro-depositing metal layers of uniform thickness on semiconducting wafers
WO2018063257A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Methods & apparatus for electroless plating dispense
US11769686B2 (en) 2016-09-29 2023-09-26 Intel Corporation Methods and apparatus for electroless plating dispense
US11466378B2 (en) * 2018-12-31 2022-10-11 Lg Display Co., Ltd. Electroplating apparatus and electroplating method using the same

Also Published As

Publication number Publication date
CN1222641C (en) 2005-10-12
TW200416307A (en) 2004-09-01
CA2320278C (en) 2006-01-03
AU2233399A (en) 1999-08-30
KR100474746B1 (en) 2005-03-08
CA2320278A1 (en) 1999-08-19
TWI240019B (en) 2005-09-21
JP3523197B2 (en) 2004-04-26
TW591122B (en) 2004-06-11
WO1999041434A3 (en) 1999-10-14
US6391166B1 (en) 2002-05-21
WO1999041434A2 (en) 1999-08-19
KR20010040926A (en) 2001-05-15
JP2002503766A (en) 2002-02-05
EP1055020A2 (en) 2000-11-29
JP2004162166A (en) 2004-06-10
CN1290310A (en) 2001-04-04
US20010040100A1 (en) 2001-11-15

Similar Documents

Publication Publication Date Title
US6391166B1 (en) Plating apparatus and method
US20220010446A1 (en) Electrodeposition of nanotwinned copper structures
US10689774B2 (en) Control of current density in an electroplating apparatus
TWI498451B (en) Plating apparatus
US20040262150A1 (en) Plating device
US6747734B1 (en) Apparatus and method for processing a microelectronic workpiece using metrology
US20030066752A1 (en) Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processes based on metrology
US11610782B2 (en) Electro-oxidative metal removal in through mask interconnect fabrication
US20060081478A1 (en) Plating apparatus and plating method
US20110073469A1 (en) Electrochemical deposition system
US20040118694A1 (en) Multi-chemistry electrochemical processing system
KR20060063808A (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
KR20210091823A (en) Low-temperature copper-copper direct bonding
KR20200059309A (en) Convection optimization for mixed feature electroplating
JP2005097732A (en) Plating apparatus
CN114514340A (en) Differential contrast plating for advanced packaging applications
CN101369533B (en) Plating apparatus
TW202208701A (en) Electro-oxidative metal removal accompanied by particle contamination mitigation in semiconductor processing
TW202302922A (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: ACM RESEARCH, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WANG, HUI;REEL/FRAME:011746/0746

Effective date: 19990114

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION