US20020031909A1 - Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets - Google Patents

Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets Download PDF

Info

Publication number
US20020031909A1
US20020031909A1 US09/569,306 US56930600A US2002031909A1 US 20020031909 A1 US20020031909 A1 US 20020031909A1 US 56930600 A US56930600 A US 56930600A US 2002031909 A1 US2002031909 A1 US 2002031909A1
Authority
US
United States
Prior art keywords
alloy
film
temperature
silicon
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/569,306
Inventor
Cyril Cabral
Kevin Chan
Guy Cohen
Christian Lavoie
Ronnen Roy
Paul Solomon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US09/569,306 priority Critical patent/US20020031909A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, KEVIN KOK, COHEN, GUY MOSHE, ROY, RONNEN ANDREW, SOLOMON, PAUL MICHAEL
Priority to KR10-2001-0020839A priority patent/KR100479793B1/en
Priority to JP2001137754A priority patent/JP3535475B2/en
Priority to US09/902,483 priority patent/US6987050B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAVOIE, CHRISTIAN, CABRAL, CYRIL JR.
Assigned to NAVY, SECRETARY OF THE, UNITED STATE OF AMERICA reassignment NAVY, SECRETARY OF THE, UNITED STATE OF AMERICA CONFIRMATORY LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Publication of US20020031909A1 publication Critical patent/US20020031909A1/en
Assigned to NAVY SECRETARY OF THE UNITED STATES reassignment NAVY SECRETARY OF THE UNITED STATES CONFIRMATORY LICENSE (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Priority to US10/989,639 priority patent/US20060043484A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Definitions

  • the present invention generally relates to silicon-on-insulator (SOI) MOSFETs and specifically, a self-aligned silicide (salicide) process for thin film SOI MOSFETs having low resistivity contacts.
  • SOI silicon-on-insulator
  • silicide self-aligned silicide
  • the conventional salicide process has been limited to bulk or thick SOI films (e.g., for purposes of the invention, a “bulk” or “thick” SOI film is thicker than 100 nm). Reduction of a SOI film thickness to an estimated 10 nm precludes the use of conventional salicide. That is, if the amount of silicon consumed by the formation of the silicide alloy becomes a large portion of the initial SOI film thickness, then the contact area will decrease, leading to an increase in the contact resistance. Further, even if a conventional salicide was used with thin films, there is no guarantee of low parasitic resistance because an ultra-thin silicon film may be completely consumed during the silicide formation. Further, the conventional salicide process can form a metal-rich silicide which is characterized by higher resistance.
  • the silicide layer is made extremely thin (e.g., less than 30 nm) to avoid consuming the thin SOI film, then the silicide layer loses its efficiency in reducing the series resistance. For example, if the silicide is in the thick regime, then a reduction of the silicide thickness would roughly yield a proportional increase in the series resistance. This linear behavior would hold down to about 20 nm (depending on the silicide metal). A thinner silicide film may exhibit nucleation problems and some of the phases may not form. All of this would lead to a very steep increase in the contact resistance.
  • the series parasitic resistance must be minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs.
  • the conventional salicide process is not applicable to the production of ultra-thin SOI MOSFETs, and therefore a new salicide process is required to overcome the problems of the conventional method.
  • an object of the present invention is to provide a new salicide process applicable to the production of ultra-thin SOI MOSFETs (e.g., having a thickness substantially within a range of about 3 nm to about 100 nm).
  • a further object of the invention is to stay within the thermal budget allowed for the production of conventional MOSFETs using the conventional salicide process.
  • the thermal budget consists of both the temperature and the time length at which the wafer was held at a given temperature.
  • RTA rapid thermal annealing
  • the wafer is annealed by rapid thermal annealing (RTA) to form the silicide alloy.
  • RTA rapid thermal annealing
  • the wafer is annealed at about 750° C. for 60 seconds.
  • a method for fabricating a semiconductor device includes depositing a buried oxide layer on a substrate, applying a silicon layer to the buried oxide layer, forming a source and drain in the silicon layer, forming a gate on the layer of silicon, and depositing a metal on the gate and the source and drain, to form the silicide for the semiconductor device.
  • a silicide processing method for a thin film silicon-on-insulator (SOI) device includes depositing a metal on a gate and a source and drain formed in a silicon-on-insulator (SOI) film, reacting the metal at a first temperature with the SOI film to form a first alloy, selectively etching the unreacted layer of the metal, depositing a Si film on the first alloy, reacting the Si film at a second temperature to form a second alloy, and selectively etching the unreacted layer of the Si film.
  • SOI silicon-on-insulator
  • a thin-film of cobalt (Co) is deposited on a substrate and is reacted with silicon (Si) at a low temperature to form an alloy of Co 2 Si (e.g., having a metal-rich phase).
  • Si silicon
  • the Co which is not reacted is removed by selective etching.
  • This step is similar to the etching step in the conventional salicide processing, but in the conventional process, a higher temperature anneal is used so the etching is usually performed at the CoSi formation stage.
  • a non-crystalline film of Si or poly-Si is deposited and subsequently annealed to form the alloy (CoSi 2 ) followed by selective etching of the un-reacted silicon.
  • the present invention extends the use of a salicide-like process to thin SOI films, which are expected to be used in future SOI MOSFETs.
  • Such thin-film SOI films will be advantageous in making the devices smaller, reducing the source/drain to substrate overlap capacitance, and eliminating the floating body voltage.
  • the invention provides a superior solution to the alternative method(s) which include a raised source/drain by epitaxy.
  • FIGS. 1 - 6 illustrate a self-aligned method for forming low resistivity contacts to thin film SOI MOSFETs, and more specifically:
  • FIG. 1 shows a conventional MOSFET device to be silicided
  • FIG. 2 shows a thin film of metal (e.g., Co) deposited on the device of FIG. 1;
  • metal e.g., Co
  • FIG. 3 shows the formation of an alloy including the cobalt after exposure to a low-temperature processing
  • FIG. 4 shows an amorphous Si film deposition on the alloy
  • FIG. 5 shows the device after an annealing step at high temperature
  • FIG. 6 shows the device after un-reacted silicon has been removed by selective etching.
  • FIGS. 1 - 6 there is shown a preferred embodiment of the method of making of a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures according to the present invention.
  • a conventional MOSFET structure 100 is shown having a substrate 1 formed of silicon, a buried oxide layer 2 (e.g., silicon oxide layer), an SOI layer 3 which thickness noted by t si , a gate dielectric 6 A (e.g., SiO 2 ), sidewall spacers 6 B formed of nitride or oxide, a gate 7 (e.g. doped poly-Si, or metal), and a source 4 and a drain 5 maid into the SOI film 3 , typically by an implant.
  • a substrate 1 formed of silicon
  • a buried oxide layer 2 e.g., silicon oxide layer
  • SOI layer 3 which thickness noted by t si
  • a gate dielectric 6 A e.g., SiO 2
  • sidewall spacers 6 B formed of nitride or oxide
  • a gate 7 e.g. doped poly-Si, or metal
  • the inventive method is directed to making a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures.
  • the present invention will be applied to the conventional MOSFET of FIG. 1.
  • a metal 20 e.g., Co, Ni, Ti, Pd, Pt or alloys thereof
  • a metal 20 is deposited in a thickness within a range of about 7 nm, and is reacted with silicon in the source 4 , drain 5 , and gate 7 regions at a low temperature T 1 . It is noted that if the temperature is too low, no reaction will take place. On the other hand, if the temperature is too high, then the silicide phase of CoSi will be formed. Since the temperature window over which the metal-rich phase Co 2 Si is formed is narrow, it is difficult to achieve only this phase during the first anneal.
  • a mixture of 80% Co and 20% Si may be deposited (e.g., by co-sputtering or evaporation from a Si 0.2 Co 0.8 target).
  • the temperature window for the formation of the Co 2 Si out of the Si 0.2 Co 0.8 mixture is about 337° C. to about 487° C.
  • the use of a 80% Si and 20% Co to extend the temperature window is described in U.S. patent application Ser. No. ______, Cyril Carbal et. al, “Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging”, filed on ______, having IBM Docket No. YOR900-0044, incorporated herein by reference.
  • the alloy Co 2 Si 30 is formed, as a result of applying the low temperature (e.g., T 1 ) in the anneal process to the structure of FIG. 2.
  • T 1 low temperature
  • the thickness depends on the initial Co film thickness.
  • One angstrom of Co yields 1.47 angstroms of Co 2 Si.
  • the typical Co film thickness is about 7 nm.
  • An upper layer of the cobalt 20 (e.g., over the alloy Co 2 Si 30 ) is unreacted Co 20 .
  • FIG. 3 demonstrates a case in which some Co is left unreacted on top of the silicide. As described above, this is not desirable in a manufacturing process. Yet, even if this does happen, the overall process of the invention will not be affected except that the silicide film will be thinner than targeted. As such, the robustness of the process is clearly demonstrated. It is noted that in most cases, there will be no unreacted Co.
  • the unreacted cobalt 20 has a thickness dependent on the anneal time/temperature, and is removed through selective etching. That is, a too short anneal may leave some of the Co unreacted. If the temperature is too low, then the Co will not react with the SOI film.
  • This step is similar to the etching step in the conventional salicide process with the exception that in the conventional salicide process the un-reacted Co is etched at the stage where CoSi is formed. It is noted that the deposition of the a-Si may be carried out over the CoSi phase. However, by forming the CoSi phase, much more of the Si in the SOI layer will be consumed.
  • An example of a selective etching solution is 10:1 H 2 O 2 :H 2 SO 4 at 65° C.
  • an amorphous Si (a-Si) or a poly-Si film 40 is deposited over the alloy Co 2 Si 30 .
  • the a-Si film thickness depend on the initial Co film thickness.
  • One unit of Co would require 0.91 units of Si to form Co 2 Si, 1.82 units of Si to form CoSi and 3.64 units of Si to form CoSi 2 .
  • the process starts with a 7 nm Co film which are then reacted with the SOI film to form 10.3 nm film of Co 2 Si. Assuming that all the Co will diffuse into the top deposited a-Si, then it requires the a-Si film to be about 19 nm thick.
  • the amorphous silicon or polysilicon film 40 is annealed at a high temperature T 2 , (e.g., T 2 >T 1 ).
  • T 2 a high temperature
  • the temperature window for the formation of CoSi is about 481° C. to about 625° C. (at about 625° C., CoSi 2 will start to form).
  • Typical annealing temperature (T2) for the second anneal is about 750° C. These temperatures may vary slightly depending on the doping species and concentration that were implanted into the SOI film.
  • a layer of CoSi 2 50 is formed under an unreacted layer/portion 40 A of the amorphous silicon or polysilicon film 40 .
  • the thickness of the layer of un-reacted amorphous silicon/polysilicon layer 40 A depends on the initial thickness of the top a-Si layer 40 . It is desirable that all of the Co 2 Si is transformed into CoSi 2 , without consuming the entire a-Si layer.
  • the unreacted layer/portion 40 A results from the supply of Si from the a-Si exceeding that which is needed to form CoSi 2 . In other words, the a-Si layer was too thick.
  • FIG. 6 illustrates the selective etching of the layer of un-reacted a-Si or poly-Si film 40 in a last phase.
  • the reacting of the metal e.g., cobalt
  • the metal e.g., cobalt
  • the reacting of the metal (e.g., cobalt) in an annealing operation to initially form the alloy Co 2 Si 30 minimizes the silicon consumption of the SOI film 3 .
  • the deposit of the amorphous silicon or the polysilicon film 40 on top of the alloy Co 2 Si 30 further reduces consumption of the SOI film 3 by a factor of two since at least half of the Co contained in the Co 2 Si 30 will diffuse into the top amorphous silicon/polysilicon film layer 40 at the high temperature anneal which forms the CoSi 2 .
  • the diffusivity of cobalt in polysilicon may be larger than in single crystal (mono-crystal) silicon. Due to this diffusivity difference between polysilicon and single crystal silicon, the high temperature anneal will consume more of the top polysilicon layer than that of the bottom single crystal SOI film.
  • the invention can be modified so that the first anneal is at an intermediate temperature, T 3 (e.g., T 3 is about 550° C.), where CoSi is formed (T 1 ⁇ T 3 ⁇ T 2 ).
  • T 3 is about 550° C.
  • CoSi is formed (T 1 ⁇ T 3 ⁇ T 2 ).
  • the anneal process at this temperature will consume more of the SOI film than a Co 2 Si formation. However, it may provide a larger temperature window for the anneal.
  • the temperature window for Co 2 Si is about 20° C. wide if pure Co is used. It may be widened to about 100° C. by using Co 0.8 Si 0.2 . The window may shift and vary depending on the SOI doping. This makes it difficult to obtain the Co 2 Si phase if the window is narrow. If pure Co rather than Co 0.8 Si 0.2 is used, then it is easier to form CoSi due to its large temperature window of about 150° C.
  • the etch selectivity of CoSi, with respect to Co is higher than that of Co 2 Si with respect to Co.
  • the advantages of this selectivity include better reliability and precision of the resulting product.
  • the unreacted Co must be etched away. Otherwise, the source/drain regions will be shortened to the gate.
  • the etchant should be selective to CoSi. That is, it should only remove the Co and leave the CoSi alloy intact.
  • the etching selectivity is typically higher if the alloy contains less Co and more Si. Thus, CoSi is expected to be more resistant to the etch of Co than Co 2 Si. The remainder of the steps in the process are the same.
  • alternative conventional methodologies include thickening a SOI layer (by at least the amount that will be consumed by the silicide in source and drain regions by using selective epitaxial growth of silicon on these regions, fabricating different silicide thicknesses over gate, source and drain regions by laser melting, and the deposit of a silicon alloy (Co 1-x Si x , where x ⁇ 0.2) to limit the amount of silicon consumed at source, drain, and gates during silicide formation.
  • the epitaxial growth of Si is performed by thickening a SOI layer in the source/drain regions through selective epitaxial growth of Si in these regions.
  • This alternative has several disadvantages when compared to the process of the present invention.
  • the epitaxial growth has to be selective, otherwise Si growth will take place on the sidewalls of the device. This condition can lead to shorting the gate to the source and the drain. To avoid this problem, the choice of the sidewall material to use is narrowed because only growth-resistant materials can be selected.
  • the growth temperature is an important parameter in determining the selectivity of the growth.
  • the Si epitaxial growth loses selectivity at low growth temperatures. “Low growth temperatures” depend on the growth technique, and the sillicon source. The most selective source is SiCl 4 , but it also requires the highest deposition temperature (about 900° C. to 1200° C.). Silane (SiH 4 ) can be used for low temperature deposition (as low as about 650° C.), but it exhibits very little selectivity, if any. Therefore, a sufficiently high growth temperature (e.g., about 900° C.) is required to guarantee selectivity. The required high growth temperature may be in excess of the thermal budget incurred in the conventional salicide process.
  • a further problem with producing a raised source/drain by epitaxial growth of silicon is the process robustness. Silicon epitaxy is very sensitive to surface preparation and cleaning. Different surface treatments can lead to different defects in the film. Oxide residuals (e.g., even an atomic monolayer) can prevent epitaxial growth.
  • growth rate dependency on feature size can occur.
  • CVD chemical vapor deposition
  • the growth rate may be dependent on the topography, the dimensions of the growth area, and the ratio between the growth to non-growth areas. This may lead to a growth of different film thicknesses in devices that are embedded in different circuit layouts. This condition is an additional dimension that must be included in a manufacturing process, and hence require additional costs.
  • the present invention does not require epitaxy, and is therefore not limited by the difficulties imposed by epitaxy.
  • a second approach is siliciding by laser melting. This is a relatively new technique that allows the fabrication of different silicide thicknesses over the gate and over the source/drain region. This technique has not been applied in a manufacturing context and therefore its usage in practice is unknown. The throughput of the technique may be lower than that achieved with the other techniques such as raised source/drain, deposition of CoSi alloy, etc. Laser annealing is carried out per wafer, that is the wafers are processed sequentially, one at a time. Raised source/drain epitaxy and the present invention are parallel techniques in the sense that the entire wafer lot may be processed together (e.g., a single deposition is carried out on all wafers).
  • the invention uses conventional fabrication techniques, and does not have a throughput problem.
  • the thermal budget required by the invention is the same as in a conventional salicide process.
  • a third approach employs deposition of a Co 1-x Si x alloy to limit the source and drain silicon consumed during silicide formation. This approach is limited to a composition that has a sufficiently small concentration of Si (x ⁇ 0.2) permitting the alloy deposited on the oxide sidewalls to be removed by selective etching.
  • the invention can utilize this technique to further decrease the Si consumption by depositing Co 1-x Si x instead of pure Co as mentioned above.
  • the second advantage of Co 1-x Si x is the larger temperature window which is available for the formation of the metal-rich phase.
  • the present invention overcomes the above-mentioned and other problems of the conventional techniques and allows forming a silicon-on-insulator (SOI) MOSFET having ultra-thin silicon films and while preventing (or at least minimizing) the high source/drain series resistance and maintaining its efficiency.
  • SOI silicon-on-insulator
  • bulk or thick SOI films are unnecessary with the inventive method.
  • series parasitic resistance is minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs with the inventive method.
  • the method and structure of the present invention are not limited to a specific silicide-forming metal. Further, the invention, is not limited to one particular device as described above, but can also be used in devices with a non-planar source/drain region, such as a polysilicon sidewall source drain (e.g., see P. M. Solomon, H. -S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source Drain Contacts”, U.S. Pat. No. 5,773,331, Jun. 30, 1998, incorporated herein by reference; and T. Yoshimoto et al., “Silicided Silicon-Sidewall Source and Drain Structure for High Performance 75-nm gate length pMOSFETs,” 1995 Symposium on VLSI Technology , digest p.11).
  • a polysilicon sidewall source drain e.g., see P. M. Solomon, H. -S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source

Abstract

A silicide processing method for a thin film SOI device including depositing a metal or an alloy on a gate and a source/drain structure formed in a silicon-on-insulator film, reacting the metal or alloy at a first temperature with the silicon-on-insulator film to form a first alloy, etching the unreacted layer of the metal (or alloy) selectively, depositing a Si film on the first alloy, reacting the Si film at a second temperature to form a second alloy, and etching the unreacted layer of the Si film selectively.

Description

    U.S. GOVERNMENT RIGHTS IN THE INVENTION
  • [0001] The subject matter of the present Application was at least partially funded under the Grant No. N66001-97-1-8908 from the U.S. Defense Advanced Research Projects Agency (DARPA).
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to silicon-on-insulator (SOI) MOSFETs and specifically, a self-aligned silicide (salicide) process for thin film SOI MOSFETs having low resistivity contacts. [0003]
  • 2. Description of the Related Art [0004]
  • Conventionally, a reduction of a short channel effect in a silicon-on-insulator (SOI) MOSFET has been addressed by using ultra-thin silicon films (e.g., having a thickness substantially within a range of about 50 nm to about 3 nm). However, using an ultra-thin SOI film can result in high source/drain series resistance. A portion of the high source/drain series resistance can be reduced by using a self-aligned silicide (salicide) contact (e.g., for a discussion of salicides, see Lisa T. Su et al., “Optimization of the series resistance in sub—0.2 μm SOI MOSFET's”, [0005] Electron Device Letters, 15(9), p. 363, September 1994).
  • The conventional salicide process has been limited to bulk or thick SOI films (e.g., for purposes of the invention, a “bulk” or “thick” SOI film is thicker than 100 nm). Reduction of a SOI film thickness to an estimated 10 nm precludes the use of conventional salicide. That is, if the amount of silicon consumed by the formation of the silicide alloy becomes a large portion of the initial SOI film thickness, then the contact area will decrease, leading to an increase in the contact resistance. Further, even if a conventional salicide was used with thin films, there is no guarantee of low parasitic resistance because an ultra-thin silicon film may be completely consumed during the silicide formation. Further, the conventional salicide process can form a metal-rich silicide which is characterized by higher resistance. [0006]
  • In the case of a thin SOI film, the percentage of the SOI consumed by the silicide considerably affects the series resistance. It has been demonstrated that when 80% or more of the SOI layer is consumed, the series resistance begins to increase as a result of a reduction in the contact area (e.g., see Su et al., supra). [0007]
  • Alternatively, if the silicide layer is made extremely thin (e.g., less than 30 nm) to avoid consuming the thin SOI film, then the silicide layer loses its efficiency in reducing the series resistance. For example, if the silicide is in the thick regime, then a reduction of the silicide thickness would roughly yield a proportional increase in the series resistance. This linear behavior would hold down to about 20 nm (depending on the silicide metal). A thinner silicide film may exhibit nucleation problems and some of the phases may not form. All of this would lead to a very steep increase in the contact resistance. [0008]
  • The series parasitic resistance must be minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs. The conventional salicide process is not applicable to the production of ultra-thin SOI MOSFETs, and therefore a new salicide process is required to overcome the problems of the conventional method. [0009]
  • Further, the conventional method and structures are deficient in their silicide/SOI interface roughness. [0010]
  • SUMMARY OF THE INVENTION
  • In view of the foregoing and other problems of the conventional methods and structures, an object of the present invention is to provide a new salicide process applicable to the production of ultra-thin SOI MOSFETs (e.g., having a thickness substantially within a range of about 3 nm to about 100 nm). [0011]
  • It is a further object to provide a new salicide process in which less of the thin SOI film is consumed, produces a thicker SOI film in a source/drain region, and is a self-aligned process. [0012]
  • Additionally, a further object of the invention is to stay within the thermal budget allowed for the production of conventional MOSFETs using the conventional salicide process. The thermal budget consists of both the temperature and the time length at which the wafer was held at a given temperature. Typically, to minimize the thermal budget the wafer is annealed by rapid thermal annealing (RTA) to form the silicide alloy. For example, to form the CoSi[0013] 2 phase from the CoSi phase the wafer is annealed at about 750° C. for 60 seconds.
  • In a first aspect of the invention, a method for fabricating a semiconductor device, includes depositing a buried oxide layer on a substrate, applying a silicon layer to the buried oxide layer, forming a source and drain in the silicon layer, forming a gate on the layer of silicon, and depositing a metal on the gate and the source and drain, to form the silicide for the semiconductor device. [0014]
  • In a second aspect of the present invention, a silicide processing method for a thin film silicon-on-insulator (SOI) device, includes depositing a metal on a gate and a source and drain formed in a silicon-on-insulator (SOI) film, reacting the metal at a first temperature with the SOI film to form a first alloy, selectively etching the unreacted layer of the metal, depositing a Si film on the first alloy, reacting the Si film at a second temperature to form a second alloy, and selectively etching the unreacted layer of the Si film. [0015]
  • In the method of the present invention, preferably a thin-film of cobalt (Co) is deposited on a substrate and is reacted with silicon (Si) at a low temperature to form an alloy of Co[0016] 2Si (e.g., having a metal-rich phase). The Co which is not reacted is removed by selective etching.
  • This step is similar to the etching step in the conventional salicide processing, but in the conventional process, a higher temperature anneal is used so the etching is usually performed at the CoSi formation stage. After the etching step, a non-crystalline film of Si or poly-Si is deposited and subsequently annealed to form the alloy (CoSi[0017] 2) followed by selective etching of the un-reacted silicon.
  • In this manner, a reaction of Co to initially form Co[0018] 2Si, minimizes the silicon consumption of the thin SOI film. The consumption of the thin SOI film is additionally reduced by the deposition of a silicon or poly-silicon film on the Co2Si.
  • The present invention extends the use of a salicide-like process to thin SOI films, which are expected to be used in future SOI MOSFETs. Such thin-film SOI films will be advantageous in making the devices smaller, reducing the source/drain to substrate overlap capacitance, and eliminating the floating body voltage. [0019]
  • Further, the invention provides a superior solution to the alternative method(s) which include a raised source/drain by epitaxy.[0020]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, aspects and advantages will be better understood from the following detailed description of a preferred embodiment of the invention with reference to the drawings, in which: [0021]
  • FIGS. [0022] 1-6 illustrate a self-aligned method for forming low resistivity contacts to thin film SOI MOSFETs, and more specifically:
  • FIG. 1 shows a conventional MOSFET device to be silicided; [0023]
  • FIG. 2 shows a thin film of metal (e.g., Co) deposited on the device of FIG. 1; [0024]
  • FIG. 3 shows the formation of an alloy including the cobalt after exposure to a low-temperature processing; [0025]
  • FIG. 4 shows an amorphous Si film deposition on the alloy; [0026]
  • FIG. 5 shows the device after an annealing step at high temperature; and [0027]
  • FIG. 6 shows the device after un-reacted silicon has been removed by selective etching.[0028]
  • DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT OF THE INVENTION
  • Referring now to the drawings, and more particularly to FIGS. [0029] 1-6, there is shown a preferred embodiment of the method of making of a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures according to the present invention.
  • Referring now to FIG. 1, a [0030] conventional MOSFET structure 100 is shown having a substrate 1 formed of silicon, a buried oxide layer 2 (e.g., silicon oxide layer), an SOI layer 3 which thickness noted by tsi, a gate dielectric 6A (e.g., SiO2), sidewall spacers 6B formed of nitride or oxide, a gate 7 (e.g. doped poly-Si, or metal), and a source 4 and a drain 5 maid into the SOI film 3, typically by an implant.
  • The inventive method is directed to making a self-aligned silicide which is applicable to the standard MOSFET structure, and also to non-conventional MOSFETs and structures. For ease of discussion, the present invention will be applied to the conventional MOSFET of FIG. 1. [0031]
  • However, although the process flow is demonstrated using a conventional MOSFET structure, it is applicable to a wide variety of structures. Metals, other than Co, that are used for silicides (e.g., Ti, Ni, Pd, Pt and alloys thereof) can be used with the present invention. [0032]
  • Referring to FIG. 2, a metal [0033] 20 (e.g., Co, Ni, Ti, Pd, Pt or alloys thereof) is deposited in a thickness within a range of about 7 nm, and is reacted with silicon in the source 4, drain 5, and gate 7 regions at a low temperature T1. It is noted that if the temperature is too low, no reaction will take place. On the other hand, if the temperature is too high, then the silicide phase of CoSi will be formed. Since the temperature window over which the metal-rich phase Co2Si is formed is narrow, it is difficult to achieve only this phase during the first anneal. To extend the temperature window, a mixture of 80% Co and 20% Si may be deposited (e.g., by co-sputtering or evaporation from a Si0.2Co0.8 target). The temperature window for the formation of the Co2Si out of the Si0.2Co0.8 mixture is about 337° C. to about 487° C. The use of a 80% Si and 20% Co to extend the temperature window is described in U.S. patent application Ser. No. ______, Cyril Carbal et. al, “Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging”, filed on ______, having IBM Docket No. YOR900-0044, incorporated herein by reference.
  • As shown in FIG. 3, the alloy Co[0034] 2Si 30 is formed, as a result of applying the low temperature (e.g., T1) in the anneal process to the structure of FIG. 2. (The thickness depends on the initial Co film thickness. One angstrom of Co yields 1.47 angstroms of Co2Si. The typical Co film thickness is about 7 nm. Using the conversion ratio stated above, a 10.3 nm thick film of Co2Si will be obtained after the first anneal.) An upper layer of the cobalt 20 (e.g., over the alloy Co2Si 30) is unreacted Co 20.
  • That is, in the standard process, all of the Co which is deposited over a Si surface will react with the silicon surface and will form a silicide. On the other hand, the Co that was deposited over dielectric surfaces such as the oxide or nitride sidewalls cannot react with the Si surface and will remain as unreacted Co. FIG. 3 demonstrates a case in which some Co is left unreacted on top of the silicide. As described above, this is not desirable in a manufacturing process. Yet, even if this does happen, the overall process of the invention will not be affected except that the silicide film will be thinner than targeted. As such, the robustness of the process is clearly demonstrated. It is noted that in most cases, there will be no unreacted Co. [0035]
  • For example, the [0036] unreacted cobalt 20 has a thickness dependent on the anneal time/temperature, and is removed through selective etching. That is, a too short anneal may leave some of the Co unreacted. If the temperature is too low, then the Co will not react with the SOI film. This step is similar to the etching step in the conventional salicide process with the exception that in the conventional salicide process the un-reacted Co is etched at the stage where CoSi is formed. It is noted that the deposition of the a-Si may be carried out over the CoSi phase. However, by forming the CoSi phase, much more of the Si in the SOI layer will be consumed. An example of a selective etching solution is 10:1 H2O2:H2SO4 at 65° C.
  • Next, referring to FIG. 4, an amorphous Si (a-Si) or a poly-[0037] Si film 40 is deposited over the alloy Co2Si 30. The a-Si film thickness depend on the initial Co film thickness. One unit of Co would require 0.91 units of Si to form Co2Si, 1.82 units of Si to form CoSi and 3.64 units of Si to form CoSi2. For example, suppose the process starts with a 7 nm Co film which are then reacted with the SOI film to form 10.3 nm film of Co2Si. Assuming that all the Co will diffuse into the top deposited a-Si, then it requires the a-Si film to be about 19 nm thick. A more realistic assumption is that more than half but not all the Co will diffuse into the top film, so that a thinner film is actually needed. The amorphous silicon or polysilicon film 40 is annealed at a high temperature T2, (e.g., T2>T1). The temperature window for the formation of CoSi is about 481° C. to about 625° C. (at about 625° C., CoSi2 will start to form). Typical annealing temperature (T2) for the second anneal is about 750° C. These temperatures may vary slightly depending on the doping species and concentration that were implanted into the SOI film.
  • Hereinbelow, the amount of required Si in angstroms per angstroms of metal is described. Forming 1 angstrom of Co[0038] 2Si will take 0.91 angstrom of Si, CoSi will take 1.82 angstroms of Si, and CoSi2 will take 3.64 angstrom of Si. If the a-Si layer is deposited on top of the Co2Si film, then the Si consumption may be reduced by at least a half, since the Co2Si film would be reacting on both top and bottom interfaces.
  • It is important to clean the top surface of the Co[0039] 2Si and remove any native oxide before the a-Si film deposition. The existence of such an oxide at the interface may prevent the Co2Si to react with the deposited a-Si layer. The cleaning of the surface and the stripping of a native oxide may be achieved by Ar (argon) sputtering in the a-Si deposition chamber or by a short dip in a diluted HF acid.
  • As shown in FIG. 5, as a result of the annealing operation at a high temperature T[0040] 2, a layer of CoSi 2 50 is formed under an unreacted layer/portion 40A of the amorphous silicon or polysilicon film 40. The thickness of the layer of un-reacted amorphous silicon/polysilicon layer 40A depends on the initial thickness of the top a-Si layer 40. It is desirable that all of the Co2Si is transformed into CoSi2, without consuming the entire a-Si layer. The unreacted layer/portion 40A results from the supply of Si from the a-Si exceeding that which is needed to form CoSi2. In other words, the a-Si layer was too thick.
  • FIG. 6 illustrates the selective etching of the layer of un-reacted a-Si or poly-[0041] Si film 40 in a last phase.
  • Thus, with the invention, the reacting of the metal (e.g., cobalt) in an annealing operation to initially form the alloy Co[0042] 2Si 30 minimizes the silicon consumption of the SOI film 3.
  • Additionally, the deposit of the amorphous silicon or the [0043] polysilicon film 40 on top of the alloy Co2Si 30 further reduces consumption of the SOI film 3 by a factor of two since at least half of the Co contained in the Co2Si 30 will diffuse into the top amorphous silicon/polysilicon film layer 40 at the high temperature anneal which forms the CoSi2.
  • The diffusivity of cobalt in polysilicon may be larger than in single crystal (mono-crystal) silicon. Due to this diffusivity difference between polysilicon and single crystal silicon, the high temperature anneal will consume more of the top polysilicon layer than that of the bottom single crystal SOI film. [0044]
  • In an alternative embodiment, the invention can be modified so that the first anneal is at an intermediate temperature, T[0045] 3 (e.g., T3 is about 550° C.), where CoSi is formed (T1<T3<T2). The anneal process at this temperature will consume more of the SOI film than a Co2Si formation. However, it may provide a larger temperature window for the anneal.
  • The larger the temperature window, the easier it is to form a given silicide phase without the risk of obtaining a mix phase. The temperature window for Co[0046] 2Si is about 20° C. wide if pure Co is used. It may be widened to about 100° C. by using Co0.8Si0.2. The window may shift and vary depending on the SOI doping. This makes it difficult to obtain the Co2Si phase if the window is narrow. If pure Co rather than Co0.8Si0.2 is used, then it is easier to form CoSi due to its large temperature window of about 150° C.
  • Also, the etch selectivity of CoSi, with respect to Co, is higher than that of Co[0047] 2Si with respect to Co. The advantages of this selectivity include better reliability and precision of the resulting product. After the Co is reacted to form CoSi, the unreacted Co must be etched away. Otherwise, the source/drain regions will be shortened to the gate. The etchant should be selective to CoSi. That is, it should only remove the Co and leave the CoSi alloy intact. The etching selectivity is typically higher if the alloy contains less Co and more Si. Thus, CoSi is expected to be more resistant to the etch of Co than Co2Si. The remainder of the steps in the process are the same.
  • Thus, the present invention is optimized over the conventional techniques. That is, alternative conventional methodologies (e.g., which are less desirable when contrasted to the embodiments of the invention described above), include thickening a SOI layer (by at least the amount that will be consumed by the silicide in source and drain regions by using selective epitaxial growth of silicon on these regions, fabricating different silicide thicknesses over gate, source and drain regions by laser melting, and the deposit of a silicon alloy (Co[0048] 1-xSix, where x<0.2) to limit the amount of silicon consumed at source, drain, and gates during silicide formation.
  • As mentioned above, the epitaxial growth of Si is performed by thickening a SOI layer in the source/drain regions through selective epitaxial growth of Si in these regions. This alternative has several disadvantages when compared to the process of the present invention. [0049]
  • First, the epitaxial growth has to be selective, otherwise Si growth will take place on the sidewalls of the device. This condition can lead to shorting the gate to the source and the drain. To avoid this problem, the choice of the sidewall material to use is narrowed because only growth-resistant materials can be selected. [0050]
  • Further, the growth temperature is an important parameter in determining the selectivity of the growth. The Si epitaxial growth loses selectivity at low growth temperatures. “Low growth temperatures” depend on the growth technique, and the sillicon source. The most selective source is SiCl[0051] 4, but it also requires the highest deposition temperature (about 900° C. to 1200° C.). Silane (SiH4) can be used for low temperature deposition (as low as about 650° C.), but it exhibits very little selectivity, if any. Therefore, a sufficiently high growth temperature (e.g., about 900° C.) is required to guarantee selectivity. The required high growth temperature may be in excess of the thermal budget incurred in the conventional salicide process.
  • A further problem with producing a raised source/drain by epitaxial growth of silicon is the process robustness. Silicon epitaxy is very sensitive to surface preparation and cleaning. Different surface treatments can lead to different defects in the film. Oxide residuals (e.g., even an atomic monolayer) can prevent epitaxial growth. [0052]
  • Another problem with the epitaxial growth approach, known as growth rate dependency on feature size, can occur. In a chemical vapor deposition (CVD)-type epitaxy, the growth rate may be dependent on the topography, the dimensions of the growth area, and the ratio between the growth to non-growth areas. This may lead to a growth of different film thicknesses in devices that are embedded in different circuit layouts. This condition is an additional dimension that must be included in a manufacturing process, and hence require additional costs. The present invention does not require epitaxy, and is therefore not limited by the difficulties imposed by epitaxy. [0053]
  • A second approach is siliciding by laser melting. This is a relatively new technique that allows the fabrication of different silicide thicknesses over the gate and over the source/drain region. This technique has not been applied in a manufacturing context and therefore its usage in practice is unknown. The throughput of the technique may be lower than that achieved with the other techniques such as raised source/drain, deposition of CoSi alloy, etc. Laser annealing is carried out per wafer, that is the wafers are processed sequentially, one at a time. Raised source/drain epitaxy and the present invention are parallel techniques in the sense that the entire wafer lot may be processed together (e.g., a single deposition is carried out on all wafers). [0054]
  • The invention uses conventional fabrication techniques, and does not have a throughput problem. The thermal budget required by the invention is the same as in a conventional salicide process. [0055]
  • A third approach employs deposition of a Co[0056] 1-xSix alloy to limit the source and drain silicon consumed during silicide formation. This approach is limited to a composition that has a sufficiently small concentration of Si (x<0.2) permitting the alloy deposited on the oxide sidewalls to be removed by selective etching.
  • It is noted that the invention can utilize this technique to further decrease the Si consumption by depositing Co[0057] 1-xSix instead of pure Co as mentioned above. The second advantage of Co1-xSix is the larger temperature window which is available for the formation of the metal-rich phase.
  • Thus, the present invention overcomes the above-mentioned and other problems of the conventional techniques and allows forming a silicon-on-insulator (SOI) MOSFET having ultra-thin silicon films and while preventing (or at least minimizing) the high source/drain series resistance and maintaining its efficiency. Thus, bulk or thick SOI films are unnecessary with the inventive method. Further, series parasitic resistance is minimized in order to facilitate the fabrication of high performance thin film SOI MOSFETs with the inventive method. [0058]
  • While the invention has been described in terms of preferred embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims. [0059]
  • The method and structure of the present invention are not limited to a specific silicide-forming metal. Further, the invention, is not limited to one particular device as described above, but can also be used in devices with a non-planar source/drain region, such as a polysilicon sidewall source drain (e.g., see P. M. Solomon, H. -S. P. Wong, “Method for Making Single and Double Gate Field Effect Transistors with Sidewall Source Drain Contacts”, U.S. Pat. No. 5,773,331, Jun. 30, 1998, incorporated herein by reference; and T. Yoshimoto et al., “Silicided Silicon-Sidewall Source and Drain Structure for High Performance 75-nm gate length pMOSFETs,” 1995 [0060] Symposium on VLSI Technology, digest p.11).

Claims (25)

What is claimed is:
1. A method for fabricating a silicide for a semiconductor device, said method comprising:
depositing a buried oxide layer on a substrate;
applying a silicon layer to said buried oxide layer;
forming a source and drain in said silicon layer;
forming a gate on said layer of silicon; and
depositing a metal or an alloy on said gate and said source and drain, to form said silicide for said semiconductor device.
2. The method, as claimed in claim 1, further comprising:
reacting said metal or said alloy with said silicon to form a first alloy at said gate and said source/drain structure.
3. The method, as claimed in claim 1, wherein said semiconductor device comprises a metal oxide semiconductor field-effect transistor (MOSFET) device.
4. The method, as claimed in claim 1, wherein said metal is selected from one of a group consisting of cobalt, titanium, nickel, platinum, Ptx Si1-x alloy, palladium, Pdx Si1-x alloy, and Cox Si1-x alloy.
5. The method, as claimed in claim 2, wherein said reacting is performed at a first temperature.
6. The method, as claimed in claim 2, wherein said reacting is performed within a range of a first predetermined lower temperature to a second predetermined higher temperature.
7. The method, as claimed in claim 6, wherein said reacting is performed at a third temperature, said third temperature being intermediate said first and second temperatures.
8. The method, as claimed in claim 2, wherein said first alloy is an alloy selected from the group consisting of Co2 Si and Co Si.
9. The method, as claimed in claim 2, wherein said first alloy is formed under an unreacted layer of said metal or said alloy.
10. The method, as claimed in claim 9, further comprising:
etching said unreacted layer of said metal or said alloy selectively;
depositing a Si film on said first alloy; and
reacting said Si film to form a second alloy.
11. The method, as claimed in claim 10, wherein said film is a film selected from the group consisting of a single crystal Si film and a polysilicon film.
12. The method, as claimed in claim 10, wherein said reacting said Si film is performed at a second temperature.
13. The method, as claimed in claim 10, wherein said second alloy is formed under an unreacted layer of said Si film.
14. The method, as claimed in claim 13, wherein said second alloy is CoSi2.
15. The method, as claimed in claim 13, further comprising:
etching said unreacted layer of said Si film selectively.
16. A silicide processing method for a thin film silicon-on-insulator (SOI) device, said method comprising:
depositing a metal or an alloy on a gate and a source and drain formed in a silicon-on-insulator (SOI) film;
reacting said metal or said alloy at a first temperature with said SOI film to form a first alloy;
selectively etching said unreacted layer of said metal or said alloy;
depositing a Si film on said first alloy; and
reacting said Si film at a second temperature to form a second alloy.
17. The method, as claimed in claim 16, wherein said reacting of said Si film at said second temperature reduces consumption of said silicon-on-insulator film by at least a factor of two.
18. The method, as claimed in claim 16, further comprising selectively etching said unreacted layer of said metal or said alloy.
19. The method, as claimed in claim 16, wherein said second temperature is greater than said first temperature.
20. The method as claimed in claim 16, further comprising selectively etching said unreacted layer of said Si film.
21. The method, as claimed in claim 16, wherein said metal is selected from one of a group consisting of cobalt, titanium, nickel, platinum, Ptx Si1-x alloy, palladium, Pdx Si1-x alloy, and Cox Si1-x alloy.
22. The method, as claimed in claim 16, wherein said reacting is performed within a range of a first predetermined lower temperature to a second predetermined higher temperature.
23. The method, as claimed in claim 16, wherein said first alloy is an alloy selected from the group consisting of Co2Si and CoSi.
24. The method, as claimed in claim 16, wherein said film is a film selected from the group consisting of a single crystal Si film and a polysilicon film.
25. The method, as claimed in claim 16, wherein said second alloy is CoSi2.
US09/569,306 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets Abandoned US20020031909A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US09/569,306 US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
KR10-2001-0020839A KR100479793B1 (en) 2000-05-11 2001-04-18 A self-aligned silicide process for low resistivity contacts to thin film silicon-on-insulator mosfets
JP2001137754A JP3535475B2 (en) 2000-05-11 2001-05-08 Method for producing silicide for thin film SOI device
US09/902,483 US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US10/989,639 US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/569,306 US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/902,483 Continuation-In-Part US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions

Publications (1)

Publication Number Publication Date
US20020031909A1 true US20020031909A1 (en) 2002-03-14

Family

ID=24274883

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/569,306 Abandoned US20020031909A1 (en) 2000-05-11 2000-05-11 Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US09/902,483 Expired - Fee Related US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US10/989,639 Abandoned US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Family Applications After (2)

Application Number Title Priority Date Filing Date
US09/902,483 Expired - Fee Related US6987050B2 (en) 2000-05-11 2001-07-11 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk MOSFETS and for shallow junctions
US10/989,639 Abandoned US20060043484A1 (en) 2000-05-11 2004-11-17 Self-aligned silicide (salicide) process for low resistivity contacts to thin film silicon-on-insulator and bulk mosfets and for shallow junctions

Country Status (3)

Country Link
US (3) US20020031909A1 (en)
JP (1) JP3535475B2 (en)
KR (1) KR100479793B1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US20030062575A1 (en) * 2001-09-28 2003-04-03 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US20050064646A1 (en) * 2003-09-23 2005-03-24 Dureseti Chidambarrao NFETs using gate induced stress modulation
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US20070182030A1 (en) * 2006-02-03 2007-08-09 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20130146965A1 (en) * 2010-05-13 2013-06-13 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of cmos transistors
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US9105650B2 (en) 2012-09-12 2015-08-11 International Business Machines Corporation Lateral bipolar transistor and CMOS hybrid technology

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100343653B1 (en) * 2000-09-22 2002-07-11 윤종용 Semiconductor device with metal silicide layer and method of manufacturing the same
JP2002185011A (en) * 2000-12-19 2002-06-28 Seiko Epson Corp Semiconductor device
US20030235973A1 (en) * 2002-06-21 2003-12-25 Jiong-Ping Lu Nickel SALICIDE process technology for CMOS devices
JP2004140315A (en) * 2002-10-17 2004-05-13 Samsung Electronics Co Ltd Manufacturing method for semiconductor device using salicide process
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
AU2003303273A1 (en) * 2002-12-20 2004-07-14 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US7282443B2 (en) * 2003-06-26 2007-10-16 Micron Technology, Inc. Methods of forming metal silicide
JP5172083B2 (en) * 2004-10-18 2013-03-27 ルネサスエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and memory circuit
KR100560432B1 (en) * 2004-12-21 2006-03-13 한국전자통신연구원 Device and manufacturing method of n-type sbtt
US20060270224A1 (en) * 2005-02-08 2006-11-30 Seung-Chul Song Methods for forming metal-silicon layer using a silicon cap layer
DE102005024911A1 (en) * 2005-05-31 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Technique for reducing silicon nonuniformity by adjusting a vertical doping profile
KR20080019656A (en) * 2005-05-31 2008-03-04 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Technique for reducing silicide non-uniformities by adapting avertical dopant profile
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
US7606066B2 (en) 2005-09-07 2009-10-20 Innovative Silicon Isi Sa Memory cell and memory cell array having an electrically floating body transistor, and methods of operating same
JP5022614B2 (en) * 2006-03-20 2012-09-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7492632B2 (en) * 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
WO2007128738A1 (en) * 2006-05-02 2007-11-15 Innovative Silicon Sa Semiconductor memory cell and array using punch-through to program and read same
US20070284654A1 (en) * 2006-06-08 2007-12-13 Rubino Judith M Metal alloy layer over conductive region of transistor device of different conductive material than conductive region
US8069377B2 (en) 2006-06-26 2011-11-29 Micron Technology, Inc. Integrated circuit having memory array including ECC and column redundancy and method of operating the same
US7542340B2 (en) 2006-07-11 2009-06-02 Innovative Silicon Isi Sa Integrated circuit including memory array having a segmented bit line architecture and method of controlling and/or operating same
US7400015B1 (en) * 2007-01-15 2008-07-15 International Business Machines Corporation Semiconductor structure with field shield and method of forming the structure
WO2008090475A2 (en) 2007-01-26 2008-07-31 Innovative Silicon S.A. Floating-body dram transistor comprising source/drain regions separated from the gated body region
US7732298B2 (en) * 2007-01-31 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal salicide formation having nitride liner to reduce silicide stringer and encroachment
US8518774B2 (en) 2007-03-29 2013-08-27 Micron Technology, Inc. Manufacturing process for zero-capacitor random access memory circuits
KR101461206B1 (en) * 2007-05-17 2014-11-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
US8064274B2 (en) 2007-05-30 2011-11-22 Micron Technology, Inc. Integrated circuit having voltage generation circuitry for memory cell array, and method of operating and/or controlling same
US8085594B2 (en) 2007-06-01 2011-12-27 Micron Technology, Inc. Reading technique for memory cell with electrically floating body transistor
JP5460984B2 (en) * 2007-08-17 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP5268305B2 (en) 2007-08-24 2013-08-21 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
WO2009039169A1 (en) * 2007-09-17 2009-03-26 Innovative Silicon S.A. Refreshing data of memory cells with electrically floating body transistors
JP5394043B2 (en) * 2007-11-19 2014-01-22 株式会社半導体エネルギー研究所 Semiconductor substrate, semiconductor device using the same, and manufacturing method thereof
US8536628B2 (en) 2007-11-29 2013-09-17 Micron Technology, Inc. Integrated circuit having memory cell array including barriers, and method of manufacturing same
US8349662B2 (en) * 2007-12-11 2013-01-08 Micron Technology, Inc. Integrated circuit having memory cell array, and method of manufacturing same
US8773933B2 (en) 2012-03-16 2014-07-08 Micron Technology, Inc. Techniques for accessing memory cells
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US8189376B2 (en) * 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
US7957206B2 (en) * 2008-04-04 2011-06-07 Micron Technology, Inc. Read circuitry for an integrated circuit having memory cells and/or a memory cell array, and method of operating same
JP5547877B2 (en) * 2008-05-23 2014-07-16 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20100032759A1 (en) * 2008-08-11 2010-02-11 International Business Machines Corporation self-aligned soi schottky body tie employing sidewall silicidation
US8492238B2 (en) * 2008-08-14 2013-07-23 Board Of Regents, The University Of Texas System Method and apparatus for fabricating piezoresistive polysilicon by low-temperature metal induced crystallization
US7947543B2 (en) * 2008-09-25 2011-05-24 Micron Technology, Inc. Recessed gate silicon-on-insulator floating body device with self-aligned lateral isolation
US7933140B2 (en) 2008-10-02 2011-04-26 Micron Technology, Inc. Techniques for reducing a voltage swing
US7924630B2 (en) * 2008-10-15 2011-04-12 Micron Technology, Inc. Techniques for simultaneously driving a plurality of source lines
US8223574B2 (en) * 2008-11-05 2012-07-17 Micron Technology, Inc. Techniques for block refreshing a semiconductor memory device
US8213226B2 (en) 2008-12-05 2012-07-03 Micron Technology, Inc. Vertical transistor memory cell and array
US8319294B2 (en) * 2009-02-18 2012-11-27 Micron Technology, Inc. Techniques for providing a source line plane
US8710566B2 (en) 2009-03-04 2014-04-29 Micron Technology, Inc. Techniques for forming a contact to a buried diffusion layer in a semiconductor memory device
US8748959B2 (en) 2009-03-31 2014-06-10 Micron Technology, Inc. Semiconductor memory device
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US8498157B2 (en) 2009-05-22 2013-07-30 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8537610B2 (en) 2009-07-10 2013-09-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9076543B2 (en) * 2009-07-27 2015-07-07 Micron Technology, Inc. Techniques for providing a direct injection semiconductor memory device
US8199595B2 (en) * 2009-09-04 2012-06-12 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8236661B2 (en) * 2009-09-28 2012-08-07 International Business Machines Corporation Self-aligned well implant for improving short channel effects control, parasitic capacitance, and junction leakage
US8174881B2 (en) 2009-11-24 2012-05-08 Micron Technology, Inc. Techniques for reducing disturbance in a semiconductor device
US8310893B2 (en) * 2009-12-16 2012-11-13 Micron Technology, Inc. Techniques for reducing impact of array disturbs in a semiconductor memory device
US8324110B2 (en) * 2010-02-02 2012-12-04 International Business Machines Corporation Field effect transistor (FET) and method of forming the FET without damaging the wafer surface
US8416636B2 (en) * 2010-02-12 2013-04-09 Micron Technology, Inc. Techniques for controlling a semiconductor memory device
US8411513B2 (en) * 2010-03-04 2013-04-02 Micron Technology, Inc. Techniques for providing a semiconductor memory device having hierarchical bit lines
US8576631B2 (en) 2010-03-04 2013-11-05 Micron Technology, Inc. Techniques for sensing a semiconductor memory device
US8369177B2 (en) * 2010-03-05 2013-02-05 Micron Technology, Inc. Techniques for reading from and/or writing to a semiconductor memory device
EP3511982A1 (en) 2010-03-15 2019-07-17 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8445371B2 (en) 2010-04-07 2013-05-21 International Business Machines Corporation Self-aligned contacts
US8367508B2 (en) 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
US8411524B2 (en) 2010-05-06 2013-04-02 Micron Technology, Inc. Techniques for refreshing a semiconductor memory device
US8531878B2 (en) 2011-05-17 2013-09-10 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
US8922003B2 (en) 2012-01-19 2014-12-30 Globalfoundries Singapore Pte. Ltd. Low OHMIC contacts
US9240454B1 (en) * 2014-10-22 2016-01-19 Stmicroelectronics, Inc. Integrated circuit including a liner silicide with low contact resistance
KR102349420B1 (en) * 2015-02-17 2022-01-10 삼성전자 주식회사 Method for fabricating metal silicide layer and method for fabricating semiconductor device using the same
US9853148B2 (en) * 2016-02-02 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power MOSFETs and methods for manufacturing the same
CN107393932B (en) * 2017-07-20 2020-09-01 昆山龙腾光电股份有限公司 Metal oxide thin film transistor array substrate and manufacturing method thereof
US20200024722A1 (en) * 2018-07-17 2020-01-23 Applied Materials, Inc. Method of forming nickel silicide materials
US11264481B2 (en) 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994191A (en) * 1998-07-09 1999-11-30 Advanced Micro Devices, Inc. Elevated source/drain salicide CMOS technology
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US6015752A (en) * 1998-06-30 2000-01-18 Advanced Micro Devices, Inc. Elevated salicide technology
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6165903A (en) * 1998-11-04 2000-12-26 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with deposited silicon layer to reduce silicon consumption during salicidation
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6239492B1 (en) * 1996-05-08 2001-05-29 Micron Technology, Inc. Semiconductor structure with a titanium aluminum nitride layer and method for fabricating same
US6297148B1 (en) * 1999-08-19 2001-10-02 Advanced Micro Devices, Inc. Method of forming a silicon bottom anti-reflective coating with reduced junction leakage during salicidation
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US20020009856A1 (en) * 1999-06-29 2002-01-24 Jun Kanamori Method of fabricating a semiconductor device with self- aligned silicide areas formed using a supplemental silicon overlayer
US20020106879A1 (en) * 1999-09-01 2002-08-08 Salman Akram Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US20030068883A1 (en) * 2000-11-15 2003-04-10 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET on SiGe and structure formed thereby
US20030132487A1 (en) * 2001-06-07 2003-07-17 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4443930A (en) 1982-11-30 1984-04-24 Ncr Corporation Manufacturing method of silicide gates and interconnects for integrated circuits
JP2582776B2 (en) 1987-05-12 1997-02-19 株式会社東芝 Semiconductor device and manufacturing method thereof
JPH06140428A (en) * 1992-10-26 1994-05-20 Sony Corp Transistor having soi structure and manufacture thereof
US5828131A (en) * 1993-10-29 1998-10-27 International Business Machines Corporation Low temperature formation of low resistivity titanium silicide
US5510295A (en) * 1993-10-29 1996-04-23 International Business Machines Corporation Method for lowering the phase transformation temperature of a metal silicide
US5624869A (en) * 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
JP3761918B2 (en) * 1994-09-13 2006-03-29 株式会社東芝 Manufacturing method of semiconductor device
JP2694126B2 (en) * 1995-02-06 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション Liquid crystal display device and method of manufacturing the same
US5962893A (en) 1995-04-20 1999-10-05 Kabushiki Kaisha Toshiba Schottky tunneling device
US5726081A (en) * 1995-10-18 1998-03-10 United Microelectronics Corp. Method of fabricating metal contact of ultra-large-scale integration metal-oxide semiconductor field effect transistor with silicon-on-insulator structure
JP3472422B2 (en) * 1996-11-07 2003-12-02 シャープ株式会社 Liquid crystal device manufacturing method
US5830775A (en) * 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US6266121B1 (en) * 1996-11-28 2001-07-24 Sharp Kabushiki Kaisha Liquid crystal display element and method of manufacturing same
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US6054386A (en) 1997-08-20 2000-04-25 Prabhakar; Venkatraman Process for forming silicon-on-insulator devices using a nitriding agent
TW475087B (en) * 1997-09-12 2002-02-01 Toshiba Corp Active matrix liquid crystal display device
JPH11177103A (en) 1997-12-15 1999-07-02 Nec Corp Semiconductor device
KR100486696B1 (en) * 1998-02-04 2006-04-21 삼성전자주식회사 Single electron transiston adopting metal-silicon oxide and fablication method thereof
US6100145A (en) * 1998-11-05 2000-08-08 Advanced Micro Devices, Inc. Silicidation with silicon buffer layer and silicon spacers
US6275345B1 (en) * 1998-12-02 2001-08-14 International Business Machines Corporation System and method for estimating a frequency of slider airbearing resonance
US6169005B1 (en) 1999-05-26 2001-01-02 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped amorphous silicon film during silicidation
US6541294B1 (en) * 1999-07-22 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP4363723B2 (en) * 1999-09-02 2009-11-11 東芝モバイルディスプレイ株式会社 Liquid crystal display
US6812977B1 (en) * 1999-11-22 2004-11-02 Minolta Co., Ltd. Liquid crystal element
US6747289B2 (en) * 2000-04-27 2004-06-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating thereof
JP4785229B2 (en) * 2000-05-09 2011-10-05 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
TWI286338B (en) * 2000-05-12 2007-09-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
US6555839B2 (en) 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6413386B1 (en) 2000-07-19 2002-07-02 International Business Machines Corporation Reactive sputtering method for forming metal-silicon layer
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6239492B1 (en) * 1996-05-08 2001-05-29 Micron Technology, Inc. Semiconductor structure with a titanium aluminum nitride layer and method for fabricating same
US5998807A (en) * 1996-09-27 1999-12-07 Siemens Aktiengesellschaft Integrated CMOS circuit arrangement and method for the manufacture thereof
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US6015752A (en) * 1998-06-30 2000-01-18 Advanced Micro Devices, Inc. Elevated salicide technology
US5994191A (en) * 1998-07-09 1999-11-30 Advanced Micro Devices, Inc. Elevated source/drain salicide CMOS technology
US6165903A (en) * 1998-11-04 2000-12-26 Advanced Micro Devices, Inc. Method of forming ultra-shallow junctions in a semiconductor wafer with deposited silicon layer to reduce silicon consumption during salicidation
US6433388B2 (en) * 1999-06-29 2002-08-13 Oki Electric Industry Co., Ltd Semiconductor device with self-aligned areas formed using a supplemental silicon overlayer
US20020009856A1 (en) * 1999-06-29 2002-01-24 Jun Kanamori Method of fabricating a semiconductor device with self- aligned silicide areas formed using a supplemental silicon overlayer
US6297148B1 (en) * 1999-08-19 2001-10-02 Advanced Micro Devices, Inc. Method of forming a silicon bottom anti-reflective coating with reduced junction leakage during salicidation
US20020106879A1 (en) * 1999-09-01 2002-08-08 Salman Akram Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6323130B1 (en) * 2000-03-06 2001-11-27 International Business Machines Corporation Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US20030068883A1 (en) * 2000-11-15 2003-04-10 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET on SiGe and structure formed thereby
US20030132487A1 (en) * 2001-06-07 2003-07-17 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6716708B2 (en) * 2001-06-07 2004-04-06 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030062575A1 (en) * 2001-09-28 2003-04-03 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device and semiconductor device
US6815298B2 (en) * 2001-09-28 2004-11-09 Kabushiki Kaisha Toshiba Method of forming a semiconductor device including forming an amorphous silicon layer over and reacting with a silicide layer
US20040245581A1 (en) * 2001-09-28 2004-12-09 Kabushiki Kaisha Toshiba Semiconductor device including forming an amorphous silicon layer over and reacting with a silicide layer
US7115905B2 (en) 2001-09-28 2006-10-03 Kabushiki Kaisha Toshiba Semiconductor device including forming an amorphous silicon layer over and reacting with a silicide layer
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US20050064646A1 (en) * 2003-09-23 2005-03-24 Dureseti Chidambarrao NFETs using gate induced stress modulation
US20060145274A1 (en) * 2003-09-23 2006-07-06 International Business Machines Corporation NFETs using gate induced stress modulation
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US20060237791A1 (en) * 2004-06-30 2006-10-26 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7459752B2 (en) 2004-06-30 2008-12-02 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US20070182030A1 (en) * 2006-02-03 2007-08-09 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20080048263A1 (en) * 2006-02-03 2008-02-28 Ibm High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US7790527B2 (en) * 2006-02-03 2010-09-07 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US8772876B2 (en) 2006-02-03 2014-07-08 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US20130146965A1 (en) * 2010-05-13 2013-06-13 International Business Machines Corporation Methodology for fabricating isotropically recessed drain regions of cmos transistors
US8716798B2 (en) 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US9006108B2 (en) 2010-05-13 2015-04-14 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US9105650B2 (en) 2012-09-12 2015-08-11 International Business Machines Corporation Lateral bipolar transistor and CMOS hybrid technology

Also Published As

Publication number Publication date
KR20010104623A (en) 2001-11-26
US20020022366A1 (en) 2002-02-21
JP3535475B2 (en) 2004-06-07
US6987050B2 (en) 2006-01-17
US20060043484A1 (en) 2006-03-02
JP2001358156A (en) 2001-12-26
KR100479793B1 (en) 2005-03-30

Similar Documents

Publication Publication Date Title
US20020031909A1 (en) Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US6503833B1 (en) Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US6562718B1 (en) Process for forming fully silicided gates
JP4524064B2 (en) Self-aligned silicide process and structure formed thereby
US6952040B2 (en) Transistor structure and method of fabrication
US7397091B2 (en) SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US20070128867A1 (en) Method for enhanced uni-directional diffusion of metal and subsequent silicide formation
JP2586345B2 (en) Semiconductor device comprising cobalt silicide film and method of manufacturing the device
US6323130B1 (en) Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
US20060024896A1 (en) Method for fabricating metal-oxide-semiconductor transistor with selective epitaxial growth film
WO2005086567A2 (en) Silicide formed from ternary metal alloy films
Öztürk et al. Rapid thermal chemical vapor deposition of germanium on silicon and silicon dioxide and new applications of Ge in ULSI technologies
US6432805B1 (en) Co-deposition of nitrogen and metal for metal silicide formation
US7320938B2 (en) Method for reducing dendrite formation in nickel silicon salicide processes
JPH09320988A (en) Semiconductor device and its manufacture
KR100395776B1 (en) Method for manufacturing a silicide layer of semiconductor device
JPH05304108A (en) Semiconductor device and fabrication thereof
US20080280439A1 (en) Optimal concentration of platinum in a nickel film to form and stabilize nickel monosilicide in a microelectronic device
WO2003096407A1 (en) Method for forming a nickel silicide layer on a silicon substrate
JPH05304109A (en) Fabrication of semiconductor device
JP2004363257A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAN, KEVIN KOK;COHEN, GUY MOSHE;ROY, RONNEN ANDREW;AND OTHERS;REEL/FRAME:010792/0903

Effective date: 20000508

AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CABRAL, CYRIL JR.;LAVOIE, CHRISTIAN;REEL/FRAME:012184/0309;SIGNING DATES FROM 20010716 TO 20010717

AS Assignment

Owner name: NAVY, SECRETARY OF THE, UNITED STATE OF AMERICA, V

Free format text: CONFIRMATORY LICENSE;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:012414/0102

Effective date: 20001008

AS Assignment

Owner name: NAVY SECRETARY OF THE UNITED STATES, VIRGINIA

Free format text: CONFIRMATORY LICENSE;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:015791/0570

Effective date: 20040301

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION