US20020137269A1 - Method for forming raised structures by controlled selective epitaxial growth of facet using spacer - Google Patents

Method for forming raised structures by controlled selective epitaxial growth of facet using spacer Download PDF

Info

Publication number
US20020137269A1
US20020137269A1 US10/046,497 US4649701A US2002137269A1 US 20020137269 A1 US20020137269 A1 US 20020137269A1 US 4649701 A US4649701 A US 4649701A US 2002137269 A1 US2002137269 A1 US 2002137269A1
Authority
US
United States
Prior art keywords
epitaxial layer
layer
substrate
forming
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/046,497
Inventor
Er-Xuan Ping
Jeffrey McKee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mosaid Technologies Inc
Original Assignee
Er-Xuan Ping
Mckee Jeffrey A.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Er-Xuan Ping, Mckee Jeffrey A. filed Critical Er-Xuan Ping
Priority to US10/046,497 priority Critical patent/US20020137269A1/en
Publication of US20020137269A1 publication Critical patent/US20020137269A1/en
Priority to US10/379,494 priority patent/US20030164513A1/en
Priority to US11/512,478 priority patent/US20060289902A1/en
Priority to US11/512,574 priority patent/US20060284269A1/en
Assigned to MOSAID TECHNOLOGIES INCORPORATED reassignment MOSAID TECHNOLOGIES INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MOSAID TECHNOLOGIES INCORPORATED reassignment MOSAID TECHNOLOGIES INCORPORATED CORRECTIVE ASSIGNMENT TO CORRECT THE TO CORRECT THE ASSIGNEES' ADDRESS PREVIOUSLY RECORDED ON REEL 023220 FRAME 0243. ASSIGNOR(S) HEREBY CONFIRMS THE MOSAID TECHNOLOGIES INCORPORATED 6 SAUBLE DRIVE, SUITE 203, OTTAWA,ONTARIO, CANADA K2K 2X1. Assignors: MICRON TECHNOLOGY, INC.
Assigned to ROYAL BANK OF CANADA reassignment ROYAL BANK OF CANADA U.S. INTELLECTUAL PROPERTY SECURITY AGREEMENT (FOR NON-U.S. GRANTORS) - SHORT FORM Assignors: 658276 N.B. LTD., 658868 N.B. INC., MOSAID TECHNOLOGIES INCORPORATED
Priority to US13/407,855 priority patent/US9685536B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate

Definitions

  • the present invention relates to the field of semiconductor device fabrication, and more particularly to vertical transistors and other raised structures of a semiconductor device that are formed by controlled selective epitaxial growth.
  • DRAMs High density dynamic random access memory
  • FET field-effect transistor
  • storage capacitor In DRAM fabrication, there is a continuing need to provide higher density memories in order to further increase data storage capacity.
  • the present invention relates to elevated structures such as transistors and raised source/drain regions formed on a semiconductor substrate by controlled growth of epitaxial layers, and methods for forming such structures.
  • the invention utilizes selective epitaxial growth (SEG) to form vertically oriented structures on semiconductor substrates.
  • Crystal growth by SEG along a select facet to form a vertically oriented structure cannot be controlled by varying the growth conditions due to the existence of facets on the crystal having different orientations i.e., ( 100 ), ( 110 ), ( 111 ).
  • control is needed to achieve vertically oriented epitaxial growth and eliminate lateral or horizontal growth that can short circuit closely positioned adjacent devices.
  • the present method employs insulative spacers formed over the sidewalls of the epitaxial layers to eliminate unwanted lateral growth and control the growth of the epitaxial film.
  • the present invention provides a method for forming a vertical structure on a semiconductive substrate by selective epitaxial growth.
  • An exemplary semiconductive substrate comprises monocrystalline silicon having a ( 100 ) orientation.
  • a vertical structure can be formed on a semiconductive substrate.
  • the method involves selectively growing a first epitaxial layer of monocrystalline silicon on the surface of the substrate. Prior to the SEG step, it is desirable to remove oxide from the area on the substrate where the structure is to be formed, for example, by a dry oxide etch.
  • the semiconductive substrate is exposed to a silicon-comprising gas in an epitaxial (epi) growth chamber for a time and under conditions effective to form an epitaxial layer of monocrystalline silicon having a faceted surface.
  • the epitaxial layer comprises a single silicon crystal having vertically oriented sidewalls and a top horizontal surface, preferably defining a facet having a ( 100 ) plane orientation.
  • a thin film of insulative material is formed over the epitaxial layer.
  • the insulation layer is formed by rapid thermal annealing, i.e., rapid thermal oxidation (RTO) to form an oxide film, or by rapid thermal nitridation (RTN) to form a nitride film.
  • RTO rapid thermal oxidation
  • RTN rapid thermal nitridation
  • a portion of the insulative layer is then removed, preferably by reactive ion etching (RIE), to expose only the top (horizontal) surface of the epitaxial layer, with the insulative material remaining along the sidewalls as a spacer.
  • a second epitaxial layer of monocrystalline silicon is grown by SEG on the exposed horizontal surface of the initial epitaxial layer.
  • a thin insulative film is then formed over the second epitaxial layer. Further epitaxial layers can be similarly added to increase the height of the structure as desired, by repeating the foregoing steps.
  • the resultant vertically-oriented structure comprises multiple epitaxial layers having insulated sidewalls, with the uppermost layer having an insulated top surface.
  • the structure can function, for example, as a vertical gate or word line of a DRAM cell, in which case it is preferred that the semiconductive substrate underlying the structure is lightly doped with a conductivity enhancing material.
  • Source/drain regions can be formed adjacent to the structure by conventional methods, or as an elevated structure by the method of the invention, as described below.
  • a vertical structure of a desired height can be formed adjacent to an existing transistor gate or word line on a substrate.
  • the gate or word line can be formed by the method of the invention, or by conventional methods known in the art.
  • the structures comprise a sufficient amount of a conductivity enhancing dopant to effectively provide the source and drain regions.
  • the doping step can be performed during one or more SEG steps by flowing a silicon-comprising gas combined with a conductivity enhancing dopant onto the substrate, or after the structures have been formed by ion implantation.
  • a plurality of elevated transistors can be formed on a substrate so as to define an array of transistors.
  • the transistors can be isolated by areas of insulative material, such as shallow trench isolation regions comprising an oxide.
  • an elevated transistor can be formed on a semiconductive substrate, the transistor comprising a buried drain, a vertical gate, and an overlying source region.
  • the buried drain can be formed in a semiconductive substrate by conventional ion implantation processing.
  • An elevated gate can be formed by selectively growing an initial epitaxial layer of monocrystalline silicon on the substrate overlying the drain, depositing an insulative layer over the epitaxial layer, and selectively removing the horizontal surface of the insulative layer to expose only the top surface of the epitaxial layer.
  • Additional epitaxial layers can be added by repeating the SEG step, and depositing the insulative layer, and selectively removing the insulative layer to maintain insulative material along the sidewalls as spacers to limit the growth of the epitaxial layer in a vertical orientation, resulting in a pillar-like gate structure having a desired height.
  • a source region can then be formed by SEG above the uppermost epitaxial layer of the gate. To do so, a conductivity enhancing dopant can be added while the epitaxial layer is being deposited, or after the formed epitaxial layer is formed, for example, by ion implantation.
  • the invention provides raised structures comprising multiple layers of monocrystalline silicon formed by controlled selective epitaxial growth.
  • An exemplary structure is a transistor comprising source/drain diffusion regions adjacent to a transistor gate, one or more of the foregoing components of the transistor comprising multiple epitaxial layers having insulated sidewalls and a top surface.
  • the transistor gate comprises at least two overlying layers of epitaxially grown silicon, each epitaxial layer comprising a single silicon crystal having a top or upper surface defining a facet, preferably having a ( 100 ) plane orientation, and vertically-oriented and insulated sidewalls.
  • the uppermost epitaxial layer of the gate also has an insulated top surface, such that the gate is covered by a layer of insulative material.
  • the gate is a vertical structure that is oriented in a vertical plane from the substrate surface.
  • the source/drain comprises diffusion regions adjacent to the transistor gate within the semiconductive region, and can be formed according to known methods in the art.
  • the source/drain regions are elevated structures that extend in a vertical plane from the substrate.
  • the transistor gate comprises an existing vertical structure between the source/drain regions, which can be formed according to known methods in the art or in accordance with the invention.
  • the source/drain structures comprise at least two overlying layers of epitaxially grown silicon, each epitaxial layer comprising a single silicon crystal having a top surface and vertically oriented insulated sidewalls.
  • the top surface of the epitaxial layers defines a facet having a ( 100 ) plane orientation.
  • the top surface of the uppermost epitaxial layer is also insulated.
  • the source/drain regions also comprise a conductivity enhancing dopant that is added as the epitaxial layers are deposited, or afterwards to the formed structure by ion implantation prior to depositing the insulative layer onto the uppermost epitaxial layer of the structure.
  • both the transistor gate and the adjacent source/drain regions are vertical structures comprising multiple epitaxial layers having insulated sidewalls and an insulated top surface on the uppermost epitaxial layer.
  • a further embodiment of a transistor according to the invention comprises a drain buried within a semiconductive substrate, a vertical gate overlying the buried drain, and a source region overlying the gate.
  • the vertical gate comprises at least two overlying layers of epitaxially grown silicon having sidewalls covered by an insulative material, with the uppermost epitaxial layer having a layer of insulative material over its top surface.
  • the drain can comprise a doped area within the substrate underlying the gate.
  • the source region comprises at least one layer of epitaxially grown silicon overlying the uppermost layer of the gate.
  • the epitaxial layer of the source region has insulated sidewalls and on top surface, and is doped with a conductivity enhancing dopant.
  • the invention provides useful and improved vertically oriented structures such as transistor gates and elevated source/drain regions that extend outwardly from a substrate. Such structures are particularly suited for use in a DRAM cell or other semiconductor structure.
  • the vertical nature of the structures allows a larger number of transistors or other semiconductor structures per surface area compared to conventional devices.
  • FIG. 1A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence.
  • FIGS. 1B through 1H are views of the semiconductive wafer fragment of FIG. 1A at subsequent and sequential processing steps according to an embodiment of the method of the invention, showing fabrication of two elevated structures adjacent to a gate or word line.
  • FIG. 1I is a cross-sectional view of the semiconductive wafer fragment of FIG. 1H taken along lines 1 I- 1 I.
  • FIG. 2A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence.
  • FIGS. 2B through 2F are views of the semiconductive wafer fragment of FIG. 2A at subsequent and sequential processing steps to fabricate a vertical transistor including a raised source/drain formed according to an embodiment of the method of the invention.
  • FIG. 3A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence.
  • FIGS. 3B and 3C are views of the semiconductive wafer fragment of FIG. 3A at subsequent and sequential processing steps to fabricate a vertical transistor having a buried drain region and a stacked gate with an overlying source region according to an embodiment of the method of the invention.
  • the present invention encompasses methods of controlling growth of an epitaxial film in semiconductive wafer processing to form raised or vertical structures on a semiconductor surface, and structures formed from such methods, for example, transistors, capacitors, and elevated source/drain regions, among others.
  • the term “semiconductive wafer fragment” or “wafer fragment” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure including, but limited to, the semiconductive wafer fragments described above.
  • a first embodiment of a method of the present invention is described with reference to FIGS. 1A through 1I, in a method of forming raised source/drain structures by controlled selective epitaxial growth adjacent to an existing structure such as a gate or word line.
  • the epitaxial layers are selectively grown from exposed monocrystalline source and drain substrate areas, and provided with sufficiently high conductivity doping to effectively provide source and drain regions.
  • Semiconductive wafer fragment 10 comprises a substrate 12 having a surface 14 , and dielectric isolation regions 16 such as a shallow trench isolation (STI) region comprising an oxide.
  • the substrate 12 typically comprises monocrystalline silicon having a ( 100 ) orientation, and typically includes a light conductivity dopant concentration.
  • a structure 18 with an overlying insulative layer 20 is a word line or transistor gate.
  • the word line or gate 18 can be formed by conventional methods known and used in the art.
  • a polysilicon layer 24 can be deposited by chemical vapor deposition (CVD) or other suitable method over a thin pad oxide layer 22 (about 200 to about 500 angstroms) grown on the substrate 12 , and a silicide layer 26 can then be deposited by CVD or other method to form a polysilicon/silicide composite that is etched using a masking step, and covered with a thermally grown oxide insulative layer 20 , resulting in the word line or gate structure 18 .
  • CVD chemical vapor deposition
  • the word line or gate structure 18 is electrically isolated by means of the adjacent STI regions 16 .
  • the STI regions can also formed by conventional methods by etching a trench to a depth of about 1 micron or less into the substrate 12 , and filling the trench with an insulative material such as silicon dioxide (SiO 2 ).
  • An oxide layer 28 covers the substrate surface 14 adjacent the word line or gate structure 18 .
  • raised source/drain structures can be fabricated on a surface 14 of a semiconductive substrate adjacent to an existing word line or gate 18 by selective epitaxial growth (SEG).
  • SEG selective epitaxial growth
  • the source/drain regions 30 , 32 can be fabricated to a height that is greater than conventional structures without lateral growth that poses problems with short circuiting adjacent structures.
  • thin epitaxial layers comprising a single silicon crystal with a facet having a plane orientation of ( 100 ), ( 110 ) or ( 111 ) on its upper or top surface, preferably a plane orientation of ( 100 ), are selectively grown on the surface of a monocrystalline silicon substrate and on subsequent crystal layers within an epitaxial (epi) growth reactor.
  • the epitaxial layers have a thickness of up to about 200 nm, preferably about 50 to about 200 nm, preferably about 70 to about 100 nm.
  • the surface 14 of the substrate 12 is cleaned prior to the SEG step to remove oxides and other impurities.
  • the substrate 12 can undergo an oxide dry etch to remove an overlying oxide layer 28 and expose the surface 14 of the substrate.
  • the substrate can be etched by exposure to an H 2 gas at about 800° C. to about 850° C., or exposure to a reactive plasma such as NF 3 at about 100° C., in a chemical vapor deposition reactor.
  • Another example of a cleaning method is to soak the substrate 12 with 0.5 vol. % diluted hydrofluoric acid (HF) to remove a native oxide film formed on the substrate surface, wash the substrate in deionized water for about two minutes, and dry the substrate using a spin drier.
  • HF 0.5 vol. % diluted hydrofluoric acid
  • Other cleaning techniques can also be used to effectively clean the surface of the substrate.
  • a first layer 34 a of monocrystalline silicon is formed on the exposed surface by selective epitaxial growth.
  • the first layer 34 a comprises a single crystal 36 a that is preferably grown until a facet is formed on the top surface 38 a .
  • the facet surface can be a ( 100 ), ( 110 ) or ( 111 ) plane orientation, with a ( 100 ) plane orientation preferred.
  • the plane orientation can be determined by known techniques in the art, for example, by cross-section and measuring the angles between the substrate and epi film, for example by scanning electron microscope (SEM) or transmission electron microscope (TEM).
  • the growth (SEG) step is performed using a silicon-comprising precursor gas, for example, SiH 2 Cl 2 (dichlorosilane), SiH 4 (silane) with added chlorine, Si 2 H 6 (disilane) with added chlorine (Cl 2 ), HCl or H 2 , and SiCl 4 (silicon tetrachloride).
  • a silicon-comprising precursor gas for example, SiH 2 Cl 2 (dichlorosilane), SiH 4 (silane) with added chlorine, Si 2 H 6 (disilane) with added chlorine (Cl 2 ), HCl or H 2 , and SiCl 4 (silicon tetrachloride).
  • the gases become thermally dissociated and adsorb onto the silicon substrate whereupon hydrogen atoms are released and silicon is deposited epitaxially.
  • an epitaxial layer is grown selectively on the monocrystalline silicon substrate, with no growth taking place on insulative layers (e.g., SiO 2 and Si 3 N 4 layers), such as the STI regions 16 and the insulative layer 20 overlying portions of the epitaxial layer.
  • insulative layers e.g., SiO 2 and Si 3 N 4 layers
  • the epitaxial layer 34 a can be grown using a conventional selective silicon epitaxial (epi) growth apparatus (not shown), which is a batch- or single-wafer, chemical vapor deposition (CVD) system.
  • epi selective silicon epitaxial
  • an epi apparatus includes a growth chamber, a wafer heating source, an inlet for the precursor gases, a support for the silicon substrate (e.g., susceptor), and an exhaust system to remove effluent gases.
  • Single-wafer epitaxial reactors are manufactured, for example, by Applied Materials, Inc.
  • the semiconductive wafer is introduced into a growth chamber and transferred onto a heated susceptor.
  • the wafer is heated to about 450° C. to about 950° C., preferably about 650° C. to about 750° C.
  • the silicon-comprising precursor gas(es) are introduced into the growth chamber and flowed over the substrate at a low flow rate of about 10 to about 500 ccm, preferably less than about 100 sccm, for about 15 to about 30 seconds, while maintaining the chamber at a pressure of about 1 to about 20 Torr to provide a growth rate of about 20 to about 40 nm/minute, or at a pressure of about 0.02 to less than about 1 Torr to control facet growth at a lower rate of less than 20 nm/minute, preferably less than about 10 nm/minute to about 0.3 nm/minute.
  • This provides control of layer thickness and formation of crystalline facets ( 100 ), ( 110 ) or ( 111 ) on the top surface of the epitaxial layers.
  • a thin insulative layer 42 a is formed over the epitaxial layer 34 a .
  • the insulative layer 42 a is grown over the upper surface 38 a and sidewalls 40 a of the crystal 36 a , preferably by rapid thermal anneal processing.
  • the insulative layer 42 a can comprise oxide, nitride, oxidized nitride, or a composite oxide/nitride layer.
  • a thin silicon dioxide (SiO 2 ) layer 42 a can be formed by exposing the silicon surface to a dry oxygen (O 2 ) gas at a pressure of approximately 100 to about 200 Torr and temperature of about 800° C. to about 1200° C. for about 15 to about 60 seconds, to deposit a thin (about 5 nm to about 20 nm) oxide film.
  • a dry oxygen (O 2 ) gas at a pressure of approximately 100 to about 200 Torr and temperature of about 800° C. to about 1200° C. for about 15 to about 60 seconds, to deposit a thin (about 5 nm to about 20 nm) oxide film.
  • a thin silicon nitride (Si 3 N 4 ) layer 42 a can be formed using rapid thermal nitridation (RTN) by exposing the surface of the epitaxial layer 34 a to ammonia (NH 3 ) or nitrogen (N 2 ) gas at a pressure of approximately 100 to about 200 Torr and temperature of about 800° C. to about 1200° C. for about 15 to about 60 seconds to deposit a thin (about 2 nm to about 5 nm) nitride film over the exposed upper surface 38 a and sidewalls 40 a of the crystal 36 a.
  • RTN rapid thermal nitridation
  • a portion of the thin insulative layer 42 is then removed to expose only the top surface 38 a of the epitaxial layer 34 a , as shown in FIG. 1D.
  • the insulative material remaining on the vertical sidewall 40 a of the crystal 36 a forms a spacer 44 a .
  • An exemplary process for removing the insulative layer is by etching according to known procedures.
  • Exemplary etch gases for etching the insulative layer 42 a include fluorine-containing gases such as CF 4 , CHF 3 , CH 2 F 2 , C 2 F 6 , C 3 F 8 , C 4 F 8 , CH 3 F, CHF 3 /O 2 , CF 4 /O 2 , among others.
  • the insulative spacer 44 a inhibits subsequent epitaxial growth of silicon in a lateral direction extending from the sidewalls 40 a of the crystal 36 a . This limits growth of the silicon crystals to along the top surface 38 a of the crystal 36 a for continued epitaxial growth in a vertical direction from the substrate 12 .
  • a second epitaxial layer 34 b of silicon is selectively grown on the exposed top surface 38 a of the crystal 36 a , by exposure to a silicon-comprising gas in an epi growth chamber, as previously described.
  • the spacer 44 a previously formed along the sidewall 40 a of the crystal 36 a serves to prevent epitaxial growth of silicon crystals in a lateral or horizontal direction from the sidewall 40 a .
  • the second epitaxial layer 34 b comprises a single silicon crystal 36 b that is selectively epitaxially grown preferably to provide a facet on its top surface 38 b.
  • a thin insulative layer 42 b is then formed over the second epitaxial layer 34 b , for example, by rapid thermal annealing, as previously described.
  • a portion of the thin insulative film 42 b can then be etched to expose the top surface 38 b of the crystal 36 b , as shown in FIG. 1G.
  • a third epitaxial layer 34 c can be grown on the exposed top surface 38 b of the silicon crystal 36 b comprising the second epitaxial layer 34 b by a subsequent epitaxial growth step.
  • the single crystal 36 c is preferably grown until a facet is formed on the top surface 38 c .
  • the third epitaxial layer 34 c can then be thermally annealed to form a thin insulative layer 42 c over the crystal 36 c , to result in the raised source/drain structures 30 , 32 , depicted in FIGS. 1H and 1I.
  • the epitaxial layers 34 a , 34 b forming the source and drain diffusion regions 30 , 32 can be doped in situ to a p- or n-type conductivity by feeding a conductivity enhancing dopant to the reactor during one or more SEG process steps.
  • dopants include p-dopants such as diborane (B 2 H 6 ), boron trichloride (BCl 3 ) and boron trifluoride (BF 3 ), and n-dopants such as phosphine (PH 3 ) or arsine (AsH 3 ).
  • the conductivity enhancing dopant can be fed to the reactor during deposition at a variable rate, for example, from a lower rate to a later higher rate over time, to provide a concentration gradient through the thickness of the epitaxial layer.
  • the formed source/drain structures 30 , 32 can also be doped to a p- or n-type conductivity by a conventional doping technique known and used in the art, preferably by ion implantation, using a fluorine-based gas such as PF 3 , PF 5 , AsF 5 , and B 11 F 3 , in an ionization chamber.
  • a fluorine-based gas such as PF 3 , PF 5 , AsF 5 , and B 11 F 3
  • an elevated field effect transistor can be fabricated, as depicted in FIGS. 2A through 2F.
  • the resultant transistor 50 ′ is comprised of source/drain diffusion structures 30 ′, 32 ′ with a gate structure 18 ′ thereinbetween to impart an electric field to enable current to flow between the source 30 ′ and the drain 32 ′ regions.
  • a wafer fragment 10 ′ is shown before processing and includes a monocrystalline silicon substrate 12 ′ surrounded by STI regions 16 ′.
  • the monocrystalline silicon substrate 12 ′ is used as the substrate for the formation of the gate 18 ′ and the source/drain 30 ′, 32 ′ (shown in FIG. 2F).
  • the substrate 12 ′ includes a light conductivity dopant concentration.
  • Substrate 12 ′ can be provided with a selected p- or n-doping, depending upon whether an NMOS or a PMOS field effect transistor 50 ′ is being formed in the substrate region.
  • the surface 14 ′ of the substrate 12 ′ is covered by an oxide layer 28 ′.
  • an oxide dry etch step is first utilized to clear an opening portion 52 ′ in the oxide layer 28 ′ to expose the surface 14 ′ of the silicon substrate 12 ′ where the gate structure 56 ′ is to be fabricated.
  • Silicon epitaxial growth (SEG) is then performed as previously described with reference to FIGS. 1A through 1I, to form the gate structure 18 ′.
  • SEG Silicon epitaxial growth
  • FIG. 2C SEG is performed using the oxide layer 28 ′ with opening 52 ′ as a mask to form a first epitaxial layer 34 a ′ on the exposed substrate surface 14 ′.
  • the crystal 36 a ′ of the first epitaxial layer has a facet on its upper surface 38 a ′.
  • An insulative material is deposited over the epitaxial layer 34 a ′, and then removed to expose the top surface of the epitaxial layer. The remaining insulative material provides spacers on the sidewalls of the epitaxial layer.
  • One or more additional epitaxial layers can be grown as previously described with regard to FIGS. 1C through 1H, resulting in the gate structure 18 ′ shown in FIG. 2D.
  • the multi-layered gate structure 18 ′ is encapsulated in an overlying insulating layer 54 ′ comprised of the sidewall spacers and insulating layer formed onto the top surface of the uppermost epitaxial layer during the SEG processing steps.
  • Structures can then be formed adjacent to the gate structure 18 ′ as depicted in FIGS. 2E and 2F, and provided with sufficiently high conductivity doping to effectively provide source and drain regions 30 ′′, 32 ′′ of the transistor 50 ′.
  • the oxide layer 28 ′ is removed, preferably by an oxide dry etch, to expose the surface 14 ′ of the substrate 12 ′.
  • the raised source 30 ′′ and raised drain 32 ′′ are then fabricated by growing an epitaxial layer 34 a ′′ of monocrystalline silicon on the surface 14 ′ of the substrate 12 ′, depositing an insulative layer and removing the layer to expose only the top surface of the epitaxial layer 34 a ′′ and leaving an insulative spacer 44 a ′′ on the sidewalls of the crystal 36 a ′′, and growing a second epitaxial layer 34 b ′′ comprising a single silicon crystal 36 b ′′ followed by an insulative layer 44 b ′′ over the epitaxial layer 34 b ′′. Additional epitaxial layers can be grown as desired according to the foregoing steps to achieve the desired height of the structure. In a raised source/drain application, a minimum height of about 10 nm to about 30 nm is desired.
  • the source and drain diffusion structures 30 ′′, 32 ′′ can be doped in situ to a p- or n-type conductivity by feeding a conductivity enhancing dopant to the reactor during the SEG steps, or after formation by ion implantation, as described above.
  • a transistor 50 ′′ can be fabricated as depicted in FIGS. 3A through 3C.
  • the transistor 50 ′′ includes a buried drain 32 ′′′, a vertical gate 18 ′′ comprising multiple epitaxial layers over the buried drain 32 ′′′, and a source region 30 ′′′ comprising one or more epitaxial layers above the gate 18 ′′.
  • the vertical nature of a transistor 50 ′′ comprising a buried drain region 32 ′′, a gate region 18 ′′ built over the drain, and a source region 30 ′′ overlying the gate, facilitates increased density memory structures in semiconductor fabrications.
  • the transistor 50 ′′ is fabricated by first forming a buried drain 32 ′′′ in the substrate 12 ′′ by heavily doping the drain region, about 50 m to about 1100 nm wide, by ion implantation.
  • An oxide dry etch step can be utilized, as shown in FIG. 3B, to clear an opening 52 ′′ in the oxide layer 28 ′′ to expose the silicon substrate surface 14 ′′ overlying the buried drain 32 ′′′, which defines the location of the gate structure 18 ′′.
  • a first epitaxial layer is grown on the exposed substrate surface 14 ′′ by SEG using the oxide layer 28 ′′ as a mask.
  • the gate region 18 ′′ having a desired height.
  • Each of the epitaxial layers of the gate comprise insulated sidewalls and a top surface.
  • the source region 30 ′′′ is formed above the gate structure 18 ′′ by growing one or more layers of epitaxial silicon above the uppermost epitaxial layer of the gate structure 18 ′′.
  • the source layer 30 ′′′ can be doped with an effective concentration of a conductivity enhancing dopant by feeding the dopant to the reactor during the SEG step, or by ion implantation with a dopant after the source layer 30 ′′ is formed.
  • the source layer 30 ′′ comprises insulated sidewalls and an insulated top surface.

Abstract

Raised structures comprising overlying silicon layers formed by controlled selective epitaxial growth, and methods for forming such raised-structure on a semiconductor substrate are provided. The structures are formed by selectively growing an initial epitaxial layer of monocrystalline silicon on the surface of a semiconductive substrate, and forming a thin film of insulative material over the epitaxial layer. A portion of the insulative layer is removed to expose the top surface of the epitaxial layer, with the insulative material remaining along the sidewalls as spacers to prevent lateral growth. A second epitaxial layer is selectively grown on the exposed surface of the initial epitaxially grown crystal layer, and a thin insulative film is deposited over the second epitaxial layer. Additional epitaxial layers are added as desired to provide a vertical structure of a desired height comprising multiple layers of single silicon crystals, each epitaxial layer have insulated sidewalls, with the uppermost epitaxial layer also with an insulated top surface. The resultant structure can function, for example, as a vertical gate of a DRAM cell, elevated source/drain structures, or other semiconductor device feature.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the field of semiconductor device fabrication, and more particularly to vertical transistors and other raised structures of a semiconductor device that are formed by controlled selective epitaxial growth. [0001]
  • BACKGROUND OF THE INVENTION
  • The storage capacity of a memory chip is dependent on the number of memory cells in the chip. High density dynamic random access memory (DRAMs) cells are comprised of two main components, a field-effect transistor (FET) and a storage capacitor. In DRAM fabrication, there is a continuing need to provide higher density memories in order to further increase data storage capacity. [0002]
  • Increasing circuit density in DRAM fabrication requires a reduction in the size of the FETs and storage capacitors of memory cells. As a solution to this problem, trench capacitors, vertically stacked capacitors, elevated source and drain structures, and other improved structures have been developed which require less surface area. However, photolithographic processing limits the minimal size of the feature and the resulting device that can be formed. Thus, the density of storage cells of a memory array has been limited by the resolution capability of the available photolithographic equipment. [0003]
  • Therefore, there is a need for a semiconductor fabrication technique to provide high density memory structures that can be fabricated without the limitations of photolithographic processing steps. [0004]
  • SUMMARY OF THE INVENTION
  • The present invention relates to elevated structures such as transistors and raised source/drain regions formed on a semiconductor substrate by controlled growth of epitaxial layers, and methods for forming such structures. [0005]
  • The invention utilizes selective epitaxial growth (SEG) to form vertically oriented structures on semiconductor substrates. Crystal growth by SEG along a select facet to form a vertically oriented structure cannot be controlled by varying the growth conditions due to the existence of facets on the crystal having different orientations i.e., ([0006] 100), (110), (111). However, such control is needed to achieve vertically oriented epitaxial growth and eliminate lateral or horizontal growth that can short circuit closely positioned adjacent devices. The present method employs insulative spacers formed over the sidewalls of the epitaxial layers to eliminate unwanted lateral growth and control the growth of the epitaxial film.
  • In one aspect, the present invention provides a method for forming a vertical structure on a semiconductive substrate by selective epitaxial growth. An exemplary semiconductive substrate comprises monocrystalline silicon having a ([0007] 100) orientation.
  • In one embodiment of the method of the invention, a vertical structure can be formed on a semiconductive substrate. The method involves selectively growing a first epitaxial layer of monocrystalline silicon on the surface of the substrate. Prior to the SEG step, it is desirable to remove oxide from the area on the substrate where the structure is to be formed, for example, by a dry oxide etch. The semiconductive substrate is exposed to a silicon-comprising gas in an epitaxial (epi) growth chamber for a time and under conditions effective to form an epitaxial layer of monocrystalline silicon having a faceted surface. The epitaxial layer comprises a single silicon crystal having vertically oriented sidewalls and a top horizontal surface, preferably defining a facet having a ([0008] 100) plane orientation.
  • Upon forming the initial epitaxial layer on the surface of the substrate, a thin film of insulative material is formed over the epitaxial layer. Preferably, the insulation layer is formed by rapid thermal annealing, i.e., rapid thermal oxidation (RTO) to form an oxide film, or by rapid thermal nitridation (RTN) to form a nitride film. A portion of the insulative layer is then removed, preferably by reactive ion etching (RIE), to expose only the top (horizontal) surface of the epitaxial layer, with the insulative material remaining along the sidewalls as a spacer. A second epitaxial layer of monocrystalline silicon is grown by SEG on the exposed horizontal surface of the initial epitaxial layer. A thin insulative film is then formed over the second epitaxial layer. Further epitaxial layers can be similarly added to increase the height of the structure as desired, by repeating the foregoing steps. [0009]
  • The resultant vertically-oriented structure comprises multiple epitaxial layers having insulated sidewalls, with the uppermost layer having an insulated top surface. The structure can function, for example, as a vertical gate or word line of a DRAM cell, in which case it is preferred that the semiconductive substrate underlying the structure is lightly doped with a conductivity enhancing material. Source/drain regions can be formed adjacent to the structure by conventional methods, or as an elevated structure by the method of the invention, as described below. [0010]
  • In another embodiment of the method of the invention, a vertical structure of a desired height can be formed adjacent to an existing transistor gate or word line on a substrate. The gate or word line can be formed by the method of the invention, or by conventional methods known in the art. In forming vertical source/drain structures, the structures comprise a sufficient amount of a conductivity enhancing dopant to effectively provide the source and drain regions. The doping step can be performed during one or more SEG steps by flowing a silicon-comprising gas combined with a conductivity enhancing dopant onto the substrate, or after the structures have been formed by ion implantation. [0011]
  • According to another embodiment of the method of the invention, a plurality of elevated transistors can be formed on a substrate so as to define an array of transistors. The transistors can be isolated by areas of insulative material, such as shallow trench isolation regions comprising an oxide. [0012]
  • In yet another embodiment of the method, an elevated transistor can be formed on a semiconductive substrate, the transistor comprising a buried drain, a vertical gate, and an overlying source region. The buried drain can be formed in a semiconductive substrate by conventional ion implantation processing. An elevated gate can be formed by selectively growing an initial epitaxial layer of monocrystalline silicon on the substrate overlying the drain, depositing an insulative layer over the epitaxial layer, and selectively removing the horizontal surface of the insulative layer to expose only the top surface of the epitaxial layer. Additional epitaxial layers can be added by repeating the SEG step, and depositing the insulative layer, and selectively removing the insulative layer to maintain insulative material along the sidewalls as spacers to limit the growth of the epitaxial layer in a vertical orientation, resulting in a pillar-like gate structure having a desired height. A source region can then be formed by SEG above the uppermost epitaxial layer of the gate. To do so, a conductivity enhancing dopant can be added while the epitaxial layer is being deposited, or after the formed epitaxial layer is formed, for example, by ion implantation. [0013]
  • In another aspect, the invention provides raised structures comprising multiple layers of monocrystalline silicon formed by controlled selective epitaxial growth. An exemplary structure is a transistor comprising source/drain diffusion regions adjacent to a transistor gate, one or more of the foregoing components of the transistor comprising multiple epitaxial layers having insulated sidewalls and a top surface. [0014]
  • In one embodiment of a transistor, the transistor gate comprises at least two overlying layers of epitaxially grown silicon, each epitaxial layer comprising a single silicon crystal having a top or upper surface defining a facet, preferably having a ([0015] 100) plane orientation, and vertically-oriented and insulated sidewalls. The uppermost epitaxial layer of the gate also has an insulated top surface, such that the gate is covered by a layer of insulative material. The gate is a vertical structure that is oriented in a vertical plane from the substrate surface. The source/drain comprises diffusion regions adjacent to the transistor gate within the semiconductive region, and can be formed according to known methods in the art.
  • In another embodiment of a transistor according to the invention, the source/drain regions are elevated structures that extend in a vertical plane from the substrate. The transistor gate comprises an existing vertical structure between the source/drain regions, which can be formed according to known methods in the art or in accordance with the invention. The source/drain structures comprise at least two overlying layers of epitaxially grown silicon, each epitaxial layer comprising a single silicon crystal having a top surface and vertically oriented insulated sidewalls. Preferably, the top surface of the epitaxial layers defines a facet having a ([0016] 100) plane orientation. The top surface of the uppermost epitaxial layer is also insulated. The source/drain regions also comprise a conductivity enhancing dopant that is added as the epitaxial layers are deposited, or afterwards to the formed structure by ion implantation prior to depositing the insulative layer onto the uppermost epitaxial layer of the structure.
  • In yet another embodiment of a transistor according to the invention, both the transistor gate and the adjacent source/drain regions are vertical structures comprising multiple epitaxial layers having insulated sidewalls and an insulated top surface on the uppermost epitaxial layer. [0017]
  • A further embodiment of a transistor according to the invention comprises a drain buried within a semiconductive substrate, a vertical gate overlying the buried drain, and a source region overlying the gate. The vertical gate comprises at least two overlying layers of epitaxially grown silicon having sidewalls covered by an insulative material, with the uppermost epitaxial layer having a layer of insulative material over its top surface. The drain can comprise a doped area within the substrate underlying the gate. The source region comprises at least one layer of epitaxially grown silicon overlying the uppermost layer of the gate. The epitaxial layer of the source region has insulated sidewalls and on top surface, and is doped with a conductivity enhancing dopant. [0018]
  • The invention provides useful and improved vertically oriented structures such as transistor gates and elevated source/drain regions that extend outwardly from a substrate. Such structures are particularly suited for use in a DRAM cell or other semiconductor structure. The vertical nature of the structures allows a larger number of transistors or other semiconductor structures per surface area compared to conventional devices.[0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Preferred embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, reference numerals will be used on the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts. [0020]
  • FIG. 1A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence. [0021]
  • FIGS. 1B through 1H are views of the semiconductive wafer fragment of FIG. 1A at subsequent and sequential processing steps according to an embodiment of the method of the invention, showing fabrication of two elevated structures adjacent to a gate or word line. [0022]
  • FIG. 1I is a cross-sectional view of the semiconductive wafer fragment of FIG. 1H taken along [0023] lines 1I-1I.
  • FIG. 2A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence. [0024]
  • FIGS. 2B through 2F are views of the semiconductive wafer fragment of FIG. 2A at subsequent and sequential processing steps to fabricate a vertical transistor including a raised source/drain formed according to an embodiment of the method of the invention. [0025]
  • FIG. 3A is a diagrammatic cross-sectional view of a semiconductive wafer fragment at a preliminary step of a processing sequence. [0026]
  • FIGS. 3B and 3C are views of the semiconductive wafer fragment of FIG. 3A at subsequent and sequential processing steps to fabricate a vertical transistor having a buried drain region and a stacked gate with an overlying source region according to an embodiment of the method of the invention.[0027]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention encompasses methods of controlling growth of an epitaxial film in semiconductive wafer processing to form raised or vertical structures on a semiconductor surface, and structures formed from such methods, for example, transistors, capacitors, and elevated source/drain regions, among others. [0028]
  • In the current application, the term “semiconductive wafer fragment” or “wafer fragment” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but limited to, the semiconductive wafer fragments described above. [0029]
  • A first embodiment of a method of the present invention is described with reference to FIGS. 1A through 1I, in a method of forming raised source/drain structures by controlled selective epitaxial growth adjacent to an existing structure such as a gate or word line. To form elevated source/drain regions, the epitaxial layers are selectively grown from exposed monocrystalline source and drain substrate areas, and provided with sufficiently high conductivity doping to effectively provide source and drain regions. [0030]
  • Referring to FIG. 1A, a [0031] semiconductive wafer fragment 10 is shown at a preliminary processing step. Semiconductive wafer fragment 10 comprises a substrate 12 having a surface 14, and dielectric isolation regions 16 such as a shallow trench isolation (STI) region comprising an oxide. The substrate 12 typically comprises monocrystalline silicon having a (100) orientation, and typically includes a light conductivity dopant concentration.
  • Formed on the [0032] surface 14 of the substrate 12 is a structure 18 with an overlying insulative layer 20. An exemplary structure 18 is a word line or transistor gate. The word line or gate 18 can be formed by conventional methods known and used in the art. For example, a polysilicon layer 24 can be deposited by chemical vapor deposition (CVD) or other suitable method over a thin pad oxide layer 22 (about 200 to about 500 angstroms) grown on the substrate 12, and a silicide layer 26 can then be deposited by CVD or other method to form a polysilicon/silicide composite that is etched using a masking step, and covered with a thermally grown oxide insulative layer 20, resulting in the word line or gate structure 18. As shown, the word line or gate structure 18 is electrically isolated by means of the adjacent STI regions 16. The STI regions can also formed by conventional methods by etching a trench to a depth of about 1 micron or less into the substrate 12, and filling the trench with an insulative material such as silicon dioxide (SiO2). An oxide layer 28 covers the substrate surface 14 adjacent the word line or gate structure 18.
  • Referring to FIGS. 1B through 1H, in one embodiment of the method of the invention, raised source/drain structures can be fabricated on a [0033] surface 14 of a semiconductive substrate adjacent to an existing word line or gate 18 by selective epitaxial growth (SEG). Using the present method, the source/ drain regions 30, 32 can be fabricated to a height that is greater than conventional structures without lateral growth that poses problems with short circuiting adjacent structures. According to the method, thin epitaxial layers comprising a single silicon crystal with a facet having a plane orientation of (100), (110) or (111) on its upper or top surface, preferably a plane orientation of (100), are selectively grown on the surface of a monocrystalline silicon substrate and on subsequent crystal layers within an epitaxial (epi) growth reactor. Preferably, the epitaxial layers have a thickness of up to about 200 nm, preferably about 50 to about 200 nm, preferably about 70 to about 100 nm.
  • Preferably, the [0034] surface 14 of the substrate 12 is cleaned prior to the SEG step to remove oxides and other impurities. For example, the substrate 12 can undergo an oxide dry etch to remove an overlying oxide layer 28 and expose the surface 14 of the substrate. For example, the substrate can be etched by exposure to an H2 gas at about 800° C. to about 850° C., or exposure to a reactive plasma such as NF3 at about 100° C., in a chemical vapor deposition reactor. Another example of a cleaning method is to soak the substrate 12 with 0.5 vol. % diluted hydrofluoric acid (HF) to remove a native oxide film formed on the substrate surface, wash the substrate in deionized water for about two minutes, and dry the substrate using a spin drier. Other cleaning techniques can also be used to effectively clean the surface of the substrate.
  • In a first step shown in FIG. 1B, with at least a portion of the [0035] oxide layer 28 having been removed to expose surface 14 of the monocrystalline silicon substrate 12, a first layer 34 a of monocrystalline silicon is formed on the exposed surface by selective epitaxial growth. The first layer 34 a comprises a single crystal 36 a that is preferably grown until a facet is formed on the top surface 38 a. The facet surface can be a (100), (110) or (111) plane orientation, with a (100) plane orientation preferred. The plane orientation can be determined by known techniques in the art, for example, by cross-section and measuring the angles between the substrate and epi film, for example by scanning electron microscope (SEM) or transmission electron microscope (TEM).
  • The growth (SEG) step is performed using a silicon-comprising precursor gas, for example, SiH[0036] 2Cl2 (dichlorosilane), SiH4 (silane) with added chlorine, Si2H6 (disilane) with added chlorine (Cl2), HCl or H2, and SiCl4 (silicon tetrachloride). During processing, the gases become thermally dissociated and adsorb onto the silicon substrate whereupon hydrogen atoms are released and silicon is deposited epitaxially. During the SEG step, an epitaxial layer is grown selectively on the monocrystalline silicon substrate, with no growth taking place on insulative layers (e.g., SiO2 and Si3N4 layers), such as the STI regions 16 and the insulative layer 20 overlying portions of the epitaxial layer.
  • The [0037] epitaxial layer 34 a can be grown using a conventional selective silicon epitaxial (epi) growth apparatus (not shown), which is a batch- or single-wafer, chemical vapor deposition (CVD) system. In general, an epi apparatus includes a growth chamber, a wafer heating source, an inlet for the precursor gases, a support for the silicon substrate (e.g., susceptor), and an exhaust system to remove effluent gases. Single-wafer epitaxial reactors are manufactured, for example, by Applied Materials, Inc.
  • In general, the semiconductive wafer is introduced into a growth chamber and transferred onto a heated susceptor. The wafer is heated to about 450° C. to about 950° C., preferably about 650° C. to about 750° C. The silicon-comprising precursor gas(es) are introduced into the growth chamber and flowed over the substrate at a low flow rate of about 10 to about 500 ccm, preferably less than about 100 sccm, for about 15 to about 30 seconds, while maintaining the chamber at a pressure of about 1 to about 20 Torr to provide a growth rate of about 20 to about 40 nm/minute, or at a pressure of about 0.02 to less than about 1 Torr to control facet growth at a lower rate of less than 20 nm/minute, preferably less than about 10 nm/minute to about 0.3 nm/minute. This provides control of layer thickness and formation of crystalline facets ([0038] 100), (110) or (111) on the top surface of the epitaxial layers.
  • Once the facet is formed on the [0039] top surface 38 a of the crystal 36 a, a thin insulative layer 42 a is formed over the epitaxial layer 34 a. As illustrated in FIG. 1C, the insulative layer 42 a is grown over the upper surface 38 a and sidewalls 40 a of the crystal 36 a, preferably by rapid thermal anneal processing. The insulative layer 42 a can comprise oxide, nitride, oxidized nitride, or a composite oxide/nitride layer. For example, using rapid thermal oxidation (RTO), a thin silicon dioxide (SiO2) layer 42 a can be formed by exposing the silicon surface to a dry oxygen (O2) gas at a pressure of approximately 100 to about 200 Torr and temperature of about 800° C. to about 1200° C. for about 15 to about 60 seconds, to deposit a thin (about 5 nm to about 20 nm) oxide film. By another example, a thin silicon nitride (Si3N4) layer 42 a can be formed using rapid thermal nitridation (RTN) by exposing the surface of the epitaxial layer 34 a to ammonia (NH3) or nitrogen (N2) gas at a pressure of approximately 100 to about 200 Torr and temperature of about 800° C. to about 1200° C. for about 15 to about 60 seconds to deposit a thin (about 2 nm to about 5 nm) nitride film over the exposed upper surface 38 a and sidewalls 40 a of the crystal 36 a.
  • A portion of the thin insulative layer [0040] 42 is then removed to expose only the top surface 38 a of the epitaxial layer 34 a, as shown in FIG. 1D. The insulative material remaining on the vertical sidewall 40 a of the crystal 36 a forms a spacer 44 a. An exemplary process for removing the insulative layer is by etching according to known procedures. Exemplary etch gases for etching the insulative layer 42 a include fluorine-containing gases such as CF4, CHF3, CH2F2, C2F6, C3F8, C4F8, CH3F, CHF3/O2, CF4/O2, among others. The insulative spacer 44 a inhibits subsequent epitaxial growth of silicon in a lateral direction extending from the sidewalls 40 a of the crystal 36 a. This limits growth of the silicon crystals to along the top surface 38 a of the crystal 36 a for continued epitaxial growth in a vertical direction from the substrate 12.
  • After the horizontal surface of the [0041] insulative layer 42 a has been removed, further epitaxial growth on the exposed top surface 38 a of the crystal 36 a is commenced. Referring to FIG. 1E, a second epitaxial layer 34 b of silicon is selectively grown on the exposed top surface 38 a of the crystal 36 a, by exposure to a silicon-comprising gas in an epi growth chamber, as previously described. The spacer 44 a previously formed along the sidewall 40 a of the crystal 36 a serves to prevent epitaxial growth of silicon crystals in a lateral or horizontal direction from the sidewall 40 a. The second epitaxial layer 34 b comprises a single silicon crystal 36 b that is selectively epitaxially grown preferably to provide a facet on its top surface 38 b.
  • As depicted in FIG. 1F, a [0042] thin insulative layer 42 b is then formed over the second epitaxial layer 34 b, for example, by rapid thermal annealing, as previously described.
  • In a subsequent step, a portion of the [0043] thin insulative film 42 b can then be etched to expose the top surface 38 b of the crystal 36 b, as shown in FIG. 1G.
  • A [0044] third epitaxial layer 34 c can be grown on the exposed top surface 38 b of the silicon crystal 36 b comprising the second epitaxial layer 34 b by a subsequent epitaxial growth step. The single crystal 36 c is preferably grown until a facet is formed on the top surface 38 c. The third epitaxial layer 34 c can then be thermally annealed to form a thin insulative layer 42 c over the crystal 36 c, to result in the raised source/ drain structures 30, 32, depicted in FIGS. 1H and 1I.
  • The epitaxial layers [0045] 34 a, 34 b forming the source and drain diffusion regions 30, 32 can be doped in situ to a p- or n-type conductivity by feeding a conductivity enhancing dopant to the reactor during one or more SEG process steps. Examples of dopants include p-dopants such as diborane (B2H6), boron trichloride (BCl3) and boron trifluoride (BF3), and n-dopants such as phosphine (PH3) or arsine (AsH3). The conductivity enhancing dopant can be fed to the reactor during deposition at a variable rate, for example, from a lower rate to a later higher rate over time, to provide a concentration gradient through the thickness of the epitaxial layer.
  • The formed source/[0046] drain structures 30, 32 can also be doped to a p- or n-type conductivity by a conventional doping technique known and used in the art, preferably by ion implantation, using a fluorine-based gas such as PF3, PF5, AsF5, and B11F3, in an ionization chamber.
  • In another embodiment of the method of the invention, an elevated field effect transistor can be fabricated, as depicted in FIGS. 2A through 2F. As shown in FIG. 2F, the [0047] resultant transistor 50′ is comprised of source/drain diffusion structures 30′, 32′ with a gate structure 18′ thereinbetween to impart an electric field to enable current to flow between the source 30′ and the drain 32′ regions.
  • Referring to FIG. 2A, a [0048] wafer fragment 10′ is shown before processing and includes a monocrystalline silicon substrate 12′ surrounded by STI regions 16′. The monocrystalline silicon substrate 12′ is used as the substrate for the formation of the gate 18′ and the source/drain 30′, 32′ (shown in FIG. 2F). Typically, the substrate 12′ includes a light conductivity dopant concentration. Substrate 12′ can be provided with a selected p- or n-doping, depending upon whether an NMOS or a PMOS field effect transistor 50′ is being formed in the substrate region. As shown, the surface 14′ of the substrate 12′ is covered by an oxide layer 28′.
  • Referring to FIG. 2B, an oxide dry etch step is first utilized to clear an opening [0049] portion 52′ in the oxide layer 28′ to expose the surface 14′ of the silicon substrate 12′ where the gate structure 56′ is to be fabricated. Silicon epitaxial growth (SEG) is then performed as previously described with reference to FIGS. 1A through 1I, to form the gate structure 18′. In particular, as depicted in FIG. 2C, SEG is performed using the oxide layer 28′ with opening 52′ as a mask to form a first epitaxial layer 34 a′ on the exposed substrate surface 14′. The crystal 36 a′ of the first epitaxial layer has a facet on its upper surface 38 a′. An insulative material is deposited over the epitaxial layer 34 a′, and then removed to expose the top surface of the epitaxial layer. The remaining insulative material provides spacers on the sidewalls of the epitaxial layer. One or more additional epitaxial layers can be grown as previously described with regard to FIGS. 1C through 1H, resulting in the gate structure 18′ shown in FIG. 2D. The multi-layered gate structure 18′ is encapsulated in an overlying insulating layer 54′ comprised of the sidewall spacers and insulating layer formed onto the top surface of the uppermost epitaxial layer during the SEG processing steps.
  • Structures can then be formed adjacent to the [0050] gate structure 18′ as depicted in FIGS. 2E and 2F, and provided with sufficiently high conductivity doping to effectively provide source and drain regions 30″, 32″ of the transistor 50′. As shown in FIG. 2E, the oxide layer 28′ is removed, preferably by an oxide dry etch, to expose the surface 14′ of the substrate 12′. The raised source 30″ and raised drain 32″ are then fabricated by growing an epitaxial layer 34 a″ of monocrystalline silicon on the surface 14′ of the substrate 12′, depositing an insulative layer and removing the layer to expose only the top surface of the epitaxial layer 34 a″ and leaving an insulative spacer 44 a″ on the sidewalls of the crystal 36 a″, and growing a second epitaxial layer 34 b″ comprising a single silicon crystal 36 b″ followed by an insulative layer 44 b″ over the epitaxial layer 34 b″. Additional epitaxial layers can be grown as desired according to the foregoing steps to achieve the desired height of the structure. In a raised source/drain application, a minimum height of about 10 nm to about 30 nm is desired.
  • The source and drain [0051] diffusion structures 30″, 32″ can be doped in situ to a p- or n-type conductivity by feeding a conductivity enhancing dopant to the reactor during the SEG steps, or after formation by ion implantation, as described above.
  • In another embodiment of the method of the invention a [0052] transistor 50″ can be fabricated as depicted in FIGS. 3A through 3C. The transistor 50″ includes a buried drain 32′″, a vertical gate 18″ comprising multiple epitaxial layers over the buried drain 32′″, and a source region 30′″ comprising one or more epitaxial layers above the gate 18″. Advantageously, the vertical nature of a transistor 50″ comprising a buried drain region 32″, a gate region 18″ built over the drain, and a source region 30″ overlying the gate, facilitates increased density memory structures in semiconductor fabrications.
  • Referring to FIG. 3A, the [0053] transistor 50″ is fabricated by first forming a buried drain 32′″ in the substrate 12″ by heavily doping the drain region, about 50 m to about 1100 nm wide, by ion implantation. An oxide dry etch step can be utilized, as shown in FIG. 3B, to clear an opening 52″ in the oxide layer 28″ to expose the silicon substrate surface 14″ overlying the buried drain 32′″, which defines the location of the gate structure 18″. As shown in FIG. 3C, a first epitaxial layer is grown on the exposed substrate surface 14″ by SEG using the oxide layer 28″ as a mask. Additional epitaxial layers are then successively grown on the preceding epitaxial layer, as described with reference to FIGS. 1A through 1H, to form the gate region 18″ having a desired height. Each of the epitaxial layers of the gate comprise insulated sidewalls and a top surface. The source region 30′″ is formed above the gate structure 18″ by growing one or more layers of epitaxial silicon above the uppermost epitaxial layer of the gate structure 18″. The source layer 30′″ can be doped with an effective concentration of a conductivity enhancing dopant by feeding the dopant to the reactor during the SEG step, or by ion implantation with a dopant after the source layer 30″ is formed. The source layer 30″ comprises insulated sidewalls and an insulated top surface.
  • In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. Vertical structures other than those specifically described can be formed using the present method. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents. [0054]

Claims (122)

What is claimed is:
1. A method of forming a vertical structure on a substrate, comprising the steps of:
selectively growing a first epitaxial layer of monocrystalline silicon on the substrate;
forming a layer of an insulative material over the first epitaxial layer;
removing a portion of the insulative layer to expose only a top surface of the first epitaxial layer;
selectively growing a second epitaxial layer of monocrystalline silicon on the exposed surface of the first epitaxial layer; and
forming a layer of an insulative material over the second epitaxial layer.
2. The method of claim 1, further comprising repeating the steps of removing a portion of the insulative layer, growing an epitaxial layer, and forming the insulative layer, until the vertical structure reaches a desired height; each of the epitaxial layers having insulated sidewalls, and an uppermost epitaxial layer of the vertical structure having insulated sidewalls and an insulated top surface.
3. The method of claim 1, wherein the silicon substrate comprises monocrystalline silicon.
4. The method of claim 1, wherein the silicon substrate comprises monocrystalline silicon having a (100) plane orientation.
5. The method of claim 1, wherein at least one epitaxial layer is grown until a facet having a plane orientation of (100), (110), or (111) is formed on the top surface of the layer.
6. The method of claim 1, wherein the epitaxial layers are grown until a facet having a plane orientation of (100) is formed on the top surface of the layers.
7. The method of claim 1, wherein each epitaxial layer has a thickness of up to about 200 nm.
8. The method of claim 1, wherein one or more epitaxial layers has a thickness of about 70 to about 100 nm.
9. The method of claim 1, wherein the steps of selectively growing the epitaxial layers comprise introducing a silicon-comprising gas over the substrate.
10. The method of claim 9, wherein the steps of selectively growing the epitaxial layers comprise:
heating the substrate to about 450° C. to about 950° C.; and
flowing at least one silicon-comprising precursor gas over the substrate at a rate of about 10 to about 500 ccm, for about 15 to about 30 seconds.
11. The method of claim 10, wherein the silicon-comprising gas is flowed over the substrate at a rate and pressure to provide a growth rate of the epitaxial layer at about 20 to about 40 nm/minute.
12. The method of claim 11, wherein the pressure is about 1 to about 20 Torr.
13. The method of claim 10, wherein the silicon-comprising gas is flowed over the substrate at a rate and pressure to provide a growth rate of the epitaxial layer at less than about 10 nm/minute.
14. The method of claim 13, wherein the pressure is about 0.02 to less than about 1 Torr.
15. The method of claim 13, wherein the pressure is about 0.02 to less than about 1 Torr to provide a growth rate of the epitaxial layer at about 0.3 to less than about 10 nm/minute.
16. The method of claim 9, wherein the silicon-comprising gas is selected from the group consisting of silane combined with chlorine, disilane combined with chlorine, disilane combined with hydrochloric acid, dichlorosilane, silicon tetrachloride, and combinations thereof.
17. The method of claim 9, wherein at least one of the steps of selectively growing the epitaxial layer comprises introducing the silicon-comprising gas with a conductivity enhancing dopant.
18. The method of claim 17, wherein the conductivity enhancing dopant is a p-type dopant.
19. The method of claim 18, wherein the conductivity enhancing dopant is a p-type dopant selected from the group consisting of diborane, boron trichloride, boron trifluoride, and combinations thereof.
20. The method of claim 17, wherein the conductivity enhancing dopant is an n-type dopant.
21. The method of claim 20, wherein the conductivity enhancing dopant is an n-type dopant selected from the group consisting of phosphine, arsine, and combinations thereof.
22. The method of claim 17, wherein the conductivity enhancing dopant is introduced at a variable rate to provide a concentration gradient of the dopant within the epitaxial layer.
23. The method of claim 22, wherein the conductivity enhancing dopant is introduced at an increasing rate to provide a low to high concentration of the dopant within the epitaxial layer.
24. The method of claim 2, further comprising doping the uppermost epitaxial layer by ion implantation.
25. The method of claim 24, wherein the epitaxial layer is doped using a fluorine-comprising gas selected from the group consisting of PF3, PF5, AsF5, and B11F3.
26. The method of claim 17, wherein the vertical structure is a source or drain having a height of at least about 10 to about 30 nm.
27. The method of claim 1, further comprising, prior to the step of selectively growing the first epitaxial film, at least partially removing an oxide layer from the substrate.
28. The method of claim 27, wherein the step of removing the oxide layer is by an oxide dry etch.
29. The method of claim 28, wherein the oxide dry etch comprises exposing the substrate to an H2 gas at about 800° C. to about 850° C.
30. The method of claim 28, wherein the oxide dry etch comprises exposing the substrate to a reactive plasma at about 100° C.
31. The method of claim 27, wherein the step of removing the oxide layer is by applying an oxide cleaning solution to the substrate.
32. The method of claim 1, wherein the insulative layer comprises oxide, nitride, oxidized nitride, or a composite oxide/nitride.
33. The method of claim 1, wherein the insulative layer comprises silicon nitride.
34. The method of claim 33, wherein the insulative layer has a thickness of about 5 to about 20 nm.
35. The method of claim 1, wherein the insulative layer comprises silicon oxide.
36. The method of claim 35, wherein the insulative layer has a thickness of about 2 to about 5 nm.
37. The method of claim 1, wherein at least one of the steps of forming the insulative layer is by annealing.
38. The method of claim 37, wherein the annealing is by rapid thermal nitridation to form a nitride insulative layer.
39. The method of claim 38, wherein the annealing by rapid thermal nitridation comprises exposing the epitaxial layer to ammonia or nitrogen gas at a pressure of about 100 to about 200 Torr and temperature of about 800° C. to about 1200° C.
40. The method of claim 37, wherein the annealing is by rapid thermal oxidation to form an oxide insulative layer.
41. The method of claim 40, wherein the of annealing by rapid thermal oxidation comprises exposing the epitaxial layer to a dry oxygen gas at a pressure of about 100 to about 200 Torr and temperature of about 800° C. to about 1200° C.
42. The method of claim 1, wherein at least one of the steps of removing the insulative layer is by reactive ion etching.
43. The method of claim 42, wherein the reactive ion etching comprises exposing the insulative layer to an etch gas in an ionized state, the etch gas comprising at least one fluorine-containing gas.
44. The method of claim 1, wherein the vertical structure is formed adjacent to an existing gate or word line on the substrate.
45. The method of claim 44, wherein the existing gate or word line is electrically isolated.
46. A method of forming a raised structure on a substrate, comprising the step of:
forming an epitaxial layer of monocrystalline silicon on the substrate;
forming a layer of insulative material over the epitaxial layer;
removing a portion of the insulative layer to leave only an exposed top surface of the epitaxial layer;
forming another epitaxial layer of monocrystalline silicon on the epitaxial layer; and
repeating the steps of forming the insulative layer, removing a portion of the insulative layer, and growing an epitaxial layer, until the vertical structure reaches a desired height, with the uppermost epitaxial layer having an insulated top surface.
47. A method of forming a vertical structure on a substrate, comprising forming multiple overlying epitaxial layers having insulated sidewalls and a top surface.
48. A method of forming a vertical structure on a substrate, comprising the steps of:
depositing a first epitaxial layer on the substrate, the first epitaxial layer comprising a horizontally oriented surface defining a facet;
forming a layer of an insulative material over the first epitaxial layer;
removing a portion of the insulative layer to expose the horizontal surface of the epitaxial layer;
depositing a second epitaxial layer on the exposed surface of the first epitaxial layer; the second epitaxial layer comprising a horizontally oriented surface defining a facet; and
forming a layer of an insulative material over the second epitaxial layer.
49. The method of claim 48, further comprising repeating the steps of removing a portion of the insulative layer, growing an epitaxial layer, and forming the insulative layer, until the vertical structure reaches a desired height.
50. A method of controlling growth of an epitaxial film to form a vertical structure on a substrate, comprising the steps of:
providing a substrate having an elevated structure disposed thereon, the elevated structure having an overlying insulative layer;
forming a first epitaxial layer of monocrystalline silicon on the substrate adjacent to the elevated structure;
forming an insulative layer over the first epitaxial layer;
removing a horizontal surface of the insulative layer to expose only a top surface of the first epitaxial layer;
forming a second epitaxial layer of monocrystalline silicon over the first epitaxial layer; and
repeating the foregoing steps until the vertical structure is at a desired height.
51. The method of claim 50, wherein the substrate has an overlying oxide layer, and the method further comprises prior to the step of depositing the first epitaxial layer, removing at least a portion of the oxide layer to expose the substrate.
52. The method of claim 50, wherein the elevated structure is disposed on an area of microcrystalline silicon that is isolated within the substrate by at least one dielectric isolation region formed in the substrate adjacent thereto.
53. The method of claim 52, wherein the at least one dielectric isolation region is a shallow trench isolation region comprising an oxide.
54. The method of claim 50, wherein the vertical structure is a transistor gate.
55. The method of claim 50, wherein the vertical structure is a source or drain, and at least one of the steps of forming the epitaxial layers is performed with a sufficiently high conductivity doping to effectively dope the source or drain.
56. The method of claim 55, wherein the elevated structure adjacent to the source or drain is a transistor gate.
57. The method of claim 55, wherein the vertical structure is a source or drain having a height of about 10 to about 30 nm.
58. A method of forming an elevated structure on a substrate in a semiconductor processing, comprising the steps of:
providing a semiconductor substrate comprising monocrystalline silicon;
growing a first epitaxial layer on the substrate until a horizontally-oriented facet is formed;
forming an insulative layer over the first epitaxial layer;
removing the insulative layer to expose only a horizontal surface of the first epitaxial layer;
growing a second epitaxial layer on the first epitaxial layer until a horizontally-oriented facet is formed; and
repeating the steps of forming the insulative layer, removing a portion of the insulative layer, and growing an epitaxial layer, until the elevated structure is at a desired height.
59. The method of claim 58, wherein the horizontally-oriented facet of the first and second epitaxial layers has a (100) plane orientation.
60. A method of forming a raised structure on a silicon substrate, comprising the steps of:
selectively growing a first epitaxial layer of monocrystalline silicon on the substrate;
forming a layer of an insulative material over the first epitaxial layer; and
selectively growing one or more additional epitaxial layers of monocrystalline silicon to form the raised structure to a predetermined height, each epitaxial layer having insulated sidewalls and a top surface.
61. A method of fabricating an epitaxial structure on a substrate, comprising the steps of:
forming a first epitaxial layer of monocrystalline silicon on the substrate;
annealing the epitaxial layer to form an insulative film thereover;
etching the insulative film to expose only a horizontal surface of the first epitaxial layer; and
repeating the foregoing steps to form additional overlying epitaxial layers until a vertical structure having a desired height is reached, the vertical structure comprising multiple epitaxial layers having only insulated sidewalls, with an uppermost epitaxial layer having insulated sidewalls and an insulated top surface.
62. The method of claim 61, wherein the step of forming the epitaxial layer comprises heating the substrate and flowing a silicon-comprising gas over the heated substrate.
63. The method of claim 62, wherein the substrate is heated to about 450° C. to about 950° C.
64. The method of claim 62, wherein the gas is flowed over the substrate to provide a growth rate of the epitaxial layer at about 20 to about 40 nm/minute.
65. The method of claim 64, wherein the gas is flowed over the substrate at a flow rate of about 10 to about 500 cm, and a pressure of about 1 to about 20 Torr.
66. The method of claim 62, wherein the gas is flowed over the substrate to provide a growth rate of the epitaxial layer of less than about 10 nm/minute to about 0.3 nm/minute.
67. The method of claim 66, wherein the gas is flowed over the substrate at a pressure of about 0.02 to less than 1 Torr.
68. The method of claim 61, wherein the step of annealing is by rapid thermal nitridation to form a nitride insulative layer.
69. The method of claim 61, wherein the step of annealing is by rapid thermal oxidation to form an oxide insulative layer.
70. The method of claim 61, wherein the step of etching the insulative layer is by reactive ion etching.
71. The method of claim 61, wherein each epitaxial layer has a thickness of about 50 to about 200 nm.
72. A method for forming a DRAM cell on a silicon substrate, comprising the steps of:
forming a vertical gate structure on the substrate by the steps of:
forming a first epitaxial layer of monocrystalline silicon on the substrate;
forming a layer of an insulative material over the first epitaxial layer;
removing a portion of the insulative layer to expose only a horizontal surface of the first epitaxial layer; and
repeating the foregoing steps to form one or more additional overlying epitaxial layers until the gate structure is of a desired height, the gate structure comprising multiple overlying epitaxial layers having insulated sidewalls, and an uppermost epitaxial layer having an insulated sidewalls and a horizontal surface; and
forming source and drain regions adjacent to the gate structure.
73. The method of claim 72, wherein the source and drain regions are elevated.
74. The method of claim 73, wherein the step of forming the source and drain regions comprises the steps of:
forming while doping, a first epitaxial layer of monocrystalline silicon on the substrate adjacent to the gate structure;
forming a layer of an insulative material over the first epitaxial layer;
removing a portion of the insulative layer to expose only a horizontal surface of the first epitaxial layer;
repeating the foregoing steps to form one or more additional overlying epitaxial layers until the source and drain regions are of a desired height, the source and drain regions comprising multiple overlying epitaxial layers having insulated sidewalls, and an uppermost epitaxial layer having insulated sidewalls and an insulated horizontal surface.
75. The method of claim 74, wherein the steps of forming the epitaxial layers comprise flowing a silicon-comprising gas and a conductivity enhancing dopant over the substrate.
76. The method of claim 75, wherein the conductivity enhancing dopant is flowed at an increasing rate over time to provide a low to high concentration of the dopant within the epitaxial layer.
77. The method of claim 72, wherein the step of forming the source and drain regions comprises the steps of:
forming a first epitaxial layer of monocrystalline silicon on the substrate adjacent to the gate structure;
forming a layer of an insulative material over the first epitaxial layer;
removing a portion of the insulative layer to expose only a horizontal surface of the first epitaxial layer;
repeating the foregoing steps to form multiple overlying epitaxial layers until the source and drain regions are of a desired height, the epitaxial layers having insulated sidewalls and an uppermost epitaxial layer having an exposed horizontal surface;
doping the uppermost epitaxial layer with a conductivity enhancing dopant by ion implantation; and
forming a layer of an insulative material over the uppermost epitaxial layer.
78. The method of claim 77, wherein the uppermost epitaxial layer is doped using a fluorine-comprising gas selected from the group consisting of PF3, PF5, AsF5, and B11F3.
79. A method of forming an elevated source or drain structure on a substrate having a transistor gate disposed thereon, the method comprising the steps of:
selectively growing, while doping, a first epitaxial layer of monocrystalline silicon on the substrate adjacent to the transistor gate;
depositing a layer of an insulative material onto the first epitaxial layer;
removing only the horizontal surface of the insulative layer;
growing additional overlying epitaxial layers according to the foregoing steps until the elevated source or drain structure reaches a desired height; each epitaxial layer having insulated sidewalls;
wherein, upon growing an uppermost epitaxial layer and depositing the insulative layer thereon, no removal step is performed such that the uppermost epitaxial layer comprises insulated sidewalls and an insulated horizontal surface.
80. The method of claim 79, wherein during the steps of selectively growing the epitaxial layers, a conductivity enhancing dopant is deposited at an increasing rate over time to provide a low to high concentration of the dopant within the epitaxial layer.
81. A method of forming an elevated source or drain structure on a substrate having a transistor gate formed thereon,
selectively growing a first epitaxial layer of monocrystalline silicon on the substrate adjacent to the gate;
depositing a layer of an insulative material onto the first epitaxial layer;
removing only the horizontal surface of the insulative layer;
growing additional overlying epitaxial layers according to the foregoing steps until the elevated source or drain structure reaches a desired height; each epitaxial layer having insulated sidewalls and an uppermost epitaxial layer having an exposed top surface;
doping the uppermost epitaxial layer with a conductivity enhancing dopant by ion implantation; and
depositing a layer of an insulative material onto the uppermost epitaxial layer.
82. The method of claim 81, wherein the uppermost epitaxial layer is doped using a fluorine-comprising gas selected from the group consisting of PF3, PF5, AsF5, and B11F3.
83. A method of forming an elevated transistor in a semiconductive wafer processing comprising the steps of:
providing a semiconductor substrate;
forming a buried drain in the substrate;
forming an elevated gate over the buried drain by the steps of:
forming a first epitaxial layer over the buried drain;
forming an insulative layer over the first epitaxial layer;
removing a portion of the insulative layer to expose only a horizontal surface of the first epitaxial layer;
forming a second epitaxial layer over the first epitaxial layer;
forming an insulative layer over the second epitaxial layer; and
repeating the foregoing steps to form additional overlying epitaxial layers to form a pillar-like structure having a desired height; each epitaxial layer having insulated sidewalls, and the uppermost epitaxial layer having an exposed horizontal surface; and
forming a source region onto the gate by forming at least one epitaxial layer over the uppermost epitaxial layer, while doping.
84. The method of claim 83, wherein the step of forming the buried drain comprises doping an area of the substrate with an n-type dopant.
85. The method of claim 84, wherein the substrate is doped by ion implantation.
86. The method of claim 84, wherein the n-type dopant is selected from the group consisting of phosphine, arsine, and combinations thereof.
87. The method of claim 84, wherein the doped area of the substrate is about 50 nm to about 100 nm wide.
88. The method of claim 83, wherein the step of forming the source region comprises doping the at least one epitaxial layer with an n-type dopant.
89. A method of semiconductive wafer processing, comprising forming an elevated transistor by the steps of:
providing a semiconductor substrate;
forming a buried drain in the substrate;
forming an elevated gate over the buried drain, the gate comprising multiple overlying epitaxial layers in a vertical orientation with each epitaxial layer having insulative sidewalls; and
forming a source region over the uppermost epitaxial layer of the gate, the source region comprising one or more epitaxial layers, each layer having insulative sidewalls and the uppermost layer having an insulated top surface.
90. The method of claim 89, wherein the step of forming the elevated gate comprises:
depositing an epitaxial layer above the buried drain;
depositing a layer of insulative material over the epitaxial layer;
removing a horizontal surface of the insulative layer to expose the epitaxial layer; and
repeating the foregoing steps until the gate reaches a desired height.
91. The method of claim 89, wherein the step of forming the source region comprises:
forming an epitaxial layer onto an exposed horizontal surface of an uppermost epitaxial layer of the gate, while doping with a conductivity enhancing dopant.
92. The method of claim 91, wherein the conductivity enhancing dopant is an-type dopant selected from the group consisting of phosphine, arsine, and combinations thereof.
93. The method of claim 89, wherein the step of forming the source region comprises:
forming an epitaxial layer over an uppermost epitaxial layer, and doping the epitaxial layer of the gate with a conductivity enhancing dopant.
94. The method of claim 93, wherein the conductivity enhancing dopant is selected from the group consisting of PF3, PF5, AsF5, and combinations thereof.
95. The method of claim 89, further comprising, prior to the step of forming the elevated gate, removing an oxide layer from the substrate overlying the buried drain.
96. The method of claim 89, wherein the step of forming the buried drain in the substrate comprises doping an area of the substrate with a conductivity enhancing dopant by ion implantation.
97. The method of claim 96, wherein the conductivity enhancing dopant is an n-type dopant selected from the group consisting of phosphine, arsine, and combinations thereof.
98. The method of claim 96, wherein the doped area of the substrate is about 50 nm to about 100 nm wide.
99. The method of claim 89, wherein a plurality of elevated transistors are formed on the substrate so as to define an array of transistors.
100. The method of claim 99, further comprising forming shallow trench isolation regions in the substrate to isolate the transistor.
101. A transistor in a semiconductor device, comprising:
source/drain diffusion regions formed on a semiconductive region of a substrate; and
a transistor gate formed on the semiconductive region between the source/drain diffusion regions, the transistor gate extending in a vertical orientation from the substrate, the transistor gate comprising at least two overlying layers of epitaxially grown silicon, each epitaxial layer having insulated sidewalls, and an insulated top surface.
102. The transistor of claim 101, wherein the source/drain diffusion regions are elevated and extend in a vertical orientation from the substrate surface adjacent to the transistor gate.
103. The transistor of claim 102, wherein each of the source/drain diffusion regions comprise at least two overlying layers of epitaxially grown silicon, each epitaxial layer having insulated sidewalls, and an insulated top surface.
104. The transistor of claim 103, wherein the source/drain diffusion regions comprise an uppermost epitaxial layer comprising a conductivity enhancing dopant.
105. The transistor of claim 103, wherein each of the epitaxial layers of the source/drain diffusion regions comprise a conductivity enhancing dopant.
106. The transistor of claim 101, wherein each epitaxial layer comprises a faceted top surface.
107. The transistor of claim 101, wherein each epitaxial layer has a thickness of about 50 to about 200 nm.
108. The transistor of claim 101, wherein the transistor is isolated within the substrate by at least one dielectric isolation region formed in the substrate adjacent thereto.
109. The method of claim 108, wherein the at least one dielectric isolation region is a shallow trench isolation region comprising an oxide.
110. A transistor in a semiconductor device, comprising:
a transistor gate formed on a semiconductive region of substrate; and
elevated source/drain diffusion regions formed on the semiconductive region adjacent to the transistor gate, and extending in a vertical plane from the substrate;
each of the source/drain diffusion regions covered by a layer of insulative material and comprising at least two overlying layers of epitaxially grown silicon.
111. The transistor of claim 110, wherein the source/drain diffusion regions comprise an uppermost epitaxial layer comprising a conductivity enhancing dopant.
112. The transistor of claim 110, wherein at least one of the epitaxial layers of the source/drain diffusion regions comprise a conductivity enhancing dopant.
113. The transistor of claim 112, wherein at least one of the epitaxial layers comprises a concentration gradient of the dopant.
114. The transistor of claim 110, wherein the epitaxial layers comprise a faceted top surface.
115. The transistor of claim 110, wherein each epitaxial layer has a thickness of about 50 to about 200 nm.
116. The transistor of claim 110, wherein the transistor gate is covered by a layer of insulative material and comprises at least two overlying layers of epitaxially grown silicon.
117. A transistor in a semiconductor device, comprising:
a substrate having a buried drain region;
a gate overlying the buried drain region, the gate comprising multiple, vertically-oriented and overlying epitaxial layers and a top surface, each epitaxial layer having insulated sidewalls;
a source region overlying the top surface of the gate, the source region comprising an epitaxial layer doped with a conductivity enhancing dopant, and covered by a layer of insulative material.
118. The transistor of claim 117, wherein each of the epitaxial layers of the gate is about 50 to about 200 nm thick.
119. The transistor of claim 117, wherein the epitaxial layer of the source region is at least about 10 nm thick.
120. The transistor of claim 117, wherein the epitaxial layers have a faceted top surface.
121. The transistor of claim 117, wherein the buried drain comprises an n-type conductivity enhancing dopant an n-type selected from the group consisting of phosphine, arsine, and combinations thereof.
122. The method of claim 117, wherein the buried drain region is about 50 nm to about 100 nm wide.
US10/046,497 2001-03-23 2001-10-26 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer Abandoned US20020137269A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/046,497 US20020137269A1 (en) 2001-03-23 2001-10-26 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US10/379,494 US20030164513A1 (en) 2001-03-23 2003-03-04 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,478 US20060289902A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,574 US20060284269A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US13/407,855 US9685536B2 (en) 2001-03-23 2012-02-29 Vertical transistor having a vertical gate structure having a top or upper surface defining a facet formed between a vertical source and a vertical drain

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/816,962 US7176109B2 (en) 2001-03-23 2001-03-23 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US10/046,497 US20020137269A1 (en) 2001-03-23 2001-10-26 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/816,962 Division US7176109B2 (en) 2001-03-23 2001-03-23 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/379,494 Division US20030164513A1 (en) 2001-03-23 2003-03-04 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,574 Division US20060284269A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer

Publications (1)

Publication Number Publication Date
US20020137269A1 true US20020137269A1 (en) 2002-09-26

Family

ID=25222031

Family Applications (6)

Application Number Title Priority Date Filing Date
US09/816,962 Expired - Lifetime US7176109B2 (en) 2001-03-23 2001-03-23 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US10/046,497 Abandoned US20020137269A1 (en) 2001-03-23 2001-10-26 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US10/379,494 Abandoned US20030164513A1 (en) 2001-03-23 2003-03-04 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,478 Abandoned US20060289902A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,574 Abandoned US20060284269A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US13/407,855 Expired - Lifetime US9685536B2 (en) 2001-03-23 2012-02-29 Vertical transistor having a vertical gate structure having a top or upper surface defining a facet formed between a vertical source and a vertical drain

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/816,962 Expired - Lifetime US7176109B2 (en) 2001-03-23 2001-03-23 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer

Family Applications After (4)

Application Number Title Priority Date Filing Date
US10/379,494 Abandoned US20030164513A1 (en) 2001-03-23 2003-03-04 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,478 Abandoned US20060289902A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US11/512,574 Abandoned US20060284269A1 (en) 2001-03-23 2006-08-30 Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US13/407,855 Expired - Lifetime US9685536B2 (en) 2001-03-23 2012-02-29 Vertical transistor having a vertical gate structure having a top or upper surface defining a facet formed between a vertical source and a vertical drain

Country Status (1)

Country Link
US (6) US7176109B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126987A1 (en) * 2002-12-26 2004-07-01 Kim Hyung Sik Method for manufacturing merged DRAM with logic device
US20060192232A1 (en) * 2005-02-25 2006-08-31 Atsuhiro Ando Semiconductor device and method of manufacturing semiconductor device
US20080135817A1 (en) * 2006-12-12 2008-06-12 Honeywell International Inc. Gaseous dielectrics with low global warming potentials
US20080277732A1 (en) * 2006-02-08 2008-11-13 Fujitsu Limited P-channel mos transistor and semiconductor integrated circuit device

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10351008B4 (en) * 2003-10-31 2008-07-10 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating transistors having elevated drain and source regions of different height and a semiconductor device
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7462534B2 (en) * 2005-08-02 2008-12-09 Micron Technology, Inc. Methods of forming memory circuitry
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
FR2891664B1 (en) * 2005-09-30 2007-12-21 Commissariat Energie Atomique VERTICAL MOS TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME
JP4542492B2 (en) * 2005-10-07 2010-09-15 セイコーエプソン株式会社 Electro-optical device and manufacturing method thereof, electronic apparatus, and semiconductor device
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
KR100764409B1 (en) * 2006-05-30 2007-10-05 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing of the same
US7728364B2 (en) * 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100905463B1 (en) * 2007-07-02 2009-07-02 삼성전자주식회사 Semiconductor device and method of manufacturing semiconductor device
JP2009032986A (en) * 2007-07-27 2009-02-12 Toshiba Corp Semiconductor device and its manufacturing method
US9152995B2 (en) * 2007-08-30 2015-10-06 Cc Serve Corporation Method and system for loan application non-acceptance follow-up
JP2009200255A (en) * 2008-02-21 2009-09-03 Toshiba Corp Semiconductor device and method of manufacturing the same
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US7868391B2 (en) * 2009-06-04 2011-01-11 International Business Machines Corporation 3-D single gate inverter
US9230810B2 (en) 2009-09-03 2016-01-05 Vishay-Siliconix System and method for substrate wafer back side and edge cross section seals
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US8853862B2 (en) 2011-12-20 2014-10-07 International Business Machines Corporation Contact structures for semiconductor transistors
US8592916B2 (en) 2012-03-20 2013-11-26 International Business Machines Corporation Selectively raised source/drain transistor
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
CN103489758B (en) * 2012-06-14 2016-08-03 中芯国际集成电路制造(上海)有限公司 A kind of method for forming hard mask layer
US8916443B2 (en) 2012-06-27 2014-12-23 International Business Machines Corporation Semiconductor device with epitaxial source/drain facetting provided at the gate edge
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9059205B2 (en) * 2013-08-14 2015-06-16 International Business Machines Corporation Method of manufacturing a semiconductor device using source/drain epitaxial overgrowth for forming self-aligned contacts without spacer loss and a semiconductor device formed by same
US9252014B2 (en) 2013-09-04 2016-02-02 Globalfoundries Inc. Trench sidewall protection for selective epitaxial semiconductor material formation
US10008383B2 (en) * 2014-03-10 2018-06-26 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
SG11201703228XA (en) 2014-10-30 2017-05-30 Applied Materials Inc Method to grow thin epitaxial films at low temperature
US9871032B2 (en) * 2015-09-09 2018-01-16 Globalfoundries Singapore Pte. Ltd. Gate-grounded metal oxide semiconductor device
US10741663B1 (en) 2019-04-03 2020-08-11 International Business Machines Corporation Encapsulation layer for vertical transport field-effect transistor gate stack

Citations (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US888294A (en) * 1907-05-28 1908-05-19 William B Affleck Table for meat, sausage, and the like.
US4442178A (en) * 1981-11-25 1984-04-10 Tokyo Shibaura Denki Kabushiki Kaisha SOS Substrate for semiconductor device
US4554570A (en) * 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
US4757027A (en) * 1983-09-19 1988-07-12 Fairchild Semiconductor Corporation Method for fabricating improved oxide defined transistors
US4963506A (en) * 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5079180A (en) * 1988-12-22 1992-01-07 Texas Instruments Incorporated Method of fabricating a raised source/drain transistor
US5087586A (en) * 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5122476A (en) * 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US5156987A (en) * 1991-12-18 1992-10-20 Micron Technology, Inc. High performance thin film transistor (TFT) by solid phase epitaxial regrowth
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5208172A (en) * 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5308782A (en) * 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5312768A (en) * 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5316962A (en) * 1989-08-15 1994-05-31 Matsushita Electric Industrial Co., Ltd. Method of producing a semiconductor device having trench capacitors and vertical switching transistors
US5376562A (en) * 1992-03-02 1994-12-27 Motorola, Inc. Method for forming vertical transistor structures having bipolar and MOS devices
US5393681A (en) * 1992-09-02 1995-02-28 Motorola, Inc. Method for forming a compact transistor structure
US5460994A (en) * 1994-03-28 1995-10-24 Samsung Electronics Co., Ltd. Semiconductor device having vertical conduction transistors and cylindrical cell gates
US5483094A (en) * 1993-09-20 1996-01-09 Motorola, Inc. Electrically programmable read-only memory cell
US5497017A (en) * 1995-01-26 1996-03-05 Micron Technology, Inc. Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertical access transistors
US5595920A (en) * 1991-04-23 1997-01-21 Canon Kabushiki Kaisha Method of manufacturing a semiconductor memory device for use with image pickup
US5600161A (en) * 1994-05-31 1997-02-04 Micron Technology, Inc. Sub-micron diffusion area isolation with Si-SEG for a DRAM array
US5599724A (en) * 1992-05-21 1997-02-04 Kabushiki Kaisha Toshiba FET having part of active region formed in semiconductor layer in through hole formed in gate electrode and method for manufacturing the same
US5612563A (en) * 1992-03-02 1997-03-18 Motorola Inc. Vertically stacked vertical transistors used to form vertical logic gate structures
US5612230A (en) * 1991-04-16 1997-03-18 Canon Kabushiki Kaisha Process for manufacturing a semiconductor device by applying a non-single-crystalline material on a sidewall inside of an opening portion for growing a single-crystalline semiconductor body
US5641694A (en) * 1994-12-22 1997-06-24 International Business Machines Corporation Method of fabricating vertical epitaxial SOI transistor
US5677573A (en) * 1995-10-16 1997-10-14 Micron Technology, Inc. Field effect transistor
US5753555A (en) * 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US5753947A (en) * 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5780327A (en) * 1996-03-05 1998-07-14 International Business Machines Corporation Vertical double-gate field effect transistor
US5849077A (en) * 1994-04-11 1998-12-15 Texas Instruments Incorporated Process for growing epitaxial silicon in the windows of an oxide-patterned wafer
US5864180A (en) * 1997-02-27 1999-01-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US5863826A (en) * 1996-08-02 1999-01-26 Micron Technology, Inc. CMOS isolation utilizing enhanced oxidation of recessed porous silicon formed by light ion implantation
US5872374A (en) * 1996-03-29 1999-02-16 Motorola, Inc. Vertical semiconductor device
US5886382A (en) * 1997-07-18 1999-03-23 Motorola, Inc. Trench transistor structure comprising at least two vertical transistors
US5888294A (en) * 1995-03-09 1999-03-30 Korea Institute Of Science And Technology Epitaxial growth rate varying method for side surface of semiconductor pattern
US5933738A (en) * 1995-11-03 1999-08-03 Micron Technology, Inc. Method of forming a field effect transistor
US5945698A (en) * 1995-08-09 1999-08-31 Micron Technology, Inc. Field effect transistor assemblies and transistor gate block stacks
US5963822A (en) * 1996-04-12 1999-10-05 Kabushiki Kaisha Toshiba Method of forming selective epitaxial film
US5994735A (en) * 1993-05-12 1999-11-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a vertical surround gate metal-oxide semiconductor field effect transistor, and manufacturing method thereof
US5998248A (en) * 1999-01-25 1999-12-07 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions with tapered spacer in isolation region
US6001697A (en) * 1998-03-24 1999-12-14 Mosel Vitelic Inc. Process for manufacturing semiconductor devices having raised doped regions
US6018176A (en) * 1995-05-26 2000-01-25 Samsung Electronics Co., Ltd. Vertical transistor and memory cell
US6037202A (en) * 1995-09-28 2000-03-14 Motorola, Inc. Method for growing an epitaxial layer of material using a high temperature initial growth phase and a low temperature bulk growth phase
US6074478A (en) * 1997-01-24 2000-06-13 Nec Corporation Method of facet free selective silicon epitaxy
US6090691A (en) * 1999-11-15 2000-07-18 Chartered Semiconductor Manufacturing Ltd. Method for forming a raised source and drain without using selective epitaxial growth
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6228733B1 (en) * 1999-09-23 2001-05-08 Industrial Technology Research Institute Non-selective epitaxial depostion technology
US6268621B1 (en) * 1999-08-03 2001-07-31 International Business Machines Corporation Vertical channel field effect transistor
US20010040292A1 (en) * 2000-01-28 2001-11-15 Seung-Ho Hahn Semiconductor device having a contact plug formed by a dual epitaxial layer and method for fabricating the same
US6319782B1 (en) * 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6391692B1 (en) * 2000-03-02 2002-05-21 Oki Electric Industry Co., Ltd Method of manufacturing an FET with a second insulation layer covering angular portions of the activation layer
US20020093054A1 (en) * 2001-01-12 2002-07-18 United Microelectronics Corp. Front stage process of a fully depleted silicon-on-insulator device and a structure thereof
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US6448129B1 (en) * 2000-01-24 2002-09-10 Micron Technology, Inc. Applying epitaxial silicon in disposable spacer flow
US6455377B1 (en) * 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US6492232B1 (en) * 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6620710B1 (en) * 2000-09-18 2003-09-16 Hewlett-Packard Development Company, L.P. Forming a single crystal semiconductor film on a non-crystalline surface
US6660650B1 (en) * 1998-12-18 2003-12-09 Texas Instruments Incorporated Selective aluminum plug formation and etchback process
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01286361A (en) 1988-05-12 1989-11-17 Nec Corp Semiconductor device
US5198378A (en) * 1988-10-31 1993-03-30 Texas Instruments Incorporated Process of fabricating elevated source/drain transistor
US4948745A (en) 1989-05-22 1990-08-14 Motorola, Inc. Process for elevated source/drain field effect structure
US5168089A (en) 1989-11-27 1992-12-01 At&T Bell Laboratories Substantially facet-free selective epitaxial growth process
US5363793A (en) * 1990-04-06 1994-11-15 Canon Kabushiki Kaisha Method for forming crystals
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
KR100274555B1 (en) 1991-06-26 2000-12-15 윌리엄 비. 켐플러 Insulated gate field effect transistor and manufacturing the same
JP2917694B2 (en) * 1992-04-02 1999-07-12 日本電気株式会社 Compound semiconductor vapor deposition method and apparatus therefor
US5241193A (en) 1992-05-19 1993-08-31 Motorola, Inc. Semiconductor device having a thin-film transistor and process
US5672539A (en) * 1994-01-14 1997-09-30 Micron Technology, Inc. Method for forming an improved field isolation structure using ozone enhanced oxidation and tapering
US5502145A (en) * 1994-03-02 1996-03-26 Dsm Desotech. Inc. Coating system for glass strength retention
JP2964925B2 (en) 1994-10-12 1999-10-18 日本電気株式会社 Method of manufacturing complementary MIS type FET
JP3363154B2 (en) * 1995-06-07 2003-01-08 ミクロン テクノロジー、インコーポレイテッド Stack / trench diode for use with multi-state material in a non-volatile memory cell
US5629546A (en) * 1995-06-21 1997-05-13 Micron Technology, Inc. Static memory cell and method of manufacturing a static memory cell
JPH0945907A (en) 1995-07-28 1997-02-14 Nec Corp Manufacture of semiconductor device
US5691212A (en) 1996-09-27 1997-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device structure and integration method
US6051473A (en) * 1996-11-22 2000-04-18 Advanced Micro Devices, Inc. Fabrication of raised source-drain transistor devices
US5843826A (en) 1997-06-03 1998-12-01 United Microeletronics Corp. Deep submicron MOSFET device
US6133123A (en) * 1997-08-21 2000-10-17 Micron Technology, Inc. Fabrication of semiconductor gettering structures by ion implantation
US5902125A (en) 1997-12-29 1999-05-11 Texas Instruments--Acer Incorporated Method to form stacked-Si gate pMOSFETs with elevated and extended S/D junction
US6127232A (en) 1997-12-30 2000-10-03 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETS for sub-0.1 micron gate length and ultra-shallow junctions
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6143608A (en) * 1999-03-31 2000-11-07 Advanced Micro Devices, Inc. Barrier layer decreases nitrogen contamination of peripheral gate regions during tunnel oxide nitridation
KR100332106B1 (en) 1999-06-29 2002-04-10 박종섭 Method of manufacturing a transistor in a semiconductor device
US6248637B1 (en) 1999-09-24 2001-06-19 Advanced Micro Devices, Inc. Process for manufacturing MOS Transistors having elevated source and drain regions
US6300251B1 (en) 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
US6426259B1 (en) * 2000-11-15 2002-07-30 Advanced Micro Devices, Inc. Vertical field effect transistor with metal oxide as sidewall gate insulator
US6531781B2 (en) 2000-12-13 2003-03-11 Vanguard International Semiconductor Corporation Fabrication of transistor having elevated source-drain and metal silicide
US6594293B1 (en) * 2001-02-08 2003-07-15 Amberwave Systems Corporation Relaxed InxGa1-xAs layers integrated with Si
US6495437B1 (en) * 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
US6506649B2 (en) * 2001-03-19 2003-01-14 International Business Machines Corporation Method for forming notch gate having self-aligned raised source/drain structure
CN1545485A (en) * 2001-08-27 2004-11-10 I Substituted donor atoms in silicon crystal for quantum computer
KR100491328B1 (en) * 2002-08-27 2005-05-25 한국기계연구원 Porous mosi2 material by self-propagating high temperature synthesis, and manufacturing method thereof

Patent Citations (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US888294A (en) * 1907-05-28 1908-05-19 William B Affleck Table for meat, sausage, and the like.
US4442178A (en) * 1981-11-25 1984-04-10 Tokyo Shibaura Denki Kabushiki Kaisha SOS Substrate for semiconductor device
US4554570A (en) * 1982-06-24 1985-11-19 Rca Corporation Vertically integrated IGFET device
US4757027A (en) * 1983-09-19 1988-07-12 Fairchild Semiconductor Corporation Method for fabricating improved oxide defined transistors
US5079180A (en) * 1988-12-22 1992-01-07 Texas Instruments Incorporated Method of fabricating a raised source/drain transistor
US4963506A (en) * 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5316962A (en) * 1989-08-15 1994-05-31 Matsushita Electric Industrial Co., Ltd. Method of producing a semiconductor device having trench capacitors and vertical switching transistors
US5122476A (en) * 1991-01-28 1992-06-16 Micron Technology, Inc. Double DRAM cell
US5612230A (en) * 1991-04-16 1997-03-18 Canon Kabushiki Kaisha Process for manufacturing a semiconductor device by applying a non-single-crystalline material on a sidewall inside of an opening portion for growing a single-crystalline semiconductor body
US5595920A (en) * 1991-04-23 1997-01-21 Canon Kabushiki Kaisha Method of manufacturing a semiconductor memory device for use with image pickup
US5304834A (en) * 1991-05-23 1994-04-19 At&T Bell Laboratories Selective epitaxy of silicon in silicon dioxide apertures with suppression of unwanted formation of facets
US5087586A (en) * 1991-07-03 1992-02-11 Micron Technology, Inc. Process for creating fully-recessed field isolation regions by oxidizing a selectively-grown epitaxial silicon layer
US5200352A (en) * 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5156987A (en) * 1991-12-18 1992-10-20 Micron Technology, Inc. High performance thin film transistor (TFT) by solid phase epitaxial regrowth
US5308782A (en) * 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5376562A (en) * 1992-03-02 1994-12-27 Motorola, Inc. Method for forming vertical transistor structures having bipolar and MOS devices
US5578850A (en) * 1992-03-02 1996-11-26 Motorola Inc. Vertically oriented DRAM structure
US5208172A (en) * 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
US5612563A (en) * 1992-03-02 1997-03-18 Motorola Inc. Vertically stacked vertical transistors used to form vertical logic gate structures
US5599724A (en) * 1992-05-21 1997-02-04 Kabushiki Kaisha Toshiba FET having part of active region formed in semiconductor layer in through hole formed in gate electrode and method for manufacturing the same
US5393681A (en) * 1992-09-02 1995-02-28 Motorola, Inc. Method for forming a compact transistor structure
US5627395A (en) * 1992-09-02 1997-05-06 Motorola Inc. Vertical transistor structure
US5312768A (en) * 1993-03-09 1994-05-17 Micron Technology, Inc. Integrated process for fabricating raised, source/drain, short-channel transistors
US5994735A (en) * 1993-05-12 1999-11-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a vertical surround gate metal-oxide semiconductor field effect transistor, and manufacturing method thereof
US5483094A (en) * 1993-09-20 1996-01-09 Motorola, Inc. Electrically programmable read-only memory cell
US5460994A (en) * 1994-03-28 1995-10-24 Samsung Electronics Co., Ltd. Semiconductor device having vertical conduction transistors and cylindrical cell gates
US5574299A (en) * 1994-03-28 1996-11-12 Samsung Electronics Co., Ltd. Semiconductor device having vertical conduction transistors and cylindrical cell gates
US5547889A (en) * 1994-03-28 1996-08-20 Samsung Electronics Co. Ltd. Method of forming a semiconductor device having vertical conduction transistors and cylindrical cell gates
US5849077A (en) * 1994-04-11 1998-12-15 Texas Instruments Incorporated Process for growing epitaxial silicon in the windows of an oxide-patterned wafer
US5600161A (en) * 1994-05-31 1997-02-04 Micron Technology, Inc. Sub-micron diffusion area isolation with Si-SEG for a DRAM array
US5641694A (en) * 1994-12-22 1997-06-24 International Business Machines Corporation Method of fabricating vertical epitaxial SOI transistor
US6096596A (en) * 1995-01-20 2000-08-01 Micron Technology Inc. Very high-density DRAM cell structure and method for fabricating it
US5753947A (en) * 1995-01-20 1998-05-19 Micron Technology, Inc. Very high-density DRAM cell structure and method for fabricating it
US5497017A (en) * 1995-01-26 1996-03-05 Micron Technology, Inc. Dynamic random access memory array having a cross-point layout, tungsten digit lines buried in the substrate, and vertical access transistors
US5888294A (en) * 1995-03-09 1999-03-30 Korea Institute Of Science And Technology Epitaxial growth rate varying method for side surface of semiconductor pattern
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US6018176A (en) * 1995-05-26 2000-01-25 Samsung Electronics Co., Ltd. Vertical transistor and memory cell
US5945698A (en) * 1995-08-09 1999-08-31 Micron Technology, Inc. Field effect transistor assemblies and transistor gate block stacks
US6037202A (en) * 1995-09-28 2000-03-14 Motorola, Inc. Method for growing an epitaxial layer of material using a high temperature initial growth phase and a low temperature bulk growth phase
US5831334A (en) * 1995-10-16 1998-11-03 Micron Technology, Inc. Field effect transistors comprising electrically conductive plugs having monocrystalline and polycrystalline silicon
US5998844A (en) * 1995-10-16 1999-12-07 Micron Technology, Inc. Semiconductor constructions comprising electrically conductive plugs having monocrystalline and polycrystalline silicon
US6057200A (en) * 1995-10-16 2000-05-02 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US5677573A (en) * 1995-10-16 1997-10-14 Micron Technology, Inc. Field effect transistor
US5933738A (en) * 1995-11-03 1999-08-03 Micron Technology, Inc. Method of forming a field effect transistor
US5753555A (en) * 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
US5780327A (en) * 1996-03-05 1998-07-14 International Business Machines Corporation Vertical double-gate field effect transistor
US5872374A (en) * 1996-03-29 1999-02-16 Motorola, Inc. Vertical semiconductor device
US5963822A (en) * 1996-04-12 1999-10-05 Kabushiki Kaisha Toshiba Method of forming selective epitaxial film
US5863826A (en) * 1996-08-02 1999-01-26 Micron Technology, Inc. CMOS isolation utilizing enhanced oxidation of recessed porous silicon formed by light ion implantation
US6074478A (en) * 1997-01-24 2000-06-13 Nec Corporation Method of facet free selective silicon epitaxy
US5864180A (en) * 1997-02-27 1999-01-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US5886382A (en) * 1997-07-18 1999-03-23 Motorola, Inc. Trench transistor structure comprising at least two vertical transistors
US6509239B1 (en) * 1998-02-13 2003-01-21 Micron Technology, Inc. Method of fabricating a field effect transistor
US6458699B1 (en) * 1998-02-13 2002-10-01 Micron Technology, Inc. Methods of forming a contact to a substrate
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6001697A (en) * 1998-03-24 1999-12-14 Mosel Vitelic Inc. Process for manufacturing semiconductor devices having raised doped regions
US6492232B1 (en) * 1998-06-15 2002-12-10 Motorola, Inc. Method of manufacturing vertical semiconductor device
US6319782B1 (en) * 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
US6660650B1 (en) * 1998-12-18 2003-12-09 Texas Instruments Incorporated Selective aluminum plug formation and etchback process
US5998248A (en) * 1999-01-25 1999-12-07 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions with tapered spacer in isolation region
US6392271B1 (en) * 1999-06-28 2002-05-21 Intel Corporation Structure and process flow for fabrication of dual gate floating body integrated MOS transistors
US6268621B1 (en) * 1999-08-03 2001-07-31 International Business Machines Corporation Vertical channel field effect transistor
US6228733B1 (en) * 1999-09-23 2001-05-08 Industrial Technology Research Institute Non-selective epitaxial depostion technology
US6090691A (en) * 1999-11-15 2000-07-18 Chartered Semiconductor Manufacturing Ltd. Method for forming a raised source and drain without using selective epitaxial growth
US6448129B1 (en) * 2000-01-24 2002-09-10 Micron Technology, Inc. Applying epitaxial silicon in disposable spacer flow
US20010040292A1 (en) * 2000-01-28 2001-11-15 Seung-Ho Hahn Semiconductor device having a contact plug formed by a dual epitaxial layer and method for fabricating the same
US6391692B1 (en) * 2000-03-02 2002-05-21 Oki Electric Industry Co., Ltd Method of manufacturing an FET with a second insulation layer covering angular portions of the activation layer
US6620710B1 (en) * 2000-09-18 2003-09-16 Hewlett-Packard Development Company, L.P. Forming a single crystal semiconductor film on a non-crystalline surface
US20020093054A1 (en) * 2001-01-12 2002-07-18 United Microelectronics Corp. Front stage process of a fully depleted silicon-on-insulator device and a structure thereof
US6455377B1 (en) * 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040126987A1 (en) * 2002-12-26 2004-07-01 Kim Hyung Sik Method for manufacturing merged DRAM with logic device
US6858490B2 (en) 2002-12-26 2005-02-22 Hynix Semiconductor Inc. Method for manufacturing merged DRAM with logic device
US8012840B2 (en) 2005-02-25 2011-09-06 Sony Corporation Semiconductor device and method of manufacturing semiconductor device
US7557396B2 (en) * 2005-02-25 2009-07-07 Sony Corporation Semiconductor device and method of manufacturing semiconductor device
US20090233411A1 (en) * 2005-02-25 2009-09-17 Sony Corporation Semiconductor device and method of manufacturing semiconductor device
US20060192232A1 (en) * 2005-02-25 2006-08-31 Atsuhiro Ando Semiconductor device and method of manufacturing semiconductor device
US20080277732A1 (en) * 2006-02-08 2008-11-13 Fujitsu Limited P-channel mos transistor and semiconductor integrated circuit device
US8072031B2 (en) * 2006-02-08 2011-12-06 Fujitsu Semiconductor Limited P-channel MOS transistor and semiconductor integrated circuit device
US8222701B2 (en) 2006-02-08 2012-07-17 Fujitsu Semiconductor Limited P-channel MOS transistor and semiconductor integrated circuit device
US20080135817A1 (en) * 2006-12-12 2008-06-12 Honeywell International Inc. Gaseous dielectrics with low global warming potentials
US7807074B2 (en) * 2006-12-12 2010-10-05 Honeywell International Inc. Gaseous dielectrics with low global warming potentials
US20100320428A1 (en) * 2006-12-12 2010-12-23 Honeywell International Inc. Gaseous dielectrics with low global warming potentials
US8080185B2 (en) 2006-12-12 2011-12-20 Honeywell International Inc. Gaseous dielectrics with low global warming potentials

Also Published As

Publication number Publication date
US20120211824A1 (en) 2012-08-23
US9685536B2 (en) 2017-06-20
US20060284269A1 (en) 2006-12-21
US20060289902A1 (en) 2006-12-28
US7176109B2 (en) 2007-02-13
US20030164513A1 (en) 2003-09-04
US20020135029A1 (en) 2002-09-26

Similar Documents

Publication Publication Date Title
US7176109B2 (en) Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
US5821158A (en) Substrate surface treatment method capable of removing a spontaneous oxide film at a relatively low temperature
US4873205A (en) Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US20050164469A1 (en) Method for N+ doping of amorphous silicon and polysilicon electrodes in deep trenches
US5998844A (en) Semiconductor constructions comprising electrically conductive plugs having monocrystalline and polycrystalline silicon
US7611973B2 (en) Methods of selectively forming epitaxial semiconductor layer on single crystalline semiconductor and semiconductor devices fabricated using the same
US7531395B2 (en) Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US6933228B2 (en) Method of manufacturing of contact plug in a contact hole on a silicon substrate
EP0942464A2 (en) Surface passivation using silicon oxynitride
US7012294B2 (en) Semiconductor constructions
US20060038243A1 (en) Transistor and method of manufacturing the same
JPH08139278A (en) Manufacture of semiconductor device
CN110858538A (en) Method of forming crystalline semiconductor material and method of forming transistor
TW557568B (en) Semiconductor integrated circuit device and method of manufacturing the same
KR20040025967A (en) Semiconductor device having contact plug using selective epitaxial growth and method of fabricating the same
JP2701793B2 (en) Method for manufacturing semiconductor device
US6403455B1 (en) Methods of fabricating a memory device
KR100364813B1 (en) Method for Forming Epitaxial Layer of Semiconductor Device
JPH0583173B2 (en)
JP3439381B2 (en) Method for manufacturing semiconductor device
US5753552A (en) Method for fabricating a storage electrode without polysilicon bridge and undercut
KR100955924B1 (en) Method for forming contact plug of semicondutor device
CN114695249A (en) Method for manufacturing contact part, bit line, storage node and DRAM
KR20020008535A (en) Method of manufacturing a semiconductor device
KR20000043619A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: MOSAID TECHNOLOGIES INCORPORATED, CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:023220/0243

Effective date: 20090609

AS Assignment

Owner name: MOSAID TECHNOLOGIES INCORPORATED,CANADA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE TO CORRECT THE ASSIGNEES' ADDRESS PREVIOUSLY RECORDED ON REEL 023220 FRAME 0243. ASSIGNOR(S) HEREBY CONFIRMS THE MOSAID TECHNOLOGIES INCORPORATED 6 SAUBLE DRIVE, SUITE 203, OTTAWA,ONTARIO, CANADA K2K 2X1;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:024225/0878

Effective date: 20090609

AS Assignment

Owner name: ROYAL BANK OF CANADA, CANADA

Free format text: U.S. INTELLECTUAL PROPERTY SECURITY AGREEMENT (FOR NON-U.S. GRANTORS) - SHORT FORM;ASSIGNORS:658276 N.B. LTD.;658868 N.B. INC.;MOSAID TECHNOLOGIES INCORPORATED;REEL/FRAME:027512/0196

Effective date: 20111223

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION