US20020139477A1 - Plasma processing method and apparatus with control of plasma excitation power - Google Patents

Plasma processing method and apparatus with control of plasma excitation power Download PDF

Info

Publication number
US20020139477A1
US20020139477A1 US09/821,753 US82175301A US2002139477A1 US 20020139477 A1 US20020139477 A1 US 20020139477A1 US 82175301 A US82175301 A US 82175301A US 2002139477 A1 US2002139477 A1 US 2002139477A1
Authority
US
United States
Prior art keywords
power
plasma
workpiece
chamber
gradual
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/821,753
Inventor
Tuqiang Ni
Frank Lin
Chung-Ho Huang
Weinan Jiang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US09/821,753 priority Critical patent/US20020139477A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, FRANK Y., JIANG, WEINAN, HUANG, CHUNG-HO, NI, TUQUIANG
Priority to CNB028111346A priority patent/CN100351988C/en
Priority to AU2002247433A priority patent/AU2002247433A1/en
Priority to PCT/US2002/009562 priority patent/WO2002080214A2/en
Priority to KR1020037012885A priority patent/KR100907197B1/en
Publication of US20020139477A1 publication Critical patent/US20020139477A1/en
Priority to US13/172,917 priority patent/US8480913B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Definitions

  • the present invention relates generally to vacuum plasma processors for processing workpieces on a workpiece holder and more particularly to a method of and apparatus for gradually changing, on a preprogrammed basis, power an AC plasma excitation source supplies to plasma in a vacuum processor chamber.
  • Vacuum processors for processing a workpiece typically include first and second ports respectively connected to a vacuum pump and one or more gas sources.
  • the gas is excited to a plasma in the chamber by an electric source including a reactance responsive to a first AC source, typically an RF or microwave source.
  • a first matching network is usually connected between the first AC source and the reactance for exciting the plasma. If the source is an RF source, the reactance is either a coil for supplying magnetic and electric fields to the chamber interior via a dielectric window or a parallel plate capacitive arrangement for supplying an electric field to the chamber interior.
  • the workpiece which is typically a semiconductor wafer or a dielectric sheet or a metal plate, is clamped in place on a workpiece holder, i.e., chuck, that frequently includes an electrode covered by a dielectric.
  • DC voltage is typically applied to the electrode to provide an electrostatic clamping force to hold the workpiece in situ on the holder.
  • the workpiece is usually cooled by applying a coolant agent, such as helium, to a recess in the chuck and by applying a liquid to conduits in the chuck.
  • a second AC source is connected to the electrode by way of a matching network.
  • Each matching network includes a pair of variable reactances having values that are varied by motors, typically step motors.
  • Sensors for electric parameters associated with the plasma as coupled to the excitation reactance and as coupled to the chuck electrode, derive signals which assist in controlling the values of the variable reactances.
  • Pressure and flow rate transducers respectively in the chamber and in a line supplying gas to the second port derive signals which assist in controlling the vacuum pressure in the chamber and the flow rate of gas flowing into the chamber through the second port.
  • a controller including a microprocessor and a memory system including a hard drive, random access memory (RAM) and a read only memory (ROM), responds to the signals derived by the transducers and signals from an operator input console to produce signals for controlling the variable reactances, output power of the two AC sources, the vacuum pressure in the chamber and the flow rate of gases supplied to the chamber through the second port.
  • the memory system stores several recipes, each in the form of signals representing various parameters controlling the deposition and etching of the workpieces for differing situations.
  • the parameters of each recipe are, inter alia, gas species to be supplied to the chamber, flow rates of the species, vacuum pressure in the chamber and output powers of the two AC sources.
  • Each recipe can include other parameters, such as time for carrying out each recipe step.
  • the controller responds to the parameters of the recipe to control valves for the flow of the gases into the chamber, the chamber pressure, as well as the output power of the first and second AC sources.
  • the controller controls the reactances of the first and second matching networks so that there is an efficient transfer of power between the first and second AC sources and the loads they drive so the impedances seen looking into the output terminals of the first and second sources are substantially equal to the impedances the first and second sources respectively see by looking from their output terminals into cables connected to the first and second matching networks.
  • a recipe change has been marked by step, i.e. sudden, changes in at least one of (1) gas flow rate, (2) chamber pressure, (3) power supplied to a plasma excitation coil, (4) the gas species flowing into the chamber, and (5) power supplied to (a) an electrode, such as bottom electrode on which the workpiece is mounted or a top electrode for exciting a gas to a plasma, or (b) an RF plasma excitation coil.
  • step changes result in sharp demarcations between layers etched from the workpiece or deposited on the workpiece.
  • the step changes during etching of a trench in a workpiece e.g., a silicon substrate, result in sharp corners between a wall and base of the trench.
  • Such step changes also frequently result in sharp corners at a boundary between a trench wall and a layer at the top of the trench. Such sharp corners can make it difficult to fill the trench during subsequent operations and have other known disadvantages, such as causing stress related defects and/or electrical leakage.
  • the gas species on a transient basis results in a change in plasma impedance.
  • the change in plasma impedance adversely affects the ability of the matching network between the electric source and the coil and/or electrode to provide an efficient transfer of power between the source or sources and the driven loads.
  • the time for the new gas, i.e., the dilutant or passivation gas, to flow into the chamber is likely to vary as a function of gas line length between the chamber and the gas source.
  • precise control of the processing step is difficult to achieve and/or recipe processing steps must be customized for the different gas line lengths between the different gas sources and the chamber.
  • the plural gas species applied to the chamber remain the same and at constant flow rates while power supplied to the plasma is reduced in three steps, each of which occurs simultaneously with an increase in chamber pressure.
  • the power supplied to a plasma excitation reactance and chamber pressure are respectively 800 watts and 50 millitorr.
  • the supplied power is reduced suddenly from 800 watts to 750 watts while chamber pressure is increased suddenly to 80 millitorr.
  • supplied power is suddenly reduced further to 650 watts while chamber pressure is suddenly increased to 100 millitorr.
  • An additional object of the invention is to provide a new and improved method of and apparatus for operating a vacuum plasma processing chamber in such a manner that sharp corners on processed workpieces are avoided.
  • Another object of the invention is to provide a new and improved method of and apparatus for controlling a vacuum plasma processor such that changes in a processing recipe are performed in a manner which avoids sharp corners on a processed workpiece.
  • Still another object of the invention is to provide a new and improved method of and apparatus for processing a workpiece in a vacuum plasma workpiece processor so that changes during a recipe are performed in such a way as to avoid sharp corners in a processed workpiece and wherein processor throughput is relatively high.
  • Still another object of the invention is to provide a new and improved method of and apparatus for controlling processing of workpieces in a vacuum plasma processor, wherein changes in steps of a recipe are performed in such a way that sharp corners of the workpiece are avoided, without substantial impedance mismatches occurring between one or more sources driving reactive components which supply power to processing gas in the chamber.
  • AC power supplied to a plasma in a vacuum plasma workpiece processing chamber is controlled on a preprogrammed basis so there are gradual changes in the amount of AC power supplied to the plasma during processing of one workpiece.
  • the gradual power change occurs while no change is made in (a) the gas species flowing into the chamber, (b) the chamber pressure or (c) the gas species flow rates.
  • the AC power can be supplied to the chamber by an upper or lower chamber electrode coupling an AC electric field to gas in the chamber or a coil coupling an AC electromagnetic field to the chamber gas.
  • the gradual power change is typically such that it causes a gradual transition in the shape of material in the processed workpiece.
  • a gas species is ionized into a plasma that etches the material and the preprogrammed gradual power change and the species are such that the material is shaped so a rounded corner is formed in the material as a result of the etching.
  • the etching forms a trench wall including the rounded corner, which in one embodiment is at an intersection of a wall and a base of a trench.
  • the gradual change is typically performed in response to a computer program storing steps having (1) power changes in the range of a few milliwatts to less than 5% of the maximum output power of a source (e.g., if a source has a maximum output power of 3 kW, the maximum power change is 15 watts), and (2) durations in the range of about 1 millisecond to about 1 second. Steps having power changes greater than about 5% of maximum output power are too steep to provide the desired control over the plasma to achieve the desired workpiece shapes and steps lasting longer than about 1 second do not have adequate temporal resolution to achieve the desired workpiece shapes.
  • FIG. 1 is a block diagram of a typical vacuum plasma processor and controller capable of performing the present invention
  • FIG. 2 is a waveform of power versus time that can be applied to the coil or electrode of the apparatus illustrated in FIG. 1, wherein power increases gradually in an upwardly ramping manner;
  • FIG. 3 is a waveform similar to the waveform of FIG. 2, wherein the power ramps downwardly;
  • FIG. 4 is a waveform of power versus time that can be applied to the coil and/or electrode of FIG. 1, wherein the waveform is derived from experimental data;
  • FIG. 5 is a schematic diagram of a cross section of an illustrative semiconductor wafer prior to etching.
  • FIG. 6 is an schematic diagram of the wafer illustrated in FIG. 5 after it has been etched in accordance with a specific embodiment of the present invention.
  • the workpiece processor illustrated in FIG. 1 includes vacuum plasma processing chamber assembly 10 , a first circuit 12 for driving a reactance for exciting ionizable gas in chamber assembly 10 to a plasma state, a second circuit 14 for applying RF bias to a workpiece holder in chamber assembly 10 , and a controller arrangement 16 responsive to sensors for various parameters associated with chamber assembly 10 for deriving control signals for devices affecting the plasma in chamber assembly 10 .
  • Controller 16 includes microprocessor 20 which responds to various sensors associated with chamber assembly 10 , as well as circuits 12 and 14 , and signals from operator input 22 , which can be in the form, for example, of a keyboard.
  • Microprocessor 20 is coupled with memory system 24 including hard disk 26 , random access memory (RAM) 28 and read only memory (ROM) 30 .
  • Microprocessor 20 responds to the various signals supplied to it to drive display 32 , which can be a typical computer monitor.
  • Hard disk 26 and ROM 30 store programs for controlling the operation of microprocessor 20 and preset data associated with different recipes for the processes performed in chamber assembly 10 .
  • the different recipes concern gas species and flow rates applied to chamber assembly 10 during different processes, the output power of AC sources included in circuits 12 and 14 , the vacuum applied to the interior of chamber assembly 10 , and initial values of variable reactances included in matching networks of circuits 12 and 14 .
  • Plasma chamber assembly 10 includes chamber 40 having metal, non-magnetic cylindrical side wall 42 and metal, non-magnetic base 44 , both of which are electrically grounded.
  • Dielectric, typically quartz, window 46 is fixedly positioned on the top edge of wall 42 .
  • Wall 42 , base 44 and window 46 are rigidly connected to each other by suitable gaskets to enable a vacuum to be established within the interior of chamber 40 .
  • Planar plasma excitation coil 48 for example, as configured in Ogle, U.S. Pat. No. 4,948,458 or Holland et al., U.S. Pat. No. 5,759,280, sits on or in very close proximity to the upper face of window 46 .
  • Coil 48 an electric reactance, reactively supplies magnetic and electric AC fields usually at an RF frequency such as 13.56 MHz, to the interior of chamber 40 , to excite ionizable gas in the chamber to plasma, schematically illustrated in FIG. 1 by reference numeral 50 . It is to be understood that for the purposes of the present invention, coil 48 can be replaced with a powered or grounded electrode that extends parallel to electrode 56 and can be located in chamber 40 .
  • the upper face of base 44 carries holder, i.e. chuck, 52 for workpiece 54 , which is typically a circular semiconductor wafer, a rectangular dielectric plate such as used in flat panel displays or a metal plate.
  • Chuck holder 52 typically includes metal plate 56 that forms an electrode (a reactive element).
  • Electrode 56 carries dielectric layer 58 and sits on dielectric layer 60 , which is carried by the upper face of base 44 .
  • a workpiece handling mechanism places workpiece 54 on the upper face of dielectric layer 58 .
  • Workpiece 54 is cooled by supplying helium from a suitable source 62 to the underside of dielectric layer 58 via conduit 64 and grooves (not shown) in electrode 56 and by supplying a liquid from a suitable source (not shown) to conduits (not shown) in chuck 52 .
  • DC source 66 supplies a suitable voltage through a switch (not shown) to electrode 56 to clamp, i.e., chuck, workpiece 54 to chuck 52 .
  • one or more ionizable gases from one or more sources 68 flow into the interior of chamber 40 through conduit 70 and port 72 in sidewall 42 .
  • gas source 68 For convenience, only one gas source 68 is shown in FIG. 1, but it is to be understood that usually there are several gas sources of different species, e.g. etchants, such as SF 6 , CH 4 , C 12 and HBr, dilutants such as Ar or He, and O 2 as a passivation gas.
  • the interior of conduit 70 includes valve 74 and flow rate gauge 76 for respectively controlling the flow rate of gas flowing through port 72 into chamber 40 and measuring the gas flow rate through port 72 .
  • Valve 74 responds to a signal microprocessor 20 derives, while gauge 76 supplies the microprocessor with an electric signal indicative of the gas flow rate in conduit 70 .
  • Memory system 24 stores for each recipe step of each workpiece 54 processed in chamber 40 a signal indicative of desired gas flow rate in conduit 70 .
  • Microprocessor 20 responds to the signal memory system 24 stores for desired flow rate and the monitored flow rate signal gauge 76 derives to control valve 74 accordingly.
  • Vacuum pump 80 connected to port 82 in base 44 of chamber 40 by conduit 84 , evacuates the interior of the chamber to a suitable pressure, typically in the range of one to one hundred millitorr.
  • Pressure gauge 86 in the interior of chamber 40 , supplies microprocessor 20 with a signal indicative of the vacuum pressure in chamber 40 .
  • Memory system 24 stores for each recipe step a signal indicative of desired vacuum pressure for the interior of chamber 40 .
  • Microprocessor 20 responds to the stored desired pressure signal memory system 24 derives for each recipe step and an electric signal from pressure gauge 86 to supply an electric signal to vacuum pump 80 to maintain the pressure in chamber 40 at the set point or predetermined value for each recipe step.
  • Optical spectrometer 90 monitors the optical emission of plasma 50 by responding to optical energy emitted by the plasma and coupled to the spectrometer via window 92 in side wall 42 .
  • Spectrometer 90 responds to the optical energy emitted by plasma 50 to supply an electric signal to microprocessor 20 .
  • Microprocessor 20 responds to the signal that spectrometer 90 derives to detect an end point of the process (either etching or deposition) that plasma 50 is performing on workpiece 54 .
  • Microprocessor 20 responds to the signal spectrometer 90 derives and a signal memory system 24 stores indicative of a characteristic of the output of the spectrometer associated with an end point to supply the memory with an appropriate signal to indicate that the recipe step has been completed.
  • Microprocessor 20 then responds to signals from memory system 24 to stop certain activities associated with the completed recipe step and initiate a new recipe step on the workpiece being processed in chamber 40 or commands release of workpiece 54 from chuck 52 and transfer of a new workpiece to the chuck, followed by instigation of another series of recipe processing steps.
  • Excitation circuit 12 for driving coil 48 includes constant frequency RF source 100 , having a constant output power and typically having a frequency of 13.56 MHz.
  • Source 100 drives power amplifier 102 , having an electronically controlled power gain, so that the amplifier response time is on the order of a few microseconds or less, i.e., the output power of amplifier 102 changes from a first value to a second value in a few microseconds or less.
  • the output power of amplifier 102 is in the range between 100 and 3000 watts.
  • Amplifier 102 typically has a 50 ohm output impedance all of which is resistive and none of which is reactive. Hence, the impedance seen looking back into the output terminals of amplifier 102 is typically represented by (50+j 0 ) ohms, and cable 106 is chosen to have a characteristic impedance of 50 ohms.
  • memory system 24 stores a signal for desired output powers of amplifier 102 .
  • Memory system 24 supplies the desired output power of amplifier 102 to the amplifier by way of microprocessor 20 .
  • the output power of amplifier 102 can be controlled in an open loop manner in response to the signals stored in memory system 24 or control of the output power of amplifier 102 can be on a closed loop feedback basis, as known in the art.
  • the output power of amplifier 102 is also gradually dynamically changed as a function of time as preprogrammed changes in a recipe step are ordered by memory system 24 .
  • the preprogrammed dynamic changes in the output power are stored in memory system 24 and control the power gain of amplifier 102 .
  • the output power of amplifier 102 drives coil 48 via cable 106 and matching network 108 .
  • Matching network 108 typically configured as a “T,” includes two series legs including variable capacitors 112 and 116 , as well as a shunt leg including fixed capacitor 114 .
  • Coil 48 includes input and output terminals 122 and 124 , respectively connected to one electrode of capacitor 112 and to a first electrode of series capacitor 126 , having a grounded second electrode.
  • the value of capacitor 126 is preferably selected as described in the commonly assigned, previously mentioned, Holland et al. patent.
  • Electric motors 118 and 120 respond to signals from microprocessor 20 to control the values of capacitors 112 and 116 in relatively small increments to maintain an impedance match between the impedance seen by looking from the output terminals of amplifier 102 into cable 106 and by looking from cable 106 into the output terminals of amplifier 102 .
  • microprocessor 20 controls motors 118 and 120 so the impedance seen looking from cable 106 into matching network 108 is as close as possible to (50+j 0 ) ohms.
  • microprocessor 20 responds to signals from conventional sensor arrangement 104 indicative of the impedance seen looking from cable 106 into matching network 108 .
  • sensors can be provided for deriving signals indicative of the power amplifier 102 supplies to its output terminals and the power reflected by matching network 108 back to cable 106 .
  • Microprocessor 20 responds, in one of several known manners, to the sensed signals that sensor arrangement 104 derives to control motors 118 and 120 to attain the matched condition.
  • Circuit 14 for supplying RF bias to workpiece 54 via electrode 56 has a construction somewhat similar to circuit 12 .
  • Circuit 14 includes constant frequency RF source 130 , having a constant output power and typically having a frequency such as 400 KHz, 2.0 MHz or 13.56 MHz.
  • the output of source 130 drives electronically controlled variable gain power amplifier 132 , having the same characteristics as amplifier 102 .
  • Amplifier 132 in turn drives a cascaded arrangement including directional coupler 134 , cable 136 and matching network 138 .
  • Matching network 138 includes a series leg comprising the series combination of fixed inductor 140 and variable capacitor 142 , as well as a shunt leg including fixed inductor 144 and variable capacitor 146 .
  • Motors 148 and 150 which are preferably step motors, vary the values of capacitors 142 and 146 , respectively, in response to signals from microprocessor 20 .
  • Output terminal 152 of matching network 138 supplies an RF bias voltage to electrode 56 by way of series coupling capacitor 154 which isolates matching network 138 from the chucking voltage of DC source 66 .
  • the RF energy circuit 14 applies to electrode 56 is capacitively coupled via dielectric layer 48 , workpiece 54 and a plasma sheath between the workpiece and plasma to a portion of plasma 50 in close proximity with chuck 52 .
  • the RF energy that chuck 52 couples to plasma 50 establishes a DC. bias in the plasma; the DC bias typically has values between 50 and 1000 volts.
  • the DC bias resulting from the RF energy circuit 14 applies to electrode 52 accelerates ions in plasma 50 to workpiece 54 .
  • Microprocessor 20 responds to signals indicative of the impedance seen looking from cable 136 into matching network 138 , as derived by a known sensor arrangement 139 , to control motors 148 and 150 and the values of capacitors 142 and 146 in a manner similar to that described supra with regard to control of capacitors 112 and 116 of matching network 108 .
  • memory system 24 stores set point signals for the net power coupled by directional coupler 134 to cable 136 .
  • the net power coupled by directional coupler 134 to cable 136 equals the output power of amplifier 132 minus the power reflected from the load and matching network 138 back through cable 136 to the terminals of directional coupler 134 connected to cable 136 .
  • Memory system 24 supplies the net power set point signal associated with circuit 14 to microprocessor 20 .
  • Microprocessor 34 also responds to output signals directional coupler 134 supplies to power sensor arrangement 141 .
  • Power sensor arrangement 141 derives signals indicative of output power of amplifier 132 and power reflected by cable 136 back toward the output terminals of amplifier 132 .
  • Microprocessor 20 responds to the set points and measured signals sensor arrangement 141 derives, which measured signals are indicative of the output power of amplifier 132 and the power reflected back to amplifier, to control the power gain of amplifier 132 .
  • the output power of amplifier 132 is also gradually dynamically changed as a function of time as changes in a recipe are ordered by memory systems 24 .
  • the dynamic changes in the output power are stored in memory system 24 and control the power gain of amplifier 132 .
  • One of the elements of memory system 24 stores preprogrammed values for controlling the output power of amplifier 102 and/or 132 during a step of the recipe of plasma 50 processing workpiece 54 .
  • the preprogrammed values thereby control the amount of power coil 48 and/or electrode 56 supply to the plasma 50 in chamber 40 to enable the power that coil 48 and/or electrode 56 supplies to the plasma to change gradually as a function of time in accordance with a preprogrammed predetermined function, such as the mathematical functions 170 and 172 illustrated in FIGS. 2 and 3 or the empirical function 174 illustrated in FIG. 4.
  • Functions 170 and 172 of FIGS. 2 and 3 are respectively upwardly and downwardly directed substantially continuous, gradual linear ramping functions.
  • the preprogrammed values for controlling the output power of amplifier 102 and/or 132 that read-only memory 30 stores are, in actuality, a series of relatively small incremental steps, each of which usually has the same value.
  • the incremental steps are such that the output power of amplifier 102 and/or 132 changes suddenly at the beginning of each step, by a small value, in the range of about 1 milliwatt to less than 5% of the maximum output power of amplifier 102 and/or 132 (e.g., if the maximum output power of amplifier 102 is 3000 watts, the maximum change in a step of the output power of amplifier is 15 watts).
  • Each step usually has the same relatively short duration, typically between one millisecond and one second during which the output power of amplifier 102 and/or 132 remains constant. Steps longer than one second will not usually provide the desired rounding effect previously discussed in this document.
  • a series of steps in the foregoing ranges provides substantially continuous and gradual variations in power supplied to coil 48 and electrode 56 and therefore the power supplied to plasma 50 .
  • the stored program in hard disk 26 periodically reads stored numeric values in read-only memory 30 indicative of the gain amplifier settings which provide the desired output power of the amplifier.
  • Microprocessor 20 responds to the values read from read-only memory 30 to control the gain of at least one of amplifiers 102 and 132 and thereby vary the power at least one of coil 48 and electrode 56 supplies to plasma 50 .
  • FIGS. 2, 3 and 4 represent the power RF source 30 and variable gain amplifier 132 supply to electrode 56 .
  • memory system 24 and microprocessor 20 Prior to the beginning of ramping function 170 , at time T 1 , memory system 24 and microprocessor 20 set the gain of amplifier 132 so that electrode 56 supplies constant power P 1 to plasma 50 .
  • memory system 24 and microprocessor 20 control the gain of amplifier 132 to increase the power supplied to electrode 56 as indicated by linear, upwardly directed, gradually increasing and substantially continuous ramping function 170 .
  • Ramping function 170 continues until the recipe step has been completed at time T 2 . Thereafter, memory system 24 and microprocessor 20 maintain the gain of amplifier 132 constant so that electrode 56 supplies constant power P 2 to plasma 50 .
  • Memory system 24 and microprocessor 20 control the gain of amplifier 132 and the power electrode 56 supplies to plasma 50 to gradually and substantially continuously decrease the plasma power along ramping function 172 .
  • Ramping function 172 extends from a constant value P 2 , at time T 1 , to a constant value P 1 , at time T 2 .
  • the power decrease from P 2 to P 1 is performed in the same manner described for upwardly directed ramping function 170 .
  • the slopes of ramping functions 170 and 172 are determined by the magnitude and duration of each step change in the gain of amplifier 132 .
  • the magnitude and duration of each step change in the gain of amplifier 132 for a particular recipe change are the same; it is to be understood, however, that different step changes in the gain of amplifier 132 for a particular recipe change can have different magnitude and duration values.
  • Function 174 of FIG. 4 is empirically derived and results from a series of experiments performed on test workpieces 54 under various RF power settings and from measurements of profile angles under these various power settings. It is to be understood that function 174 of FIG. 4 is merely for illustrative purposes and that many different empirically derived functions can be employed, as necessary.
  • the particular function 174 varies between a constant power level P 3 to a higher constant power level P 4 , which respectively subsist prior to time T 3 and subsequent to time T 4 , the temporal boundaries of function 174 .
  • Function 174 decreases slowly from power level P 3 , then increases at a faster rate to a value above power level P 4 and then returns to power level P 4 at time T 4 .
  • FIGS. 5 and 6 of the drawing respectively schematic drawings of an illustrative semiconductor structure prior and subsequent to etching operations in accordance with one embodiment of the present invention.
  • the pre-etch structure of FIG. 5 includes silicon substrate 202 having a top face coated by thin film silicon oxide layer 204 , typically having a thickness of 150 angstroms, which in turn is covered by a thin film silicon nitride layer 206 , typically having a thickness of 1600 angstroms.
  • Layer 206 is coated with an epitaxial bottom anti-reflective coating 208 , typically having a thickness of 570 angstroms, in turn covered by two spaced photoresist strips 210 .
  • FIG. 5 The structure of FIG. 5 is initially processed by reducing the height of photoresist strips 210 to form truncated photoresist strips 212 .
  • Photoresist strips 210 are reduced in height by supplying a typical photoresist etchant from gas sources 68 to the interior of chamber 40 under the control of a program hard disk 26 stores and which is read to microprocessor 20 .
  • the program that hard disk 26 stores and microprocessor 20 cause the power supplied to coil 48 and electrode 56 and the vacuum in chamber 40 to remain constant.
  • hard disk 26 causes bottom anti-reflective coating 28 to be etched by opening valves 74 connected to hydrogen bromide (HBr) and oxygen (O 2 ) sources 68 so that the flow ratio of these gases is 75 to 22.
  • disk 26 and microprocessor 20 control vacuum pump 80 so the pressure in chamber 40 is 3 millitorr.
  • hard disk 26 causes the gains of amplifiers 102 and 132 to be such that coil 40 is supplied with 500 watts of RF power at 13.56 MHz while electrode 56 is supplied with 178 watts of RF power at 13.56 MHz; the 178 watts of RF power supplied to electrode 56 causes a DC bias of ⁇ 200V to be established on the electrode.
  • Optical spectrometer 90 detects when the etching end point of layer 208 occurs.
  • Microprocessor 20 and memory system 24 respond to the signal from optical spectrometer 90 to cause over etching of layer 208 by 30 percent, a result achieved by not changing the etching parameters in chamber 40 .
  • microprocessor 20 and memory system 24 cause silicon nitride layer 206 to be etched in a somewhat similar matter to that described in connection with coating 208 until optical spectrometer 90 detects an etch end point.
  • Etching of layer 206 is in response to a suitable mixture of fluorine-based etchants while the chamber 40 pressure is 10 millitorr, the RF power supplied to coil 48 is 1000 watts and the RF power supplied to electrode 56 is 155 watts, resulting in the electrode having a DC bias of ⁇ 70V.
  • Microprocessor 20 and memory system 24 then cause silicon nitride layer 206 to be over etched for 10 seconds.
  • the over etch is performed by causing a suitable mixture of fluorine-based etchants argon and oxygen to flow from sources 68 into chamber 40 , while the chamber is maintained at a pressure of 7 millitorr, and 1400 and 400 watts RF power are respectively applied to coil 48 and electrode 56 .
  • the application of 400 watts RF power to electrode 56 results in the electrode being at a DC voltage of ⁇ 145 V.
  • Microprocessor 20 and memory system 24 then cause a breakthrough of silicon oxide layer 204 by causing 100 sccm Cl 2 to be applied for five seconds from gas sources 68 to chamber 40 , while 500 and 120 watts are respectively applied to coil 48 and electrode 56 .
  • Microprocessor 20 and memory system 24 then cause the main etch operation for shallow trench isolation of silicon substrate 202 to be performed.
  • the main etch operation is performed for 65 seconds in response to a suitable mixture of HBr/Cl 2 /O 2 flowing from gas sources 68 to chamber 40 .
  • vacuum pump 80 maintains the pressure in chamber 40 at 15 millitorr
  • the output of amplifier 102 supplies coil 48 with 1000 watts
  • amplifier 132 supplies electrode 56 with 235 watts so that the DC bias voltage of electrode 56 is ⁇ 320V.
  • the silicon in substrate 202 is at the location indicated by point 212 , FIG. 7, slightly above the trench final base 214 .
  • the final etch operation of silicon substrate 202 between point 212 and base 214 is performed in such a manner as to achieve rounded edges 216 between point 212 and base 214 .
  • microprocessor 20 and memory system 24 perform the final etch operation for 15 seconds.
  • vacuum pump 80 maintains the pressure in chamber 40 constant at 10 millitorr
  • amplifier 102 maintains the power supplied to coil 48 constant at 100 watts and a suitable mixture of HBr/O 2 constantly flows from sources 68 into chamber 40
  • the power that amplifier 132 supplies to electrode 56 gradually decreases from 200 to 100 watts.
  • the gradual decrease in the power that amplifier 132 supplies to electrode 56 is in 15,000 steps, each having a duration of 1.0 millisecond and an amplitude of 6.667 milliwatts.
  • the etchant gases are purged from the chamber while the chamber pressure remains constant as does the power supplied to coil 48 and electrode 56 . Then workpiece 54 can be removed from chamber 40 for further processing.
  • the power applied to electrode 56 and/or coil 48 can be similarly varied while the gas species and the flow rates thereof into chamber 40 are maintained constant, simultaneously with the pressure in chamber 40 remaining constant.
  • Gradual transitions in deposited layers can also be provided by gradually and substantially continuously varying the power applied to coil 48 and/or electrode 56 , while maintaining constant the gas species, the flow rates thereof and the pressure in chamber 40 .
  • variable gain features provided by amplifiers 102 and 132 can be incorporated directly into RF sources 100 and 30 , respectively.

Abstract

The amount of RF power supplied to a plasma in a vacuum plasma processing chamber is gradually changed on a preprogrammed basis in response to signals stored in a computer memory. The computer memory stores signals so that other processing chamber parameters (pressure, gas species and gas flow rates) remain constant while the gradual change occurs. The stored signals enable rounded corners, instead of sharp edges, to be etched, e.g., at an intersection of a trench wall and base.

Description

    FIELD OF INVENTION
  • The present invention relates generally to vacuum plasma processors for processing workpieces on a workpiece holder and more particularly to a method of and apparatus for gradually changing, on a preprogrammed basis, power an AC plasma excitation source supplies to plasma in a vacuum processor chamber. [0001]
  • BACKGROUND ART
  • Vacuum processors for processing a workpiece (i.e., etching materials from or depositing materials onto the workpiece) typically include first and second ports respectively connected to a vacuum pump and one or more gas sources. The gas is excited to a plasma in the chamber by an electric source including a reactance responsive to a first AC source, typically an RF or microwave source. A first matching network is usually connected between the first AC source and the reactance for exciting the plasma. If the source is an RF source, the reactance is either a coil for supplying magnetic and electric fields to the chamber interior via a dielectric window or a parallel plate capacitive arrangement for supplying an electric field to the chamber interior. [0002]
  • The workpiece, which is typically a semiconductor wafer or a dielectric sheet or a metal plate, is clamped in place on a workpiece holder, i.e., chuck, that frequently includes an electrode covered by a dielectric. DC voltage is typically applied to the electrode to provide an electrostatic clamping force to hold the workpiece in situ on the holder. The workpiece is usually cooled by applying a coolant agent, such as helium, to a recess in the chuck and by applying a liquid to conduits in the chuck. To accelerate ions in the plasma to the workpiece, a second AC source is connected to the electrode by way of a matching network. Each matching network includes a pair of variable reactances having values that are varied by motors, typically step motors. [0003]
  • Sensors for electric parameters associated with the plasma, as coupled to the excitation reactance and as coupled to the chuck electrode, derive signals which assist in controlling the values of the variable reactances. Pressure and flow rate transducers respectively in the chamber and in a line supplying gas to the second port derive signals which assist in controlling the vacuum pressure in the chamber and the flow rate of gas flowing into the chamber through the second port. [0004]
  • A controller, including a microprocessor and a memory system including a hard drive, random access memory (RAM) and a read only memory (ROM), responds to the signals derived by the transducers and signals from an operator input console to produce signals for controlling the variable reactances, output power of the two AC sources, the vacuum pressure in the chamber and the flow rate of gases supplied to the chamber through the second port. The memory system stores several recipes, each in the form of signals representing various parameters controlling the deposition and etching of the workpieces for differing situations. The parameters of each recipe are, inter alia, gas species to be supplied to the chamber, flow rates of the species, vacuum pressure in the chamber and output powers of the two AC sources. Each recipe can include other parameters, such as time for carrying out each recipe step. The controller responds to the parameters of the recipe to control valves for the flow of the gases into the chamber, the chamber pressure, as well as the output power of the first and second AC sources. During processing, the controller controls the reactances of the first and second matching networks so that there is an efficient transfer of power between the first and second AC sources and the loads they drive so the impedances seen looking into the output terminals of the first and second sources are substantially equal to the impedances the first and second sources respectively see by looking from their output terminals into cables connected to the first and second matching networks. [0005]
  • Typically, a recipe change has been marked by step, i.e. sudden, changes in at least one of (1) gas flow rate, (2) chamber pressure, (3) power supplied to a plasma excitation coil, (4) the gas species flowing into the chamber, and (5) power supplied to (a) an electrode, such as bottom electrode on which the workpiece is mounted or a top electrode for exciting a gas to a plasma, or (b) an RF plasma excitation coil. These step changes result in sharp demarcations between layers etched from the workpiece or deposited on the workpiece. For example, the step changes during etching of a trench in a workpiece, e.g., a silicon substrate, result in sharp corners between a wall and base of the trench. Such step changes also frequently result in sharp corners at a boundary between a trench wall and a layer at the top of the trench. Such sharp corners can make it difficult to fill the trench during subsequent operations and have other known disadvantages, such as causing stress related defects and/or electrical leakage. [0006]
  • One method of addressing the problem which has resulted in somewhat smooth transitions when certain recipe changes are made involves adding dilutants, such as argon or helium, or passivation gases, such as oxygen, on a transient basis, to gases flowing into the processing chamber during a process recipe step occurs. However, there are disadvantages in transiently adding dilutant and/or passivation gases to the processing chamber. Because of the relatively large volume of a typical plasma processing chamber, a significant amount of time, up to ten seconds, is required to purge “old” gas from a line coupling gas from a gas source into the chamber. As a result, there are substantial increases in workpiece processing time, to reduce chamber efficiency and decrease workpiece throughput. In addition, changing the gas species on a transient basis results in a change in plasma impedance. The change in plasma impedance adversely affects the ability of the matching network between the electric source and the coil and/or electrode to provide an efficient transfer of power between the source or sources and the driven loads. In addition, the time for the new gas, i.e., the dilutant or passivation gas, to flow into the chamber is likely to vary as a function of gas line length between the chamber and the gas source. As a result, precise control of the processing step is difficult to achieve and/or recipe processing steps must be customized for the different gas line lengths between the different gas sources and the chamber. [0007]
  • Chen et al, U.S. Pat. No. 5,807,789 discloses a method of operating a plasma processor to form in a semiconductor workpiece a shallow trench with a tapered profile and round corners. Such a shallow trench is formed during successive recipe steps. During a first step the plasma power and chamber pressure are respectively relatively high and low. During the next steps, the plasma power and chamber pressure are respectively decreased and increased. The process continues in this way for at least one additional step. [0008]
  • In a particular etching embodiment Chen et al discloses, the plural gas species applied to the chamber remain the same and at constant flow rates while power supplied to the plasma is reduced in three steps, each of which occurs simultaneously with an increase in chamber pressure. During a first step, which lasts for eight seconds, the power supplied to a plasma excitation reactance and chamber pressure are respectively 800 watts and 50 millitorr. At the beginning of a second eight second step, the supplied power is reduced suddenly from 800 watts to 750 watts while chamber pressure is increased suddenly to 80 millitorr. At the beginning of a third 46 second step, supplied power is suddenly reduced further to 650 watts while chamber pressure is suddenly increased to 100 millitorr. [0009]
  • The aforementioned process suffers from similar problems to the previously mentioned problems associated with adding dilutants because of the substantial time required to change pressure in the relatively large volume vacuum chamber. In addition, the sudden power changes frequently do not enable the corners to be rounded to the desired extent. [0010]
  • It is, accordingly, an object of the invention to provide a new and improved method of and apparatus for operating a vacuum plasma processing chamber. [0011]
  • An additional object of the invention is to provide a new and improved method of and apparatus for operating a vacuum plasma processing chamber in such a manner that sharp corners on processed workpieces are avoided. [0012]
  • Another object of the invention is to provide a new and improved method of and apparatus for controlling a vacuum plasma processor such that changes in a processing recipe are performed in a manner which avoids sharp corners on a processed workpiece. [0013]
  • Still another object of the invention is to provide a new and improved method of and apparatus for processing a workpiece in a vacuum plasma workpiece processor so that changes during a recipe are performed in such a way as to avoid sharp corners in a processed workpiece and wherein processor throughput is relatively high. [0014]
  • Still another object of the invention is to provide a new and improved method of and apparatus for controlling processing of workpieces in a vacuum plasma processor, wherein changes in steps of a recipe are performed in such a way that sharp corners of the workpiece are avoided, without substantial impedance mismatches occurring between one or more sources driving reactive components which supply power to processing gas in the chamber. [0015]
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, AC power supplied to a plasma in a vacuum plasma workpiece processing chamber is controlled on a preprogrammed basis so there are gradual changes in the amount of AC power supplied to the plasma during processing of one workpiece. Preferably, the gradual power change occurs while no change is made in (a) the gas species flowing into the chamber, (b) the chamber pressure or (c) the gas species flow rates. The AC power can be supplied to the chamber by an upper or lower chamber electrode coupling an AC electric field to gas in the chamber or a coil coupling an AC electromagnetic field to the chamber gas. The gradual power change is typically such that it causes a gradual transition in the shape of material in the processed workpiece. [0016]
  • In one preferred embodiment, a gas species is ionized into a plasma that etches the material and the preprogrammed gradual power change and the species are such that the material is shaped so a rounded corner is formed in the material as a result of the etching. In one specific application, the etching forms a trench wall including the rounded corner, which in one embodiment is at an intersection of a wall and a base of a trench. [0017]
  • The gradual change is typically performed in response to a computer program storing steps having (1) power changes in the range of a few milliwatts to less than 5% of the maximum output power of a source (e.g., if a source has a maximum output power of 3 kW, the maximum power change is 15 watts), and (2) durations in the range of about 1 millisecond to about 1 second. Steps having power changes greater than about 5% of maximum output power are too steep to provide the desired control over the plasma to achieve the desired workpiece shapes and steps lasting longer than about 1 second do not have adequate temporal resolution to achieve the desired workpiece shapes. [0018]
  • The above and still further objects, features and advantages of the present invention will become apparent upon consideration of the following detailed description of several specific embodiments thereof, especially when taken in conjunction with the accompanying drawings.[0019]
  • BRIEF DESCRIPTION OF THE DRAWING
  • FIG. 1 is a block diagram of a typical vacuum plasma processor and controller capable of performing the present invention; [0020]
  • FIG. 2 is a waveform of power versus time that can be applied to the coil or electrode of the apparatus illustrated in FIG. 1, wherein power increases gradually in an upwardly ramping manner; [0021]
  • FIG. 3 is a waveform similar to the waveform of FIG. 2, wherein the power ramps downwardly; [0022]
  • FIG. 4 is a waveform of power versus time that can be applied to the coil and/or electrode of FIG. 1, wherein the waveform is derived from experimental data; [0023]
  • FIG. 5 is a schematic diagram of a cross section of an illustrative semiconductor wafer prior to etching; and [0024]
  • FIG. 6 is an schematic diagram of the wafer illustrated in FIG. 5 after it has been etched in accordance with a specific embodiment of the present invention. [0025]
  • DETAILED DESCRIPTION OF THE DRAWING
  • The workpiece processor illustrated in FIG. 1 includes vacuum plasma [0026] processing chamber assembly 10, a first circuit 12 for driving a reactance for exciting ionizable gas in chamber assembly 10 to a plasma state, a second circuit 14 for applying RF bias to a workpiece holder in chamber assembly 10, and a controller arrangement 16 responsive to sensors for various parameters associated with chamber assembly 10 for deriving control signals for devices affecting the plasma in chamber assembly 10. Controller 16 includes microprocessor 20 which responds to various sensors associated with chamber assembly 10, as well as circuits 12 and 14, and signals from operator input 22, which can be in the form, for example, of a keyboard. Microprocessor 20 is coupled with memory system 24 including hard disk 26, random access memory (RAM) 28 and read only memory (ROM) 30. Microprocessor 20 responds to the various signals supplied to it to drive display 32, which can be a typical computer monitor.
  • [0027] Hard disk 26 and ROM 30 store programs for controlling the operation of microprocessor 20 and preset data associated with different recipes for the processes performed in chamber assembly 10. The different recipes concern gas species and flow rates applied to chamber assembly 10 during different processes, the output power of AC sources included in circuits 12 and 14, the vacuum applied to the interior of chamber assembly 10, and initial values of variable reactances included in matching networks of circuits 12 and 14.
  • [0028] Plasma chamber assembly 10 includes chamber 40 having metal, non-magnetic cylindrical side wall 42 and metal, non-magnetic base 44, both of which are electrically grounded. Dielectric, typically quartz, window 46 is fixedly positioned on the top edge of wall 42. Wall 42, base 44 and window 46 are rigidly connected to each other by suitable gaskets to enable a vacuum to be established within the interior of chamber 40. Planar plasma excitation coil 48, for example, as configured in Ogle, U.S. Pat. No. 4,948,458 or Holland et al., U.S. Pat. No. 5,759,280, sits on or in very close proximity to the upper face of window 46. Coil 48, an electric reactance, reactively supplies magnetic and electric AC fields usually at an RF frequency such as 13.56 MHz, to the interior of chamber 40, to excite ionizable gas in the chamber to plasma, schematically illustrated in FIG. 1 by reference numeral 50. It is to be understood that for the purposes of the present invention, coil 48 can be replaced with a powered or grounded electrode that extends parallel to electrode 56 and can be located in chamber 40.
  • The upper face of [0029] base 44 carries holder, i.e. chuck, 52 for workpiece 54, which is typically a circular semiconductor wafer, a rectangular dielectric plate such as used in flat panel displays or a metal plate. Chuck holder 52 typically includes metal plate 56 that forms an electrode (a reactive element). Electrode 56 carries dielectric layer 58 and sits on dielectric layer 60, which is carried by the upper face of base 44. A workpiece handling mechanism (not shown) places workpiece 54 on the upper face of dielectric layer 58. Workpiece 54 is cooled by supplying helium from a suitable source 62 to the underside of dielectric layer 58 via conduit 64 and grooves (not shown) in electrode 56 and by supplying a liquid from a suitable source (not shown) to conduits (not shown) in chuck 52. With workpiece 54 in place on dielectric layer 58, DC source 66 supplies a suitable voltage through a switch (not shown) to electrode 56 to clamp, i.e., chuck, workpiece 54 to chuck 52.
  • With [0030] workpiece 54 secured in place on chuck 52, one or more ionizable gases from one or more sources 68 flow into the interior of chamber 40 through conduit 70 and port 72 in sidewall 42. For convenience, only one gas source 68 is shown in FIG. 1, but it is to be understood that usually there are several gas sources of different species, e.g. etchants, such as SF6, CH4, C12 and HBr, dilutants such as Ar or He, and O2 as a passivation gas. The interior of conduit 70 includes valve 74 and flow rate gauge 76 for respectively controlling the flow rate of gas flowing through port 72 into chamber 40 and measuring the gas flow rate through port 72. Valve 74 responds to a signal microprocessor 20 derives, while gauge 76 supplies the microprocessor with an electric signal indicative of the gas flow rate in conduit 70. Memory system 24 stores for each recipe step of each workpiece 54 processed in chamber 40 a signal indicative of desired gas flow rate in conduit 70. Microprocessor 20 responds to the signal memory system 24 stores for desired flow rate and the monitored flow rate signal gauge 76 derives to control valve 74 accordingly.
  • [0031] Vacuum pump 80, connected to port 82 in base 44 of chamber 40 by conduit 84, evacuates the interior of the chamber to a suitable pressure, typically in the range of one to one hundred millitorr. Pressure gauge 86, in the interior of chamber 40, supplies microprocessor 20 with a signal indicative of the vacuum pressure in chamber 40. Memory system 24 stores for each recipe step a signal indicative of desired vacuum pressure for the interior of chamber 40. Microprocessor 20 responds to the stored desired pressure signal memory system 24 derives for each recipe step and an electric signal from pressure gauge 86 to supply an electric signal to vacuum pump 80 to maintain the pressure in chamber 40 at the set point or predetermined value for each recipe step.
  • [0032] Optical spectrometer 90 monitors the optical emission of plasma 50 by responding to optical energy emitted by the plasma and coupled to the spectrometer via window 92 in side wall 42. Spectrometer 90 responds to the optical energy emitted by plasma 50 to supply an electric signal to microprocessor 20. Microprocessor 20 responds to the signal that spectrometer 90 derives to detect an end point of the process (either etching or deposition) that plasma 50 is performing on workpiece 54. Microprocessor 20 responds to the signal spectrometer 90 derives and a signal memory system 24 stores indicative of a characteristic of the output of the spectrometer associated with an end point to supply the memory with an appropriate signal to indicate that the recipe step has been completed. Microprocessor 20 then responds to signals from memory system 24 to stop certain activities associated with the completed recipe step and initiate a new recipe step on the workpiece being processed in chamber 40 or commands release of workpiece 54 from chuck 52 and transfer of a new workpiece to the chuck, followed by instigation of another series of recipe processing steps.
  • [0033] Excitation circuit 12 for driving coil 48 includes constant frequency RF source 100, having a constant output power and typically having a frequency of 13.56 MHz. Source 100 drives power amplifier 102, having an electronically controlled power gain, so that the amplifier response time is on the order of a few microseconds or less, i.e., the output power of amplifier 102 changes from a first value to a second value in a few microseconds or less. The output power of amplifier 102 is in the range between 100 and 3000 watts. Amplifier 102 typically has a 50 ohm output impedance all of which is resistive and none of which is reactive. Hence, the impedance seen looking back into the output terminals of amplifier 102 is typically represented by (50+j0) ohms, and cable 106 is chosen to have a characteristic impedance of 50 ohms.
  • For any particular recipe, [0034] memory system 24 stores a signal for desired output powers of amplifier 102. Memory system 24 supplies the desired output power of amplifier 102 to the amplifier by way of microprocessor 20. The output power of amplifier 102 can be controlled in an open loop manner in response to the signals stored in memory system 24 or control of the output power of amplifier 102 can be on a closed loop feedback basis, as known in the art. The output power of amplifier 102 is also gradually dynamically changed as a function of time as preprogrammed changes in a recipe step are ordered by memory system 24. The preprogrammed dynamic changes in the output power are stored in memory system 24 and control the power gain of amplifier 102.
  • The output power of [0035] amplifier 102 drives coil 48 via cable 106 and matching network 108. Matching network 108, typically configured as a “T,” includes two series legs including variable capacitors 112 and 116, as well as a shunt leg including fixed capacitor 114. Coil 48 includes input and output terminals 122 and 124, respectively connected to one electrode of capacitor 112 and to a first electrode of series capacitor 126, having a grounded second electrode. The value of capacitor 126 is preferably selected as described in the commonly assigned, previously mentioned, Holland et al. patent.
  • [0036] Electric motors 118 and 120, preferably of the step type, respond to signals from microprocessor 20 to control the values of capacitors 112 and 116 in relatively small increments to maintain an impedance match between the impedance seen by looking from the output terminals of amplifier 102 into cable 106 and by looking from cable 106 into the output terminals of amplifier 102. Hence, for the previously described (50+j0) ohm output impedance of amplifier 102 and 50 ohm characteristic impedance of cable 106, microprocessor 20 controls motors 118 and 120 so the impedance seen looking from cable 106 into matching network 108 is as close as possible to (50+j0) ohms.
  • To [0037] control motors 118 and 120 to maintain a matched condition for the impedance seen looking into the output terminals of amplifier 132 and the impedance amplifier 132 drives, microprocessor 20 responds to signals from conventional sensor arrangement 104 indicative of the impedance seen looking from cable 106 into matching network 108. Alternatively, sensors can be provided for deriving signals indicative of the power amplifier 102 supplies to its output terminals and the power reflected by matching network 108 back to cable 106. Microprocessor 20 responds, in one of several known manners, to the sensed signals that sensor arrangement 104 derives to control motors 118 and 120 to attain the matched condition.
  • [0038] Circuit 14 for supplying RF bias to workpiece 54 via electrode 56 has a construction somewhat similar to circuit 12. Circuit 14 includes constant frequency RF source 130, having a constant output power and typically having a frequency such as 400 KHz, 2.0 MHz or 13.56 MHz. The output of source 130 drives electronically controlled variable gain power amplifier 132, having the same characteristics as amplifier 102. Amplifier 132 in turn drives a cascaded arrangement including directional coupler 134, cable 136 and matching network 138. Matching network 138 includes a series leg comprising the series combination of fixed inductor 140 and variable capacitor 142, as well as a shunt leg including fixed inductor 144 and variable capacitor 146. Motors 148 and 150, which are preferably step motors, vary the values of capacitors 142 and 146, respectively, in response to signals from microprocessor 20.
  • [0039] Output terminal 152 of matching network 138 supplies an RF bias voltage to electrode 56 by way of series coupling capacitor 154 which isolates matching network 138 from the chucking voltage of DC source 66. The RF energy circuit 14 applies to electrode 56 is capacitively coupled via dielectric layer 48, workpiece 54 and a plasma sheath between the workpiece and plasma to a portion of plasma 50 in close proximity with chuck 52. The RF energy that chuck 52 couples to plasma 50 establishes a DC. bias in the plasma; the DC bias typically has values between 50 and 1000 volts. The DC bias resulting from the RF energy circuit 14 applies to electrode 52 accelerates ions in plasma 50 to workpiece 54.
  • [0040] Microprocessor 20 responds to signals indicative of the impedance seen looking from cable 136 into matching network 138, as derived by a known sensor arrangement 139, to control motors 148 and 150 and the values of capacitors 142 and 146 in a manner similar to that described supra with regard to control of capacitors 112 and 116 of matching network 108.
  • For each process recipe step, [0041] memory system 24 stores set point signals for the net power coupled by directional coupler 134 to cable 136. The net power coupled by directional coupler 134 to cable 136 equals the output power of amplifier 132 minus the power reflected from the load and matching network 138 back through cable 136 to the terminals of directional coupler 134 connected to cable 136. Memory system 24 supplies the net power set point signal associated with circuit 14 to microprocessor 20. Microprocessor 34 also responds to output signals directional coupler 134 supplies to power sensor arrangement 141. Power sensor arrangement 141 derives signals indicative of output power of amplifier 132 and power reflected by cable 136 back toward the output terminals of amplifier 132.
  • [0042] Microprocessor 20 responds to the set points and measured signals sensor arrangement 141 derives, which measured signals are indicative of the output power of amplifier 132 and the power reflected back to amplifier, to control the power gain of amplifier 132. The output power of amplifier 132 is also gradually dynamically changed as a function of time as changes in a recipe are ordered by memory systems 24. The dynamic changes in the output power are stored in memory system 24 and control the power gain of amplifier 132.
  • One of the elements of [0043] memory system 24, typically read-only memory 30, stores preprogrammed values for controlling the output power of amplifier 102 and/or 132 during a step of the recipe of plasma 50 processing workpiece 54. The preprogrammed values thereby control the amount of power coil 48 and/or electrode 56 supply to the plasma 50 in chamber 40 to enable the power that coil 48 and/or electrode 56 supplies to the plasma to change gradually as a function of time in accordance with a preprogrammed predetermined function, such as the mathematical functions 170 and 172 illustrated in FIGS. 2 and 3 or the empirical function 174 illustrated in FIG. 4. Functions 170 and 172 of FIGS. 2 and 3 are respectively upwardly and downwardly directed substantially continuous, gradual linear ramping functions.
  • The preprogrammed values for controlling the output power of [0044] amplifier 102 and/or 132 that read-only memory 30 stores are, in actuality, a series of relatively small incremental steps, each of which usually has the same value. The incremental steps are such that the output power of amplifier 102 and/or 132 changes suddenly at the beginning of each step, by a small value, in the range of about 1 milliwatt to less than 5% of the maximum output power of amplifier 102 and/or 132 (e.g., if the maximum output power of amplifier 102 is 3000 watts, the maximum change in a step of the output power of amplifier is 15 watts). Each step usually has the same relatively short duration, typically between one millisecond and one second during which the output power of amplifier 102 and/or 132 remains constant. Steps longer than one second will not usually provide the desired rounding effect previously discussed in this document. A series of steps in the foregoing ranges provides substantially continuous and gradual variations in power supplied to coil 48 and electrode 56 and therefore the power supplied to plasma 50.
  • When it is desired to change the output power of [0045] amplifier 102 and/or 132 in accordance with a preprogrammed function, such as those illustrated in any of FIGS. 2-4, the stored program in hard disk 26 periodically reads stored numeric values in read-only memory 30 indicative of the gain amplifier settings which provide the desired output power of the amplifier. Microprocessor 20 responds to the values read from read-only memory 30 to control the gain of at least one of amplifiers 102 and 132 and thereby vary the power at least one of coil 48 and electrode 56 supplies to plasma 50.
  • For purposes of explanation, assume that the functions of FIGS. 2, 3 and [0046] 4 represent the power RF source 30 and variable gain amplifier 132 supply to electrode 56. Prior to the beginning of ramping function 170, at time T1, memory system 24 and microprocessor 20 set the gain of amplifier 132 so that electrode 56 supplies constant power P1 to plasma 50. During a recipe step of interest, memory system 24 and microprocessor 20 control the gain of amplifier 132 to increase the power supplied to electrode 56 as indicated by linear, upwardly directed, gradually increasing and substantially continuous ramping function 170. Ramping function 170 continues until the recipe step has been completed at time T2. Thereafter, memory system 24 and microprocessor 20 maintain the gain of amplifier 132 constant so that electrode 56 supplies constant power P2 to plasma 50. Memory system 24 and microprocessor 20 control the gain of amplifier 132 and the power electrode 56 supplies to plasma 50 to gradually and substantially continuously decrease the plasma power along ramping function 172. Ramping function 172 extends from a constant value P2, at time T1, to a constant value P1, at time T2. The power decrease from P2 to P1 is performed in the same manner described for upwardly directed ramping function 170.
  • The slopes of ramping [0047] functions 170 and 172 are determined by the magnitude and duration of each step change in the gain of amplifier 132. Typically, the magnitude and duration of each step change in the gain of amplifier 132 for a particular recipe change are the same; it is to be understood, however, that different step changes in the gain of amplifier 132 for a particular recipe change can have different magnitude and duration values.
  • [0048] Function 174 of FIG. 4 is empirically derived and results from a series of experiments performed on test workpieces 54 under various RF power settings and from measurements of profile angles under these various power settings. It is to be understood that function 174 of FIG. 4 is merely for illustrative purposes and that many different empirically derived functions can be employed, as necessary. The particular function 174 varies between a constant power level P3 to a higher constant power level P4, which respectively subsist prior to time T3 and subsequent to time T4, the temporal boundaries of function 174. Function 174 decreases slowly from power level P3, then increases at a faster rate to a value above power level P4 and then returns to power level P4 at time T4.
  • Reference is now made to FIGS. 5 and 6 of the drawing, respectively schematic drawings of an illustrative semiconductor structure prior and subsequent to etching operations in accordance with one embodiment of the present invention. The pre-etch structure of FIG. 5 includes [0049] silicon substrate 202 having a top face coated by thin film silicon oxide layer 204, typically having a thickness of 150 angstroms, which in turn is covered by a thin film silicon nitride layer 206, typically having a thickness of 1600 angstroms. Layer 206 is coated with an epitaxial bottom anti-reflective coating 208, typically having a thickness of 570 angstroms, in turn covered by two spaced photoresist strips 210.
  • The structure of FIG. 5 is initially processed by reducing the height of photoresist strips [0050] 210 to form truncated photoresist strips 212. Photoresist strips 210 are reduced in height by supplying a typical photoresist etchant from gas sources 68 to the interior of chamber 40 under the control of a program hard disk 26 stores and which is read to microprocessor 20. Simultaneously, the program that hard disk 26 stores and microprocessor 20 cause the power supplied to coil 48 and electrode 56 and the vacuum in chamber 40 to remain constant. Next, the program that hard disk 26 stores causes bottom anti-reflective coating 28 to be etched by opening valves 74 connected to hydrogen bromide (HBr) and oxygen (O2) sources 68 so that the flow ratio of these gases is 75 to 22. At the same time, disk 26 and microprocessor 20 control vacuum pump 80 so the pressure in chamber 40 is 3 millitorr. Simultaneously, hard disk 26 causes the gains of amplifiers 102 and 132 to be such that coil 40 is supplied with 500 watts of RF power at 13.56 MHz while electrode 56 is supplied with 178 watts of RF power at 13.56 MHz; the 178 watts of RF power supplied to electrode 56 causes a DC bias of −200V to be established on the electrode. Optical spectrometer 90 detects when the etching end point of layer 208 occurs. Microprocessor 20 and memory system 24 respond to the signal from optical spectrometer 90 to cause over etching of layer 208 by 30 percent, a result achieved by not changing the etching parameters in chamber 40.
  • When the over etch has been completed, [0051] microprocessor 20 and memory system 24 cause silicon nitride layer 206 to be etched in a somewhat similar matter to that described in connection with coating 208 until optical spectrometer 90 detects an etch end point. Etching of layer 206 is in response to a suitable mixture of fluorine-based etchants while the chamber 40 pressure is 10 millitorr, the RF power supplied to coil 48 is 1000 watts and the RF power supplied to electrode 56 is 155 watts, resulting in the electrode having a DC bias of −70V.
  • [0052] Microprocessor 20 and memory system 24 then cause silicon nitride layer 206 to be over etched for 10 seconds. The over etch is performed by causing a suitable mixture of fluorine-based etchants argon and oxygen to flow from sources 68 into chamber 40, while the chamber is maintained at a pressure of 7 millitorr, and 1400 and 400 watts RF power are respectively applied to coil 48 and electrode 56. The application of 400 watts RF power to electrode 56 results in the electrode being at a DC voltage of −145 V.
  • [0053] Microprocessor 20 and memory system 24 then cause a breakthrough of silicon oxide layer 204 by causing 100 sccm Cl2 to be applied for five seconds from gas sources 68 to chamber 40, while 500 and 120 watts are respectively applied to coil 48 and electrode 56.
  • [0054] Microprocessor 20 and memory system 24 then cause the main etch operation for shallow trench isolation of silicon substrate 202 to be performed. The main etch operation is performed for 65 seconds in response to a suitable mixture of HBr/Cl2/O2 flowing from gas sources 68 to chamber 40. During the 65 seconds, vacuum pump 80 maintains the pressure in chamber 40 at 15 millitorr, the output of amplifier 102 supplies coil 48 with 1000 watts and amplifier 132 supplies electrode 56 with 235 watts so that the DC bias voltage of electrode 56 is −320V.
  • Upon completion of the 65 second main etch operation, the silicon in [0055] substrate 202 is at the location indicated by point 212, FIG. 7, slightly above the trench final base 214. The final etch operation of silicon substrate 202 between point 212 and base 214 is performed in such a manner as to achieve rounded edges 216 between point 212 and base 214.
  • To this end, [0056] microprocessor 20 and memory system 24 perform the final etch operation for 15 seconds. During the 15 second final etch operation, vacuum pump 80 maintains the pressure in chamber 40 constant at 10 millitorr, amplifier 102 maintains the power supplied to coil 48 constant at 100 watts and a suitable mixture of HBr/O2 constantly flows from sources 68 into chamber 40, while the power that amplifier 132 supplies to electrode 56 gradually decreases from 200 to 100 watts. The gradual decrease in the power that amplifier 132 supplies to electrode 56 is in 15,000 steps, each having a duration of 1.0 millisecond and an amplitude of 6.667 milliwatts. After base 214 has been reached, the etchant gases are purged from the chamber while the chamber pressure remains constant as does the power supplied to coil 48 and electrode 56. Then workpiece 54 can be removed from chamber 40 for further processing.
  • If it is desired to provide a rounded corner, that is a gradual transition, between the top portion of [0057] silicon substrate 202 and a layer deposited thereon, the power applied to electrode 56 and/or coil 48 can be similarly varied while the gas species and the flow rates thereof into chamber 40 are maintained constant, simultaneously with the pressure in chamber 40 remaining constant. Gradual transitions in deposited layers can also be provided by gradually and substantially continuously varying the power applied to coil 48 and/or electrode 56, while maintaining constant the gas species, the flow rates thereof and the pressure in chamber 40. Because of the fast response times of amplifiers 102 and 132, the changes in the gains of these amplifiers almost instantaneously change the characteristics of plasma 50 to provide relatively high throughput processing of workpieces 54 and more accurate control of workpiece processing during a recipe step change than can be provided by varying a parameter such as gas flow rate or chamber pressure.
  • While there have been described and illustrated specific embodiments of the invention, it will be clear that variations in the details of the embodiments specifically illustrated and described may be made without departing from the true spirit and scope of the invention as defined in the appended claims. For example, the variable gain features provided by [0058] amplifiers 102 and 132 can be incorporated directly into RF sources 100 and 30, respectively.

Claims (22)

We claim:
1. A method of processing a workpiece in a vacuum plasma processor chamber wherein a gas species is converted into an AC plasma, the vacuum chamber being subject to operating at different pressures while the workpiece is being processed, the gas species being subject to flowing into the chamber at different flow rates while the workpiece is being processed, comprising, gradually changing on a pre-programmed basis, the amount of AC power supplied to the plasma during processing of the workpiece.
2. The method of claim 1 wherein the gradual power change occurs while no change is made in (a) the species, (b) the pressure or (c) the flow rate.
3. The method of claim 1 wherein the AC power is supplied by an electrode coupling an AC electric field to plasma in the chamber.
4. The method of claim 3 wherein the electrode is responsive to an AC power source that supplies RF bias voltage to the electrode, the electrode being on a holder for the workpiece.
5. The method of claim 3 wherein the electrode is responsive to an AC power source that supplies RF plasma excitation voltage to the electrode, the electrode responding to the RF voltage to supply RF electric field to the plasma to excite the gas to the plasma.
6. The method of claim 3 wherein the AC power is supplied by a coil coupling an RF plasma excitation electromagnetic field to the chamber.
7. The method of claim 1 wherein a gradual transition in the shape of material in the workpiece being processed occurs in response to the gradual power change.
8. The method of claim 7 wherein the species is ionized into a plasma that etches the material, the gradual power change and the species being such that the material is shaped to have a rounded corner in response to changes in the ionized plasma etchant resulting from the gradual power change.
9. The method of claim 8 wherein the etching, which occurs in response to changes in the ionized plasma etchant resulting from the gradual power change, forms a trench wall including the rounded corner.
10. The method of claim 9 wherein the rounded corner is at an intersection of a wall and a base of a trench.
11. The method of claim 7 wherein the rounded corner is at an intersection of a wall and a surface intersecting the wall, the surface extending generally at right angles to the wall.
12. The method of claim 1 wherein the gradual change includes steps having power changes no greater than about several watts, the power remaining at a constant wattage for no more than about 1 second.
13. The method of claim 12 wherein the power steps are a few milliwatts and remain at a constant power for about 1 millisecond.
14. A vacuum plasma processor for processing a workpiece in a vacuum plasma processor chamber wherein a gas species is converted into an AC plasma comprising a reactive element for supplying an electric field to plasma in the chamber, and an electric source for supplying gradually changing amounts of power on a preprogrammed basis to the reactive element.
15. The processor of claim 14 further including a controller for causing the source to supply the gradually changing amounts of power on the preprogrammed basis to the reactive element while a single workpiece is being processed.
16. The processor of claim 15 wherein the controller is arranged for (a) controlling (i) a gas species adapted to flow into the chamber, (ii) the pressure in the vacuum chamber, and (iii) the flow rates of the gas species, and (b) maintaining the constant (I) the gas species, (ii) the gas species flow rate and (iii) the chamber pressure while the plasma power is gradually changing on the preprogrammed basis.
17. A computer program for controlling a computer for controlling processing of a workpiece in a vacuum plasma processor chamber wherein a gas species is converted into an AC plasma, the computer program storing signals causing (a) the vacuum chamber to operate at different pressures while the workpiece is being processed, (b) control of the gas species type and the flow rates thereof into the chamber while the workpiece is being processed, (c) the amount of AC power applied to the plasma while the workpiece is being processed; the stored signal for the amount of applied AC power causing gradual preprogrammed changes in the amount of AC power supplied to the plasma during processing of the workpiece.
18. The program of claim 17 wherein the stored signal causes the gradual power change to occur while no change is made in (a) the species, (b) the pressure or (c) the flow rate.
19. The program of claim 17 wherein the stored signal causing gradual power change causes a gradual transition in the shape of material in the workpiece being processed in response to the gradual power change.
20. The program of claim 19 wherein the stored signal controls etchant species supplied to the chamber while the workpiece is being processed and the gradual power transition so as to cause the workpiece to be etched to have a rounded corner.
21. The program of claim 20 wherein the stored signal controls etchant species supplied to the chamber while the workpiece is being processed and the gradual power transition so as to cause the workpiece to be etched to have a trench wall including the rounded corner.
22. The program of claim 21 wherein the rounded corner is at an intersection of a wall and a base of a trench.
US09/821,753 2001-03-30 2001-03-30 Plasma processing method and apparatus with control of plasma excitation power Abandoned US20020139477A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US09/821,753 US20020139477A1 (en) 2001-03-30 2001-03-30 Plasma processing method and apparatus with control of plasma excitation power
CNB028111346A CN100351988C (en) 2001-03-30 2002-03-29 Plasma processing method and apparatus with control of plasma excitation power
AU2002247433A AU2002247433A1 (en) 2001-03-30 2002-03-29 Plasma processing method and apparatus with control of plasma excitation power
PCT/US2002/009562 WO2002080214A2 (en) 2001-03-30 2002-03-29 Plasma processing method and apparatus with control of plasma excitation power
KR1020037012885A KR100907197B1 (en) 2001-03-30 2002-03-29 Plasma processing method and apparatus with control of plasma excitation power
US13/172,917 US8480913B2 (en) 2001-03-30 2011-06-30 Plasma processing method and apparatus with control of plasma excitation power

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/821,753 US20020139477A1 (en) 2001-03-30 2001-03-30 Plasma processing method and apparatus with control of plasma excitation power

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/172,917 Continuation US8480913B2 (en) 2001-03-30 2011-06-30 Plasma processing method and apparatus with control of plasma excitation power

Publications (1)

Publication Number Publication Date
US20020139477A1 true US20020139477A1 (en) 2002-10-03

Family

ID=25234217

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/821,753 Abandoned US20020139477A1 (en) 2001-03-30 2001-03-30 Plasma processing method and apparatus with control of plasma excitation power
US13/172,917 Expired - Fee Related US8480913B2 (en) 2001-03-30 2011-06-30 Plasma processing method and apparatus with control of plasma excitation power

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/172,917 Expired - Fee Related US8480913B2 (en) 2001-03-30 2011-06-30 Plasma processing method and apparatus with control of plasma excitation power

Country Status (5)

Country Link
US (2) US20020139477A1 (en)
KR (1) KR100907197B1 (en)
CN (1) CN100351988C (en)
AU (1) AU2002247433A1 (en)
WO (1) WO2002080214A2 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020108933A1 (en) * 2000-03-17 2002-08-15 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20040149699A1 (en) * 2000-03-17 2004-08-05 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US20050264219A1 (en) * 2004-05-28 2005-12-01 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US20070081295A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US20070081296A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US20070097580A1 (en) * 2005-10-11 2007-05-03 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20100218894A1 (en) * 2007-03-22 2010-09-02 International Business Machines Corporation Method of Removing Metallic, Inorganic and Organic Contaminants From Chip Passivation Layer Surfaces
US20100230049A1 (en) * 2009-03-12 2010-09-16 Spp Process Technology Systems Uk Limited Apparatus for chemically etching a workpiece
US7955986B2 (en) 2002-05-22 2011-06-07 Applied Materials, Inc. Capacitively coupled plasma reactor with magnetic plasma control
US20110222058A1 (en) * 2010-03-15 2011-09-15 Samsung Electronics Co., Ltd. Process monitoring device and semiconductor processing apparatus including the same
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8157951B2 (en) 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
CN111180326B (en) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 Method for processing semiconductor wafer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051503A (en) * 1996-08-01 2000-04-18 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US20020106845A1 (en) * 1999-11-29 2002-08-08 John Chao Method for rounding corners and removing damaged outer surfaces of a trench

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3013535B2 (en) 1991-08-03 2000-02-28 ソニー株式会社 Magnetic playback device
US5422289A (en) 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5346584A (en) 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US5824602A (en) 1996-10-21 1998-10-20 The United States Of America As Represented By The United States Department Of Energy Helicon wave excitation to produce energetic electrons for manufacturing semiconductors
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6265831B1 (en) * 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP4414518B2 (en) * 1999-09-10 2010-02-10 株式会社日立製作所 Surface treatment equipment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051503A (en) * 1996-08-01 2000-04-18 Surface Technology Systems Limited Method of surface treatment of semiconductor substrates
US20020106845A1 (en) * 1999-11-29 2002-08-08 John Chao Method for rounding corners and removing damaged outer surfaces of a trench

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020108933A1 (en) * 2000-03-17 2002-08-15 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20040149699A1 (en) * 2000-03-17 2004-08-05 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20050178748A1 (en) * 2000-03-17 2005-08-18 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7955986B2 (en) 2002-05-22 2011-06-07 Applied Materials, Inc. Capacitively coupled plasma reactor with magnetic plasma control
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7863168B2 (en) 2002-10-02 2011-01-04 Panasonic Corporation Plasma doping method and plasma doping apparatus
US20110065267A1 (en) * 2002-10-02 2011-03-17 Panasonic Corporation Plasma Doping Method and Plasma Doping Apparatus
US20040149219A1 (en) * 2002-10-02 2004-08-05 Tomohiro Okumura Plasma doping method and plasma doping apparatus
US8709926B2 (en) 2002-10-02 2014-04-29 Panasonic Corporation Plasma doping method and plasma doping apparatus
US20070026649A1 (en) * 2002-10-02 2007-02-01 Matsushita Electric Industrial Co., Inc. Plasma Doping Method and Plasma Doping Apparatus
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US20050264219A1 (en) * 2004-05-28 2005-12-01 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US8801893B2 (en) 2005-10-11 2014-08-12 Be Aerospace, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8337660B2 (en) 2005-10-11 2012-12-25 B/E Aerospace, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8157951B2 (en) 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20070081295A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US20070097580A1 (en) * 2005-10-11 2007-05-03 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7988872B2 (en) 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US20070081296A1 (en) * 2005-10-11 2007-04-12 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US20070091541A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
US8546267B2 (en) 2005-10-20 2013-10-01 B/E Aerospace, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8980044B2 (en) 2005-10-20 2015-03-17 Be Aerospace, Inc. Plasma reactor with a multiple zone thermal control feed forward control apparatus
US8012304B2 (en) 2005-10-20 2011-09-06 Applied Materials, Inc. Plasma reactor with a multiple zone thermal control feed forward control apparatus
US8092639B2 (en) 2005-10-20 2012-01-10 Advanced Thermal Sciences Corporation Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US20100319851A1 (en) * 2005-10-20 2010-12-23 Buchberger Jr Douglas A Plasma reactor with feed forward thermal control system using a thermal model for accommodating rf power changes or wafer temperature changes
US8221580B2 (en) 2005-10-20 2012-07-17 Applied Materials, Inc. Plasma reactor with wafer backside thermal loop, two-phase internal pedestal thermal loop and a control processor governing both loops
US8329586B2 (en) 2005-10-20 2012-12-11 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8021521B2 (en) 2005-10-20 2011-09-20 Applied Materials, Inc. Method for agile workpiece temperature control in a plasma reactor using a thermal model
US8608900B2 (en) 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
US20070089834A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Plasma reactor with a multiple zone thermal control feed forward control apparatus
US20100218894A1 (en) * 2007-03-22 2010-09-02 International Business Machines Corporation Method of Removing Metallic, Inorganic and Organic Contaminants From Chip Passivation Layer Surfaces
US20100230049A1 (en) * 2009-03-12 2010-09-16 Spp Process Technology Systems Uk Limited Apparatus for chemically etching a workpiece
US9159599B2 (en) * 2009-03-12 2015-10-13 Spts Technologies Limited Apparatus for chemically etching a workpiece
US20110222058A1 (en) * 2010-03-15 2011-09-15 Samsung Electronics Co., Ltd. Process monitoring device and semiconductor processing apparatus including the same
US20140049162A1 (en) * 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing

Also Published As

Publication number Publication date
CN1513198A (en) 2004-07-14
WO2002080214A3 (en) 2003-04-24
US8480913B2 (en) 2013-07-09
US20110253673A1 (en) 2011-10-20
KR100907197B1 (en) 2009-07-10
WO2002080214A2 (en) 2002-10-10
KR20040018256A (en) 2004-03-02
AU2002247433A1 (en) 2002-10-15
CN100351988C (en) 2007-11-28

Similar Documents

Publication Publication Date Title
US8480913B2 (en) Plasma processing method and apparatus with control of plasma excitation power
EP1166322B1 (en) Plasma processing method and apparatus with control of rf bias
US5474648A (en) Uniform and repeatable plasma processing
EP1656694B1 (en) High aspect ratio etch using modulation of rf powers of various frequencies
US7405521B2 (en) Multiple frequency plasma processor method and apparatus
US7206184B2 (en) Vacuum plasma processor and method of operating same
US6174450B1 (en) Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US5793162A (en) Apparatus for controlling matching network of a vacuum plasma processor and memory for same
US6229264B1 (en) Plasma processor with coil having variable rf coupling
JP2005502198A (en) Apparatus and method for plasma processing
US7771608B2 (en) Plasma processing method and apparatus
JPH02166732A (en) Method and apparatus for
JP3411814B2 (en) Plasma processing equipment
US20090142930A1 (en) Gate profile control through effective frequency of dual HF/VHF sources in a plasma etch process
JP2001085396A (en) Plasma treatment equipment
JPH02288227A (en) Plasma treatment method and device therefor

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NI, TUQUIANG;LIN, FRANK Y.;HUANG, CHUNG-HO;AND OTHERS;REEL/FRAME:012006/0979;SIGNING DATES FROM 20010613 TO 20010628

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION