US20020192129A1 - Abatement of fluorine gas from effluent - Google Patents

Abatement of fluorine gas from effluent Download PDF

Info

Publication number
US20020192129A1
US20020192129A1 US10/219,594 US21959402A US2002192129A1 US 20020192129 A1 US20020192129 A1 US 20020192129A1 US 21959402 A US21959402 A US 21959402A US 2002192129 A1 US2002192129 A1 US 2002192129A1
Authority
US
United States
Prior art keywords
gas
effluent
additive
chamber
effluent gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/219,594
Inventor
Shamouil Shamouilian
Mehran Moalem
Tony Kaushal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/219,594 priority Critical patent/US20020192129A1/en
Publication of US20020192129A1 publication Critical patent/US20020192129A1/en
Priority to US11/410,882 priority patent/US20070022958A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/75Multi-step processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/86Catalytic processes
    • B01D53/8659Removing halogens or halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/34Chemical or biological purification of waste gases
    • B01D53/74General processes for purification of waste gases; Apparatus or devices specially adapted therefor
    • B01D53/86Catalytic processes
    • B01D53/8659Removing halogens or halogen compounds
    • B01D53/8662Organic halogen compounds

Definitions

  • the present invention is related to an apparatus and method for reducing a hazardous gas content of an effluent from a process chamber.
  • Fluorocarbon, chlorofluorocarbons, hydrocarbon, and other fluorine containing gases are used in, or formed as a byproduct during, the manufacture of active and passive electronic circuitry in process chambers. These gases are toxic to humans and hazardous to the environment. In addition, they may also strongly absorb infrared radiation and have high global warming potentials. Especially notorious are persistent fluorinated compounds or perfluorocompounds (PFCs) which are long-lived, chemically stable compounds that have lifetimes often exceeding thousands of years.
  • PFCs perfluorocompounds
  • PFCs are carbon tetrafluoride (CF 4 ), hexafluoroethane (C 2 F 6 ), perfluorocyclobutane (C 4 F 8 ), difluoromethane (CH 2 F 2 ), perfluorocyclobutene (C 4 F 6 ), perafluoropropane (C 3 F 8 ), trifluoromethane (CHF 3 ), sulfur hexafluoride (SF 6 ), nitrogen trifluoride (NF 3 ), carbonyl fluoride (COF 2 ) and the like.
  • CF 4 has a lifetime in the environment of about 50,000 years and can contribute to global warming for up to 6.5 million years.
  • Another hazardous gas is molecular fluorine, F 2 .
  • Extended exposure to as little as 1 ppm of F 2 can be hazardous, and F 2 is difficult to breakdown or reduce to non-toxic forms.
  • effluents containing F 2 have been exhausted through exhaust stacks that are sufficiently tall that the concentration of F 2 in the air that descends to the ground is below regulatory levels.
  • this technique is less than ideal from an environmental standpoint, and also undesirable from a manufacturing standpoint in that the volume of fluorinated gas processes that generate F 2 is limited by the height of the exhaust stack.
  • One conventional F 2 abatement system uses a hydrogen burn box to reduce F 2 .
  • this system has several disadvantages. For example, a high temperature (generally above 850° C.) is necessary for the conversion of F 2 to HF in the presence of H 2 .
  • the heated HF is highly corrosive and hazardous, making its handling costly and dangerous.
  • the use of H 2 supply lines in a fabrication plant raises fire concerns that further add to the costs and danger of the system.
  • F 2 containing effluents are generated in numerous substrate fabrication processes, as well as in other processes.
  • process gases containing F 2 or that form F 2 as a byproduct of the process, are used in the etching of layers on substrates, such as oxide, metal and dielectric layers; during chemical vapor deposition processes; and to clean etchant or deposition residue in process chambers.
  • These hazardous compounds may be exhausted from the chamber in the effluent gas stream.
  • the present invention is useful for reducing a content of hazardous gases, such as F 2 , in an effluent gas that results from processing of substrates.
  • hazardous gas it is meant any toxic, harmful or undesirable gas, including but not limited to F 2 , PFCs, chlorofluorocarbons (CFCs), hydrocarbons, other fluorine containing gases, and other undesirable gases.
  • a substrate processing apparatus comprises a process chamber capable of performing a process with a process gas and thereby forming an effluent gas comprising F 2 gas.
  • the chamber comprises a substrate support, a gas distributor, a gas energizer, and an exhaust.
  • a catalytic reactor is provided to treat the effluent gas to reduce the F 2 content thereof.
  • the apparatus may also comprise an additive source capable of introducing an additive into the effluent gas to reduce the F 2 content of the effluent gas, the additive comprising a hydrogen species and an oxygen species.
  • the additive may be a gas comprising a hydroxy species or H 2 O.
  • the substrate processing apparatus comprises a process chamber capable of performing a process with a process gas and thereby forming an effluent gas comprising F 2 gas.
  • the chamber comprises a substrate support, a gas distributor, a gas energizer, and an exhaust.
  • a heater is provided to heat the effluent gas, and a catalytic reactor is provided to treat the effluent gas to reduce the F 2 content thereof.
  • FIG. 1 is a schematic sectional side view of an exemplary substrate processing apparatus which produces effluent containing hazardous gases
  • FIG. 2 is a schematic sectional side view of an exemplary catalytic reactor for use with a version of an abatement system
  • FIG. 3 is a schematic of a version of an abatement system with a catalytic reactor
  • FIG. 4 is a schematic sectional side view of a version of a prescrubber usable with an abatement system
  • FIG. 5 is a schematic sectional side view of an exemplary version of a venturi tube and venturi nozzle usable with a prescrubber;
  • FIG. 6 is a schematic sectional side view of a version of a prescrubber with a scrubbing fluid recirculation system.
  • the present invention relates to a gas treatment apparatus for use with a process chamber and a method of abating a hazardous gas content of effluent from the process chamber.
  • the description and accompanying drawings represent illustrative embodiments of the invention and are not intended to limit the invention.
  • An exemplary substrate processing apparatus 25 for processing a substrate 30 comprises a chamber 35 such as for example, an chemical vapor deposition (CVD) chamber, such as an SACVD chamber or an HDP CVD chamber, both of which are commercially available from Applied Materials Inc., Santa Clara, Calif., and generally described in commonly assigned U.S. Pat. Nos. 5,207,836 to Chang; 5,788,778 to Shang et al.; 5,843,239 to Shrotriya; 6,009,827 to Robles et al.; and 6,013,584 to M'Saad, all of which are incorporated herein by reference in their entireties.
  • CVD chemical vapor deposition
  • Such chambers can be used in a multi-chamber integrated process system as for example, described in U.S. Pat. No. 4,951,601 to Maydan, et al., which is also incorporated herein by reference in its entirety.
  • the particular version of the chamber 35 shown herein is suitable for processing of substrates 30 , such as semiconductor wafers, for example silicon or gallium arsenide wafers or glass or ceramic substrates.
  • substrates 30 such as semiconductor wafers, for example silicon or gallium arsenide wafers or glass or ceramic substrates.
  • the version is provided only to illustrate the invention, and should not be used to limit the scope of the invention.
  • the chamber 35 may be designed, for example, to deposit a silicon-containing layer, such as polysilicon, silicon nitride, silicon oxide, or metal silicide, or for depositing a metal layer or a metal-containing layer or any other type of layer on the substrate 30 .
  • the CVD system includes a deposition chamber 35 . Inside the chamber 35 is a gas distributor 40 for introducing process gas into the system.
  • the gas distributor 40 is a manifold or showerhead 42 .
  • gas inlets extending from the walls 44 or floor 46 of the chamber 35 may be provided.
  • the gas distribution system 40 distributes process gas into a process zone 50 in proximity to the substrate 30 .
  • Process gas is delivered to the chamber 35 by supply line 52 from a process gas supply 54 when a gas flow valve 56 is opened under the control of a process controller 58 , for example a computer control system.
  • a support 60 in the chamber 35 supports the substrate 30 thereon.
  • a support electrode 62 may be provided within the support 60 .
  • the electrode 62 may be capacitively coupled with an upper electrode 64 above the substrate 30 to energize the process gas in the process zone 50 to form a plasma.
  • the upper electrode 64 is the ceiling of the chamber 35 .
  • a power supply 70 supplies power to the upper electrode 64 through a match network 72 and the support electrode 62 is grounded, or vice versa.
  • RF power is supplied to the electrodes 62 , 64 .
  • the support 60 may include a heating mechanism 76 which may comprise a resistive heater or a heat transfer gas that circulates heat transfer gas in proximity to the substrate 30 .
  • the support 60 may optionally comprise a mechanical or electrostatic chuck (not shown) having a surface adapted to receive the substrate 30 .
  • the surface may have grooves in which a heat transfer gas, such as helium, is held to control the temperature of the substrate 30 .
  • the chamber 35 is evacuated to a low pressure, and a substrate 30 is transferred to the process zone 50 of the chamber 35 from a load lock transfer chamber (not shown) maintained at vacuum.
  • Process gas is introduced into the chamber 35 through the gas supply 54 and gas distributer 40 .
  • the gas in the chamber 35 is typically maintained at a low pressure.
  • a plasma is formed in the process zone 50 from the gas.
  • the plasma is capacitively generated by applying an RF voltage to the electrode 64 .
  • an RF current may be applied to an inductor coil (not shown) to inductively couple energy into the chamber 35 to generate the plasma in the plasma zone 35 .
  • the frequency of the RF current applied to the electrode 64 or to the inductor coil (not shown) is typically from about 50 KHz to about 60 MHz, and more typically about 13.56 MHz.
  • the capacitively generated plasma can also be enhanced by electron cyclotron resonance in a magnetically enhanced reactor in which a magnetic field generator, such as a permanent magnet or electromagnetic coils, that provide a magnetic field that may increase the density and uniformity of the plasma in the process zone 50 .
  • Effluent 80 comprising process gas and process byproducts is exhausted from the chamber 35 through an exhaust system 82 capable of achieving a minimum pressure of about 10 ⁇ 3 mTorr in the chamber 35 .
  • the exhaust system 82 comprises an exhaust tube 85 that leads to one or a plurality of pumps, such as roughing and high vacuum pumps, that evacuate the gas in the chamber 25 .
  • a throttle valve 87 is provided in the exhaust tube 85 for controlling the pressure of the gas in the chamber 25 .
  • an optical endpoint measurement technique is often used to determine completion of the etching process by measuring a change in light emission intensity of a gas species in the chamber 35 or measuring the intensity of light reflected from a layer being processed on the substrate 30 .
  • a substrate 30 is placed on the support 60 in the process chamber 35 , and a process gas comprising reactive gas is introduced into the process zone 50 through the process gas distributor 40 .
  • a process gas comprising reactive gas is introduced into the process zone 50 through the process gas distributor 40 .
  • an insulator or dielectric material such as, for example, SiO 2 or Si 3 N 4 , may be formed in a chemical vapor deposition (CVD) process using a process gas comprising one or more of SiH 4 , SiClH 2 , CO 2 , H 2 , N 2 O, Si(OC 2 H 5 ) 4 , NH 3 and N 2 .
  • a metal-containing material which may comprise an elemental metal, a metal compound or a metal alloy, may be formed in the deposition chamber.
  • a tungsten-containing material may be deposited using a process gas comprising one or more of WF 6 , H 2 , and SiH 4 ;
  • a molybdenum-containing material may be formed using, for example, a process gas comprising MoCl 5 and H 2 or equivalents;
  • an aluminum-containing material may be formed using, for example, AlCl 3 or Al(CH 3 ) 3 mixed with Ar or H 2 or both.
  • the process gas may be energized in the process chamber 35 as described above, or alternatively may be energized in a remote chamber to, for example, process the substrate 30 in an energized plasma gas or a microwave energized gas.
  • the energized reactive gas decomposes and deposits material onto the surface of the substrate 30 .
  • an effluent gas stream 100 of spent process gas and gaseous byproducts is exhausted from the process chamber 25 .
  • the deposition process is designed to preferentially deposit material onto the substrate 30
  • material is also deposited onto the surfaces in the chamber 35 .
  • an in situ dry clean process may be periodically performed to clean or etch away the deposition residue from these surfaces.
  • this cleaning process is carried out by remotely activating or energizing a cleaning gas in a remote chamber 90 .
  • a microwave generator 92 as described in U.S. Pat. No. 5,788,778, activates the cleaning gas which is delivered to the remote chamber 90 from a cleaning gas supply 94 when a valve 96 is opened under the control of controller 58 .
  • the cleaning gas could be energized inductively or capacitively or could be energized within the process chamber 35 .
  • the activated cleaning gas is delivered to the chamber 35 through the gas distributer 40 to clean or etch away the deposition residue in the chamber 35 .
  • a flow restrictor may be provided to allow a pressure differential to be provided between the process chamber 35 and the remote chamber 90 .
  • the cleaning gas may comprise NF 3 at a flow of from about 1 to about 2 liters/minute. It is believed that the NF 3 breaks down in the remote chamber 90 (or in the process chamber if activated therein) to provide fluorine radicals that etch the silicon containing residues in the chamber 35 . A significant percentage, approximately 90% or more of the fluorine, however, combines to form F 2 gas that is exhausted in an F 2 containing effluent 100 . Effluent 100 is compositionally quite different that effluent 80 which is composed primarily of spent process gas and process byproducts. F 2 containing effluent 100 , on the other hand, contains a significant percentage of F 2 .
  • the F 2 concentration in effluent 100 can be more than about 90%.
  • Other processes and/or process conditions can produce effluents 100 having more than about 50% F 2 , and others can produce effluents 100 having more than about 10% F 2 .
  • the F 2 may be generated when using process gas comprising other fluorine-containing gases, such as CF 4 , C 2 F 6 , C 3 F 8 , CHF 3 , SF 6 , COF 2 , CH 3 F, C 4 F 8 , CH 2 F 2 , C 4 F 6 and the like.
  • the F 2 effluent may be generated in a process chamber 35 capable of performing other substrate fabrication processes, such as etching or post etch treatment processes.
  • the effluent may be formed either before, during, or after processing of a substrate. In one version, the effluent is formed after the processing of a substrate or a set of substrates during a chamber cleaning process.
  • the additive comprises hydrogen species and oxygen species, such as, for example, H 2 O, H 2 O 2 , alcohols, hydroxyl containing gases, H 2 and O 2 and the like.
  • H 2 O, H 2 O 2 a catalyst
  • the water and F 2 convert the easily scrubbable and/or exhaustible HF and oxygen. It is believed that the one reaction is:
  • the O 2 may be exhausted, and the HF may be easily disposed of by dissolving it in water.
  • F 2 containing effluent 100 may be abated with an additive comprising hydrogen species and oxygen species.
  • the present catalyst may be housed in a catalytic reactor 250 through which the F 2 containing effluent 100 with additive gas is passed, an example of which is shown in FIG. 2.
  • a vacuum pump draws the effluent 100 through the catalytic reactor 250
  • flow controllers such as throttle valves may be used to regulate the flow of effluent through the catalytic reactor 250 .
  • the effluent gas 100 may be mixed upstream with a reactant such as an additive gas or liquid, and the mixture of gases passed through the catalytic reactor 250 through the inlet 251 and out of the outlet 252 to provide abated gas 101 exiting the catalytic reactor that may be exhausted to the atmosphere or easily treated for safe exhaustion.
  • the catalytic reactor 250 may comprise a catalytic surface 257 that catalyzes a reaction for reducing the hazardous gas content in the effluent.
  • the catalytic surface 257 may be in the form of a structure made from catalytic material or supporting a finely divided catalyst, a bed of foam or pellets, or a coating on a wall or component of the catalytic reactor 250 .
  • the catalytic surfaces 257 may comprise surfaces of a support structure comprising a honeycomb member with the catalyst embedded therein to form a high surface area member 255 over and through which the effluent 100 passes as it flows from the inlet 251 to the outlet 252 .
  • the catalytic surfaces 257 may be on, for example, a structure comprising a ceramic material, such as cordierite, Al 2 O 3 , alumina-silica, mullite, silicon carbide, silicon nitride, zeolite, and their equivalents; or may comprise a coating of materials, such as ZrO 2 , Al 2 O 3 , TiO 2 or combinations of these and other oxides.
  • the catalytic surfaces 257 may also be impregnated with catalytic metals, such as Pt, Pd, Rh, Cu, Ni, Co, Ag, Mo, W, V, La or combinations thereof or other materials known to enhance catalytic activity.
  • the catalytic reactor 250 is part of a catalytic abatement system 200 .
  • One version of the catalytic abatement system is shown in FIG. 3.
  • a conduit 210 is sealingly engaged to the exhaust system 80 of chamber 35 so that effluent gas 100 comprising hazardous PFC gases from process chamber 35 flows into conduit 210 .
  • the conduit 210 delivers the effluent 100 through the catalytic abatement system 200 .
  • the catalytic abatement system may comprise, in general, one or more of a flow regulating system 215 , an additive gas supply 220 , a prescrubber 230 , a heater 240 , a catalytic reactor 250 , a cooling system 260 and a postscrubber 270 .
  • the flow regulating system 215 comprises a valve 217 on an inlet tube 218 in communication with the conduit 210 to allow air or other non-reactive gases to be introduced into the effluent stream 100 and to allow adjustment of the pressure within the conduit 210 .
  • Appropriate additive gases such as H 2 O and O 2 , may be introduced into the effluent 100 by any one of the flow regulating system 215 , the additive gas supply 220 , and/or the prescrubber 230 .
  • a suitable additive gas supply 220 includes a tube 223 for communicating additive gas or fluid from a gas source 222 to the conduit 210 under the control of a valve 224 .
  • Additives that react with the hazardous gases in the effluent 100 to abate the hazardous gases are added.
  • F 2 is chemically broken down by adding H 2 O to the effluent 100 in a volumetric concentration of from about 0.2% to about 20%, preferably from about 3.5% to about 10%, and more preferably from about 4% to about 5%.
  • Other additive gases, such as oxygen may also be added to the effluent either as O 2 or by adding air or other additives that release oxygen containing species or compounds in the hazardous gas.
  • a non-reactive gas such as N 2 can be added, for example as a purge gas to purge the system.
  • a scrubber or prescrubber 230 is provided to add the additives in conjunction with or as an alternative to the additive gas supply 220 .
  • the prescrubber 230 may also be used to treat the effluent 100 before it is introduced into the catalytic reactor 250 to remove gaseous or particulate components of the effluent 100 that can damage the catalytic reactor 250 or make it less effective.
  • the SiF 4 can potentially deactivate the catalyst or form deposits on the catalyst by breaking up in the presence of moisture and depositing silicon.
  • the SiF 4 vapor is often generated, for example, during etching and cleaning processes in the chamber 35 .
  • the prescrubber 230 reacts SiF 4 with a scrubbing fluid, for example water, to reduce the content thereof in the effluent 100 . It is believed that water reacts with the SiF 4 vapor as follows:
  • the resultant SiO 2 and HF products are more easily removable from the effluent 100 .
  • the HF may be dissolved in water and the SiO 2 may be removed by filtering.
  • the removal of SiF 4 extends the life of the catalytic material in the catalytic reactor 250 .
  • the size and process conditions in the prescrubber 230 are selected to remove substantially all of the SiF 4 from the effluent.
  • One version of a prescrubber 230 is disclosed in U.S. patent application Ser. No. 09/435,119, filed on Nov. 5, 1999 and entitled “Process Gas Effluent Scrubber,” which is incorporated herein by reference in its entirety.
  • the prescrubber 230 may also allow H 2 O or other such additive gases to be added to the effluent 100 in sufficient quantities to complete the hazardous gas reaction in the catalytic reactor 250 .
  • the prescrubber 230 may be removed from the system.
  • a heating system for example a heater 240 and/or a cross flow heat exchanger (not shown), optionally heats the effluent 100 and additives within conduit 210 to temperatures sufficient to promote the catalytic reaction and abate the hazardous gases in the catalytic reactor 250 .
  • the effluent 100 is passed through the catalytic reactor 250 to abate the content of hazardous gases in the effluent. If the effluent 100 is heated, the abated effluent 101 may also be cooled before it is scrubbed and exhausted.
  • the cooling system 260 comprises a fluid cooling system such as a cold water quenching system that sprays cold water to cool the abated effluent 101 .
  • the abated effluent 101 is then introduced into a scrubber 270 having a reactor where the acidic materials in the abated effluent 101 are dissolved in a solvent, such as for example water, to form an acidic solution that is more easily exhausted or disposed.
  • a solvent such as for example water
  • heating the F 2 containing effluent is not required to reduce the F 2 concentration in the catalytic reactor, it has been further discovered that heat improves the abatement efficiency and extends the life of the catalyst.
  • Temperatures at or less than about 700° C., or in the range from about 50° C. to about 300° C., depending on the composition and concentration of the effluent 100 have been discovered to be particularly effective.
  • HF is produced both in the prescrubber 230 and in the catalytic reactor 250 .
  • the presence of HF in the effluents 100 , 101 may pose safety concerns and handling difficulties because HF is toxic and should not come into contact with skin.
  • HF is highly corrosive, particularly at elevated temperatures and in the presence of moisture and oxygen.
  • nickel-based alloys for example Inconel 600 or 625 (TM) available from Inco Corporation in Huntington, W. V. , provide excellent corrosion resistance in the catalytic abatement system 200 environment and may be reliably sealable and gas tight to prevent unwanted HF escape from the system.
  • this system provides sufficiently sealed system protecting against OF 2 if any OF 2 is formed between the prescrubber 230 and the catalytic reactor 250 . It is believed that if any OF 2 is formed before the catalysis reactor 250 , the catalytic reactor encourages the breakdown of the OF 2 and the subsequent formation of HF and O 2 . It has been determined from gas analysis that the abated effluent 101 is absent dangerous levels of OF 2 .
  • the prescrubber 230 includes a reactor 231 for receiving the F 2 (and potentially SiF 4 ) containing effluent 100 and reacting the effluent 100 with a scrubbing fluid, such as water.
  • a hydrolyzer column or channel 310 receives effluent 100 from the conduit 210 .
  • the effluent 100 may have been previously mixed with an additive, as discussed above.
  • the hydrolyzer column 310 presents a hydrolytic or humid environment 312 to the effluent 100 .
  • the hydrolyzer column 310 allows for the removal of large scrubbed particles before these large scrubbed particles can clog or deposit in subsequent columns in the prescrubber 230 .
  • the combination of the initial moisture exposure, the substantially vertical nature of the column and the downward flow of effluent 100 allows for the removal of these large particles.
  • a hydrolyzer column or channel downstream of a scrubbing fluid dispenser or other direct source of scrubbing fluid would not be effective at removing a substantial amount of, for example, SiF 4 .
  • a purge gas supply 315 may be provided at or near an inlet 317 to introduce a purge gas, for example air, O 2 , or N 2 , to purge the system and/or to prevent upstream hydrolyzation.
  • a purge gas for example air, O 2 , or N 2
  • the inlet may be of a venturi type.
  • the effluent 100 then passes through a first scrubber column or channel 320 .
  • a nozzle 322 dispenses scrubbing fluid, for example water, from a source 325 into the stream of effluent 100 .
  • the fluid dispensing is done by spraying water is in a direction which is countercurrent to the flow of gas.
  • countercurrent it is meant that at least a portion of the flow is in a direction substantially opposing the general direction of the flow of the gas. This arrangement allows for gravity and the flow of water to encourage transport of reactant products, for example silicon dioxide particles and HF, into a reservoir 350 .
  • Column 320 may optionally be provided with surface area increasing material 327 , for example plastic or ceramic pellets or granules of differing sizes, such as for example PVC balls, for increasing the surface area of water/gas contact in the column and thereby encouraging SiF 4 destruction reactions.
  • surface area increasing material 327 for example plastic or ceramic pellets or granules of differing sizes, such as for example PVC balls, for increasing the surface area of water/gas contact in the column and thereby encouraging SiF 4 destruction reactions.
  • a platform 328 that is readily permeable to the effluent 100 and the reaction products but impermeable to the surface area increasing material 327 may be provided to contain the surface area increasing material 327 within the first column 320 .
  • venturi it is meant a tube with a constricted, throatlike passage that increases the velocity and lowers the pressure of a fluid conveyed through it.
  • inject it is meant that the scrubbing fluid is dispensed through a “venturi.”
  • the venturi 332 , 333 of the present invention provides a constriction with a small opening that breaks the water down into small droplets.
  • the opening of the venturi nozzle is sized from about 0.1 inch to about 1.4 inches, more preferably from about 0.25 inches to about 1.25 inches, and most preferably from about 0.5 inches to about 1 inch for a tube 332 having a 1.5 inch diameter.
  • the flow of water is in the direction of the flow of effluent 100 .
  • the venturi column 330 serves to (i) further scrub the effluent by increasing the surface area of water/gas contact by the generation of the droplets, (ii) further transport reaction products to the reservoir 350 by the high speed bombardment of water from the venturi nozzle 333 , (iii) provide the additive H 2 O for destroying PFC's, as discussed above, in the catalytic reactor 250 , and (iv) generate negative pressure and thereby effectively supply positive pressure to the effluent 100 to compensate for pressure drops through the prescrubber 230 .
  • the resulting net pressure drop through the prescrubber 230 is approximately zero.
  • a pressure gain can even be generated.
  • FIG. 5 shows an exemplary version of the venturi tube 332 and venturi nozzle which is not intended to limit the invention.
  • the relative sizes and angles of the tube and the opening of the constriction can be modified to adjust or optimize the flow for a desired purpose.
  • a second scrubber column or channel 340 receives the effluent from the venturi column 330 .
  • the second scrubber column may include a second spray nozzle 342 for dispensing scrubbing fluid, for example by spraying water, from a source 345 countercurrently into the effluent 100 .
  • the second scrubber column 340 may further have surface area increasing material 347 contained on platform 348 which may be similar to surface area increasing material 327 and platform 328 of the first scrubbing column 320 .
  • the second scrubbing column 340 provides yet another level of scrubbing the effluent 100 and further serves to transport the reaction products to the reservoir 350 .
  • a mist eliminator 355 may be positioned near outlet 357 to remove water droplets from the effluent 100 . While about 4% to about 5% percent moisture content in the effluent 100 is desired for catalytic treatment, droplets are not desired because they can carry scrubbing product particles that can contaminate the catalyst within the catalytic reactor 250 . The mist eliminator 355 removes the droplets, but passes the desired moisture. Mist eliminator 355 may comprise, for example, packed material or a mesh such as a wire mesh.
  • the prescrubber 230 may be designed in one version so that a sufficient amount of water and/or pressure is introduced into the effluent 100 to make the H 2 O content of the effluent 100 from about 4 to about 5 percent.
  • Each column or channel 310 , 320 , 330 , 340 is designed for about 80 percent to about 90 percent destruction of SiF 4 . Therefore, if two columns were provided and the effluent 100 passed through two columns, from about 96 percent to about 99 percent of the SiF 4 would be removed. Passage through three columns provides from about 99.2 percent to about 99.9 percent removal. Passage through all four columns provides from about 99.8 percent to about 99.99 percent removal.
  • Particle measurement determinations indicate that about 80 percent of the particles in the treated effluent 100 range in size from about 1 to about 2.5 ⁇ m. Therefore, another level of particle removal may be provided by filter 360 , for example a hepa filter, which may be positioned near outlet 357 .
  • the hepa filter 360 removes a substantial amount of the reaction product particles that have not been transported into the reservoir 350 .
  • the hepa filter 360 is effective for filtering particles greater than about 0.3 ⁇ m and is therefore ideal for removing these reaction product particles.
  • the prescrubber 230 may be provided with any number of columns.
  • the “columns” need not necessarily be vertically oriented, but may be channels oriented horizontally, vertically or at any orientation therebetween.
  • the channels need not be parallel. Adjacent channels may be angularly oriented at any angle from zero degrees (i.e., substantially parallel and with the effluent flow 100 in opposite directions, as shown in FIG. 4) to 180 degrees (i.e., parallel and with the effluent flow in the same direction). In one arrangement, the channels have a relative orientation of less than 90 degrees.
  • An overflow drain 370 and a filling unit 375 maintain the reservoir 350 at a desired level.
  • FIG. 6 Another version of the prescrubber 230 is shown in FIG. 6.
  • a recirculation system 400 recirculates the scrubbing fluid, for example water with reaction products, through the prescrubber 230 .
  • the reservoir 350 is first filled to a desired level by filling unit 375 which is connected to a source of scrubbing fluid, for example a water source.
  • the desired level corresponds with a height of overflow drain 370 .
  • Pump 405 is then turned on. Pump 405 withdraws fluid from reservoir 350 .
  • the fluid in the reservoir is a mixture of water and scrubber reaction products such as silicon dioxide and HF.
  • the fluid passes through outlet 410 under the influence of negative pressure generated by the pump 405 and through particulate filter 420 which removes reaction product particles.
  • Particulate filter 420 may comprise filter which is capable of removing particles above from about 2 microns to about 1 mm in diameter. In one version, the particulate filter 420 removes particles sized greater than about 70 microns.
  • the filtered fluid is then pumped via line 430 to fluid nozzles 322 , 342 and to venturi tube 332 by lines 432 , 434 , 433 , respectively.
  • the pump 405 also withdraws fresh scrubbing fluid, water in the version shown, from a scrubbing fluid source 440 (i.e., a water source) at a predetermined rate.
  • a scrubbing fluid source 440 i.e., a water source
  • fresh water results in an overflow of reservoir 350 into overflow drain 370 which transports the overflow to an acid drain.
  • the addition of fresh water allows for the maintenance of a predetermined or adjustable pH of reservoir 350 .
  • fresh water is introduced at a rate of from about 0.25 gallons per minute to about 1 gallon per minute.
  • fresh water is introduced at about 0.5 gallons per minute, or at a rate sufficient to maintain the pH of the scrubbing fluid at a level of about 2 to about 3.
  • a pH meter can be provided and a controller can adjust the amount of fresh water introduced in accordance with the measured pH.
  • a control system 500 including a programmable controller 510 may be provided to control the operation of the pump 405 .
  • the controller 510 which may be in the form of a central processing unit (CPU), operates the pump 405 in accordance with a set of programmed instructions designed to introduce a predetermined amount of water into the effluent 100 .
  • a gas analyzer 520 may be provided at the outlet of the prescrubber 230 .
  • the controller 510 can be responsive to the output signal from the analyzer 520 and can adjust the operation of the pump 405 in accordance with the output signal to maintain the water concentration at a predetermined level. For example, when the percentage of moisture, or other additive gas, falls below a predetermined level, as determined by analyzer 520 , the controller 510 can automatically adjust the operating speed or the fresh water intake of the pump 405 .
  • a gas analyzer (not shown) can be located downstream of the catalytic reactor 250 , and the controller 510 can be responsive to an F 2 concentration signal from the gas analyzer. For example, the amount of water introduced into the effluent 100 can be increased when the F 2 concentration exceeds a predetermined level.
  • the controller 510 comprises a computer readable medium having computer readable program code embodied therein that monitors the output signal(s) from the gas analyzer 520 .
  • the controller 510 may be incorporated into a system controller, such as the system controller described in U.S. patent application Ser. No. 09/363,302, filed on Jul. 28, 1999, and entitled “Treatment of Process Gas Effluent,” which is incorporated herein by reference in its entirety.
  • the controller 510 may comprise a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, Calif.
  • CPUs central processor units
  • peripheral control components such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, Calif.
  • the CPUs of the controller 510 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the system.
  • An interface between an operator and the controller 510 may be a CRT monitor and a light with a light sensor in the tip of the pen. To select a particular screen or function, the operator touches a designated area of the CRT monitor and pushes a button on the pen. The area touched changes its color or a new menu or screen is displayed to confirm the communication between the light pen and the CRT monitor.
  • Other devices such as a keyboard, mouse or pointing communication device can also be used to communicate with the controller 510 .
  • An operator may be able thereby to input ranges of pH values or flow rates of the scrubbing fluid.
  • the computer program code operating the CPU(s) and other devices of the computer can be written in any conventional computer readable programming language, such as for example, assembly language, C, C ++ , or Pascal.
  • Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled to a compiler code which is linked with an object code of precompiled windows library routines. To execute the linked and compiled object code, the system user invokes the object code, causing the computer to load the code in memory to perform the tasks identified in the computer program.
  • the software may include code to evaluate the pH of the scrubbing fluid and to accordingly adjust the amount of fresh water added to the scrubbing fluid, for example.
  • the F 2 catalytic abatement system 200 may be a self-contained and integrated unit that is compatible with various process chambers 25 .
  • the catalytic abatement system 200 can be used to destroy a large variety of hazardous gases, including substantially all types of PFCs.
  • the catalytic abatement system 200 has no impact on process chamber 25 operation and may be used with any process chamber that exhausts hazardous gases.
  • the catalytic abatement system is convenient to handle and occupies less than 40 cubic feet.

Abstract

An effluent abatement system 200 that may be used to abate F2 gas content of effluent exhausted from a process chamber 35, such as effluent from a CVD chamber cleaning process, includes a catalytic reactor 250 to reduce the content of F2 in the effluent 100. The system may further include a prescrubber 230 to add reactive gases to the effluent 100 and/or to treat the effluent 100 prior to treatment in the catalytic reactor 250. Alternatively reactive gases can be added to the effluent 100 by a gas source 220.

Description

    CROSS-REFERENCE
  • This application is a divisional of U.S. patent application Ser. No. 09/607,918, filed on Jun. 29, 2000, entitled “ABATEMENT OF FLUORINE GAS FROM EFFLUENT” to Shamouilian et al., which is incorporated herein by reference in its entirety.[0001]
  • BACKGROUND
  • The present invention is related to an apparatus and method for reducing a hazardous gas content of an effluent from a process chamber. [0002]
  • Fluorocarbon, chlorofluorocarbons, hydrocarbon, and other fluorine containing gases are used in, or formed as a byproduct during, the manufacture of active and passive electronic circuitry in process chambers. These gases are toxic to humans and hazardous to the environment. In addition, they may also strongly absorb infrared radiation and have high global warming potentials. Especially notorious are persistent fluorinated compounds or perfluorocompounds (PFCs) which are long-lived, chemically stable compounds that have lifetimes often exceeding thousands of years. Some examples of PFCs are carbon tetrafluoride (CF[0003] 4), hexafluoroethane (C2F6), perfluorocyclobutane (C4F8), difluoromethane (CH2F2), perfluorocyclobutene (C4F6), perafluoropropane (C3F8), trifluoromethane (CHF3), sulfur hexafluoride (SF6), nitrogen trifluoride (NF3), carbonyl fluoride (COF2) and the like. For example, CF4 has a lifetime in the environment of about 50,000 years and can contribute to global warming for up to 6.5 million years.
  • Another hazardous gas is molecular fluorine, F[0004] 2. Extended exposure to as little as 1 ppm of F2 can be hazardous, and F2 is difficult to breakdown or reduce to non-toxic forms. Previously, effluents containing F2 have been exhausted through exhaust stacks that are sufficiently tall that the concentration of F2 in the air that descends to the ground is below regulatory levels. However, this technique is less than ideal from an environmental standpoint, and also undesirable from a manufacturing standpoint in that the volume of fluorinated gas processes that generate F2 is limited by the height of the exhaust stack. Thus, it is desirable to have an apparatus or method that can reduce the hazardous gas content of effluents, especially effluents containing F2, that may be released from process chambers.
  • One conventional F[0005] 2 abatement system uses a hydrogen burn box to reduce F2. However, this system has several disadvantages. For example, a high temperature (generally above 850° C.) is necessary for the conversion of F2 to HF in the presence of H2. The heated HF is highly corrosive and hazardous, making its handling costly and dangerous. Additionally, the use of H2 supply lines in a fabrication plant raises fire concerns that further add to the costs and danger of the system.
  • F[0006] 2 containing effluents are generated in numerous substrate fabrication processes, as well as in other processes. For example, process gases containing F2, or that form F2 as a byproduct of the process, are used in the etching of layers on substrates, such as oxide, metal and dielectric layers; during chemical vapor deposition processes; and to clean etchant or deposition residue in process chambers. These hazardous compounds may be exhausted from the chamber in the effluent gas stream.
  • It is desirable to minimize the introduction of such harmful gases and byproducts into the environment. There is also a need to minimize the harmful content of the effluent gas released into the atmosphere in an efficient and inexpensive manner. There is a further need to reduce F[0007] 2 emissions to the lowest possible levels especially for industries which widely use F2 or F2 producing gases, even though such use is a relatively small component of the overall consumption or release of F2 in the world.
  • SUMMARY
  • The present invention is useful for reducing a content of hazardous gases, such as F[0008] 2, in an effluent gas that results from processing of substrates. By hazardous gas it is meant any toxic, harmful or undesirable gas, including but not limited to F2, PFCs, chlorofluorocarbons (CFCs), hydrocarbons, other fluorine containing gases, and other undesirable gases.
  • A substrate processing apparatus comprises a process chamber capable of performing a process with a process gas and thereby forming an effluent gas comprising F[0009] 2 gas. The chamber comprises a substrate support, a gas distributor, a gas energizer, and an exhaust. A catalytic reactor is provided to treat the effluent gas to reduce the F2 content thereof.
  • In other versions, instead of, or in addition to the catalytic reactor, the apparatus may also comprise an additive source capable of introducing an additive into the effluent gas to reduce the F[0010] 2 content of the effluent gas, the additive comprising a hydrogen species and an oxygen species. For example, the additive may be a gas comprising a hydroxy species or H2O.
  • In yet another version, the substrate processing apparatus comprises a process chamber capable of performing a process with a process gas and thereby forming an effluent gas comprising F[0011] 2 gas. The chamber comprises a substrate support, a gas distributor, a gas energizer, and an exhaust. A heater is provided to heat the effluent gas, and a catalytic reactor is provided to treat the effluent gas to reduce the F2 content thereof.
  • DRAWINGS
  • These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings which illustrate exemplary features of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where: [0012]
  • FIG. 1 is a schematic sectional side view of an exemplary substrate processing apparatus which produces effluent containing hazardous gases; [0013]
  • FIG. 2 is a schematic sectional side view of an exemplary catalytic reactor for use with a version of an abatement system; [0014]
  • FIG. 3 is a schematic of a version of an abatement system with a catalytic reactor; [0015]
  • FIG. 4 is a schematic sectional side view of a version of a prescrubber usable with an abatement system; [0016]
  • FIG. 5 is a schematic sectional side view of an exemplary version of a venturi tube and venturi nozzle usable with a prescrubber; and [0017]
  • FIG. 6 is a schematic sectional side view of a version of a prescrubber with a scrubbing fluid recirculation system.[0018]
  • DESCRIPTION
  • The present invention relates to a gas treatment apparatus for use with a process chamber and a method of abating a hazardous gas content of effluent from the process chamber. The description and accompanying drawings represent illustrative embodiments of the invention and are not intended to limit the invention. [0019]
  • An exemplary [0020] substrate processing apparatus 25 for processing a substrate 30, as illustrated in FIG. 1, comprises a chamber 35 such as for example, an chemical vapor deposition (CVD) chamber, such as an SACVD chamber or an HDP CVD chamber, both of which are commercially available from Applied Materials Inc., Santa Clara, Calif., and generally described in commonly assigned U.S. Pat. Nos. 5,207,836 to Chang; 5,788,778 to Shang et al.; 5,843,239 to Shrotriya; 6,009,827 to Robles et al.; and 6,013,584 to M'Saad, all of which are incorporated herein by reference in their entireties. Such chambers can be used in a multi-chamber integrated process system as for example, described in U.S. Pat. No. 4,951,601 to Maydan, et al., which is also incorporated herein by reference in its entirety. The particular version of the chamber 35 shown herein, is suitable for processing of substrates 30, such as semiconductor wafers, for example silicon or gallium arsenide wafers or glass or ceramic substrates. The version is provided only to illustrate the invention, and should not be used to limit the scope of the invention.
  • The [0021] chamber 35 may be designed, for example, to deposit a silicon-containing layer, such as polysilicon, silicon nitride, silicon oxide, or metal silicide, or for depositing a metal layer or a metal-containing layer or any other type of layer on the substrate 30. As shown in FIG. 1, the CVD system includes a deposition chamber 35. Inside the chamber 35 is a gas distributor 40 for introducing process gas into the system. In the version shown in FIG. 1, the gas distributor 40 is a manifold or showerhead 42. Alternatively, gas inlets extending from the walls 44 or floor 46 of the chamber 35 may be provided. The gas distribution system 40 distributes process gas into a process zone 50 in proximity to the substrate 30. Process gas is delivered to the chamber 35 by supply line 52 from a process gas supply 54 when a gas flow valve 56 is opened under the control of a process controller 58, for example a computer control system.
  • A [0022] support 60 in the chamber 35 supports the substrate 30 thereon. A support electrode 62 may be provided within the support 60. The electrode 62 may be capacitively coupled with an upper electrode 64 above the substrate 30 to energize the process gas in the process zone 50 to form a plasma. In the version shown in FIG. 1, the upper electrode 64 is the ceiling of the chamber 35. A power supply 70 supplies power to the upper electrode 64 through a match network 72 and the support electrode 62 is grounded, or vice versa. Typically, RF power is supplied to the electrodes 62, 64. The support 60 may include a heating mechanism 76 which may comprise a resistive heater or a heat transfer gas that circulates heat transfer gas in proximity to the substrate 30. The support 60 may optionally comprise a mechanical or electrostatic chuck (not shown) having a surface adapted to receive the substrate 30. The surface may have grooves in which a heat transfer gas, such as helium, is held to control the temperature of the substrate 30.
  • During processing, the [0023] chamber 35 is evacuated to a low pressure, and a substrate 30 is transferred to the process zone 50 of the chamber 35 from a load lock transfer chamber (not shown) maintained at vacuum. Process gas is introduced into the chamber 35 through the gas supply 54 and gas distributer 40. The gas in the chamber 35 is typically maintained at a low pressure. A plasma is formed in the process zone 50 from the gas. In the chamber 35, the plasma is capacitively generated by applying an RF voltage to the electrode 64. Alternatively, an RF current may be applied to an inductor coil (not shown) to inductively couple energy into the chamber 35 to generate the plasma in the plasma zone 35. The frequency of the RF current applied to the electrode 64 or to the inductor coil (not shown) is typically from about 50 KHz to about 60 MHz, and more typically about 13.56 MHz. In another version, the capacitively generated plasma can also be enhanced by electron cyclotron resonance in a magnetically enhanced reactor in which a magnetic field generator, such as a permanent magnet or electromagnetic coils, that provide a magnetic field that may increase the density and uniformity of the plasma in the process zone 50.
  • [0024] Effluent 80 comprising process gas and process byproducts is exhausted from the chamber 35 through an exhaust system 82 capable of achieving a minimum pressure of about 10−3 mTorr in the chamber 35. The exhaust system 82 comprises an exhaust tube 85 that leads to one or a plurality of pumps, such as roughing and high vacuum pumps, that evacuate the gas in the chamber 25. A throttle valve 87 is provided in the exhaust tube 85 for controlling the pressure of the gas in the chamber 25. Also, an optical endpoint measurement technique is often used to determine completion of the etching process by measuring a change in light emission intensity of a gas species in the chamber 35 or measuring the intensity of light reflected from a layer being processed on the substrate 30.
  • During operation of the [0025] chamber 35 in a typical substrate process, a substrate 30 is placed on the support 60 in the process chamber 35, and a process gas comprising reactive gas is introduced into the process zone 50 through the process gas distributor 40. For example, an insulator or dielectric material such as, for example, SiO2 or Si3N4, may be formed in a chemical vapor deposition (CVD) process using a process gas comprising one or more of SiH4, SiClH2, CO2, H2, N2O, Si(OC2H5)4, NH3 and N2. Additionally or alternatively, a metal-containing material which may comprise an elemental metal, a metal compound or a metal alloy, may be formed in the deposition chamber. For example, a tungsten-containing material may be deposited using a process gas comprising one or more of WF6, H2, and SiH4; a molybdenum-containing material may be formed using, for example, a process gas comprising MoCl5 and H2 or equivalents; or an aluminum-containing material may be formed using, for example, AlCl3 or Al(CH3)3 mixed with Ar or H2 or both. The process gas may be energized in the process chamber 35 as described above, or alternatively may be energized in a remote chamber to, for example, process the substrate 30 in an energized plasma gas or a microwave energized gas. The energized reactive gas decomposes and deposits material onto the surface of the substrate 30. During and after processing, an effluent gas stream 100 of spent process gas and gaseous byproducts is exhausted from the process chamber 25.
  • Although the deposition process is designed to preferentially deposit material onto the [0026] substrate 30, material is also deposited onto the surfaces in the chamber 35. To clean the chamber 35 an in situ dry clean process may be periodically performed to clean or etch away the deposition residue from these surfaces. In one version, this cleaning process is carried out by remotely activating or energizing a cleaning gas in a remote chamber 90. A microwave generator 92, as described in U.S. Pat. No. 5,788,778, activates the cleaning gas which is delivered to the remote chamber 90 from a cleaning gas supply 94 when a valve 96 is opened under the control of controller 58. Alternatively, the cleaning gas could be energized inductively or capacitively or could be energized within the process chamber 35. The activated cleaning gas is delivered to the chamber 35 through the gas distributer 40 to clean or etch away the deposition residue in the chamber 35. A flow restrictor may be provided to allow a pressure differential to be provided between the process chamber 35 and the remote chamber 90.
  • In one version, the cleaning gas may comprise NF[0027] 3 at a flow of from about 1 to about 2 liters/minute. It is believed that the NF3 breaks down in the remote chamber 90 (or in the process chamber if activated therein) to provide fluorine radicals that etch the silicon containing residues in the chamber 35. A significant percentage, approximately 90% or more of the fluorine, however, combines to form F2 gas that is exhausted in an F2 containing effluent 100. Effluent 100 is compositionally quite different that effluent 80 which is composed primarily of spent process gas and process byproducts. F2 containing effluent 100, on the other hand, contains a significant percentage of F2. For example, depending on the process conditions, the F2 concentration in effluent 100 can be more than about 90%. Other processes and/or process conditions can produce effluents 100 having more than about 50% F2, and others can produce effluents 100 having more than about 10% F2. Alternatively, the F2 may be generated when using process gas comprising other fluorine-containing gases, such as CF4, C2F6, C3F8, CHF3, SF6, COF2, CH3F, C4F8, CH2F2, C4F6 and the like. Furthermore, the F2 effluent may be generated in a process chamber 35 capable of performing other substrate fabrication processes, such as etching or post etch treatment processes. The effluent may be formed either before, during, or after processing of a substrate. In one version, the effluent is formed after the processing of a substrate or a set of substrates during a chamber cleaning process.
  • It is desirable to reduce the amounts of F[0028] 2 present in the effluent 100 before the effluent 100 is exhausted. It has been discovered that passing the effluent 100 over a catalyst reduces the F2-content of the effluent 100. The catalytic abatement may be conducted in the presence of an additive. In one version, the additive comprises hydrogen species and oxygen species, such as, for example, H2O, H2O2, alcohols, hydroxyl containing gases, H2 and O2 and the like. In the presence of a catalyst, the water and F2 convert the easily scrubbable and/or exhaustible HF and oxygen. It is believed that the one reaction is:
  • 2F2+2H2O+catalyst→4HF+O2
  • The O[0029] 2 may be exhausted, and the HF may be easily disposed of by dissolving it in water. Thus, it has been discovered that F2 containing effluent 100 may be abated with an additive comprising hydrogen species and oxygen species.
  • That the addition of an additive, such as water, to effluent containing F[0030] 2 provides abatement of the effluent 100 is unexpected. Typically, water, or other oxygen species containing additive, contact with F2 gas is specifically avoided because water reacts with the F2 to form OF2 which is an undesirable gas that needs to be reduced to concentrations less than 50 ppb before it can be released into the environment. However, it has been unexpectedly discovered that in the presence of the catalyst, the OF2 formation is replaced by the HF and O2 formation.
  • In one version, the present catalyst may be housed in a [0031] catalytic reactor 250 through which the F2 containing effluent 100 with additive gas is passed, an example of which is shown in FIG. 2. A vacuum pump draws the effluent 100 through the catalytic reactor 250, and optionally, flow controllers such as throttle valves may be used to regulate the flow of effluent through the catalytic reactor 250. In addition, the effluent gas 100 may be mixed upstream with a reactant such as an additive gas or liquid, and the mixture of gases passed through the catalytic reactor 250 through the inlet 251 and out of the outlet 252 to provide abated gas 101 exiting the catalytic reactor that may be exhausted to the atmosphere or easily treated for safe exhaustion.
  • The [0032] catalytic reactor 250 may comprise a catalytic surface 257 that catalyzes a reaction for reducing the hazardous gas content in the effluent. The catalytic surface 257 may be in the form of a structure made from catalytic material or supporting a finely divided catalyst, a bed of foam or pellets, or a coating on a wall or component of the catalytic reactor 250. For example, the catalytic surfaces 257 may comprise surfaces of a support structure comprising a honeycomb member with the catalyst embedded therein to form a high surface area member 255 over and through which the effluent 100 passes as it flows from the inlet 251 to the outlet 252. The catalytic surfaces 257 may be on, for example, a structure comprising a ceramic material, such as cordierite, Al2O3, alumina-silica, mullite, silicon carbide, silicon nitride, zeolite, and their equivalents; or may comprise a coating of materials, such as ZrO2, Al2O3, TiO2 or combinations of these and other oxides. The catalytic surfaces 257 may also be impregnated with catalytic metals, such as Pt, Pd, Rh, Cu, Ni, Co, Ag, Mo, W, V, La or combinations thereof or other materials known to enhance catalytic activity.
  • In one version, the [0033] catalytic reactor 250 is part of a catalytic abatement system 200. One version of the catalytic abatement system is shown in FIG. 3. A conduit 210 is sealingly engaged to the exhaust system 80 of chamber 35 so that effluent gas 100 comprising hazardous PFC gases from process chamber 35 flows into conduit 210. The conduit 210 delivers the effluent 100 through the catalytic abatement system 200. The catalytic abatement system may comprise, in general, one or more of a flow regulating system 215, an additive gas supply 220, a prescrubber 230, a heater 240, a catalytic reactor 250, a cooling system 260 and a postscrubber 270.
  • The [0034] flow regulating system 215 comprises a valve 217 on an inlet tube 218 in communication with the conduit 210 to allow air or other non-reactive gases to be introduced into the effluent stream 100 and to allow adjustment of the pressure within the conduit 210. Appropriate additive gases, such as H2O and O2, may be introduced into the effluent 100 by any one of the flow regulating system 215, the additive gas supply 220, and/or the prescrubber 230. For example, a suitable additive gas supply 220 includes a tube 223 for communicating additive gas or fluid from a gas source 222 to the conduit 210 under the control of a valve 224. Additives that react with the hazardous gases in the effluent 100 to abate the hazardous gases are added. For example, in one version, F2 is chemically broken down by adding H2O to the effluent 100 in a volumetric concentration of from about 0.2% to about 20%, preferably from about 3.5% to about 10%, and more preferably from about 4% to about 5%. Other additive gases, such as oxygen, may also be added to the effluent either as O2 or by adding air or other additives that release oxygen containing species or compounds in the hazardous gas. Alternatively or additionally, a non-reactive gas such as N2 can be added, for example as a purge gas to purge the system.
  • A scrubber or [0035] prescrubber 230 is provided to add the additives in conjunction with or as an alternative to the additive gas supply 220. The prescrubber 230 may also be used to treat the effluent 100 before it is introduced into the catalytic reactor 250 to remove gaseous or particulate components of the effluent 100 that can damage the catalytic reactor 250 or make it less effective. For example, when SiF4 is present in the effluent 100, the SiF4 can potentially deactivate the catalyst or form deposits on the catalyst by breaking up in the presence of moisture and depositing silicon. The SiF4 vapor is often generated, for example, during etching and cleaning processes in the chamber 35. The prescrubber 230 reacts SiF4 with a scrubbing fluid, for example water, to reduce the content thereof in the effluent 100. It is believed that water reacts with the SiF4 vapor as follows:
  • 2H2O+SiF4→SiO2+4HF
  • The resultant SiO[0036] 2 and HF products are more easily removable from the effluent 100. The HF may be dissolved in water and the SiO2 may be removed by filtering. The removal of SiF4 extends the life of the catalytic material in the catalytic reactor 250. Preferably, the size and process conditions in the prescrubber 230 are selected to remove substantially all of the SiF4 from the effluent. One version of a prescrubber 230 is disclosed in U.S. patent application Ser. No. 09/435,119, filed on Nov. 5, 1999 and entitled “Process Gas Effluent Scrubber,” which is incorporated herein by reference in its entirety. The prescrubber 230 may also allow H2O or other such additive gases to be added to the effluent 100 in sufficient quantities to complete the hazardous gas reaction in the catalytic reactor 250. When the system is used to abate effluent 100 that does not contain significant quantities of catalyst contaminants such as SiF4, the prescrubber 230 may be removed from the system.
  • Downstream, a heating system, for example a [0037] heater 240 and/or a cross flow heat exchanger (not shown), optionally heats the effluent 100 and additives within conduit 210 to temperatures sufficient to promote the catalytic reaction and abate the hazardous gases in the catalytic reactor 250. The effluent 100 is passed through the catalytic reactor 250 to abate the content of hazardous gases in the effluent. If the effluent 100 is heated, the abated effluent 101 may also be cooled before it is scrubbed and exhausted. In one version, the cooling system 260 comprises a fluid cooling system such as a cold water quenching system that sprays cold water to cool the abated effluent 101. The abated effluent 101 is then introduced into a scrubber 270 having a reactor where the acidic materials in the abated effluent 101 are dissolved in a solvent, such as for example water, to form an acidic solution that is more easily exhausted or disposed. Although heating the F2 containing effluent is not required to reduce the F2 concentration in the catalytic reactor, it has been further discovered that heat improves the abatement efficiency and extends the life of the catalyst. Temperatures at or less than about 700° C., or in the range from about 50° C. to about 300° C., depending on the composition and concentration of the effluent 100 have been discovered to be particularly effective.
  • It should be noted that HF is produced both in the [0038] prescrubber 230 and in the catalytic reactor 250. The presence of HF in the effluents 100,101 may pose safety concerns and handling difficulties because HF is toxic and should not come into contact with skin. Additionally, HF is highly corrosive, particularly at elevated temperatures and in the presence of moisture and oxygen. It has been discovered that nickel-based alloys, for example Inconel 600 or 625 (TM) available from Inco Corporation in Huntington, W. V. , provide excellent corrosion resistance in the catalytic abatement system 200 environment and may be reliably sealable and gas tight to prevent unwanted HF escape from the system. Additionally, this system provides sufficiently sealed system protecting against OF2 if any OF2 is formed between the prescrubber 230 and the catalytic reactor 250. It is believed that if any OF2 is formed before the catalysis reactor 250, the catalytic reactor encourages the breakdown of the OF2 and the subsequent formation of HF and O2. It has been determined from gas analysis that the abated effluent 101 is absent dangerous levels of OF2.
  • It has been discovered that it may be desirable to introduce a high percentage of water into the F[0039] 2 containing effluent 100. An exemplary prescrubber for adding H2O to F2 containing effluent is shown in FIG. 4. The prescrubber 230 includes a reactor 231 for receiving the F2 (and potentially SiF4) containing effluent 100 and reacting the effluent 100 with a scrubbing fluid, such as water. A hydrolyzer column or channel 310 receives effluent 100 from the conduit 210. The effluent 100 may have been previously mixed with an additive, as discussed above. The hydrolyzer column 310 presents a hydrolytic or humid environment 312 to the effluent 100. This initial exposure to moisture, or other scrubbing fluid, begins the breakdown of the desired component in the effluent 100, for example SiF4. The hydrolyzer column 310 allows for the removal of large scrubbed particles before these large scrubbed particles can clog or deposit in subsequent columns in the prescrubber 230. The combination of the initial moisture exposure, the substantially vertical nature of the column and the downward flow of effluent 100 allows for the removal of these large particles. A hydrolyzer column or channel downstream of a scrubbing fluid dispenser or other direct source of scrubbing fluid would not be effective at removing a substantial amount of, for example, SiF4. A purge gas supply 315 may be provided at or near an inlet 317 to introduce a purge gas, for example air, O2, or N2, to purge the system and/or to prevent upstream hydrolyzation. The inlet may be of a venturi type.
  • The [0040] effluent 100 then passes through a first scrubber column or channel 320. A nozzle 322 dispenses scrubbing fluid, for example water, from a source 325 into the stream of effluent 100. In one version, as shown, the fluid dispensing is done by spraying water is in a direction which is countercurrent to the flow of gas. By “countercurrent” it is meant that at least a portion of the flow is in a direction substantially opposing the general direction of the flow of the gas. This arrangement allows for gravity and the flow of water to encourage transport of reactant products, for example silicon dioxide particles and HF, into a reservoir 350. Column 320 may optionally be provided with surface area increasing material 327, for example plastic or ceramic pellets or granules of differing sizes, such as for example PVC balls, for increasing the surface area of water/gas contact in the column and thereby encouraging SiF4 destruction reactions. A platform 328 that is readily permeable to the effluent 100 and the reaction products but impermeable to the surface area increasing material 327 may be provided to contain the surface area increasing material 327 within the first column 320.
  • The [0041] effluent 100 then flows though a conduit 329 to a venturi column or channel 330. A venturi tube 332 dispenses fluid, for example water, from a source 335 into the column 330. A venturi nozzle 333 is located at the end of the venturi tube 332 to inject the water into the venturi column. By “venturi” it is meant a tube with a constricted, throatlike passage that increases the velocity and lowers the pressure of a fluid conveyed through it. By “inject” it is meant that the scrubbing fluid is dispensed through a “venturi.” The venturi 332, 333 of the present invention provides a constriction with a small opening that breaks the water down into small droplets. In one version, the opening of the venturi nozzle is sized from about 0.1 inch to about 1.4 inches, more preferably from about 0.25 inches to about 1.25 inches, and most preferably from about 0.5 inches to about 1 inch for a tube 332 having a 1.5 inch diameter. In the version shown, the flow of water is in the direction of the flow of effluent 100. The venturi column 330 serves to (i) further scrub the effluent by increasing the surface area of water/gas contact by the generation of the droplets, (ii) further transport reaction products to the reservoir 350 by the high speed bombardment of water from the venturi nozzle 333, (iii) provide the additive H2O for destroying PFC's, as discussed above, in the catalytic reactor 250, and (iv) generate negative pressure and thereby effectively supply positive pressure to the effluent 100 to compensate for pressure drops through the prescrubber 230. The resulting net pressure drop through the prescrubber 230 is approximately zero. Optionally, a pressure gain can even be generated. FIG. 5 shows an exemplary version of the venturi tube 332 and venturi nozzle which is not intended to limit the invention. For example, the relative sizes and angles of the tube and the opening of the constriction can be modified to adjust or optimize the flow for a desired purpose.
  • Referring again to FIG. 4, a second scrubber column or [0042] channel 340 receives the effluent from the venturi column 330. The second scrubber column may include a second spray nozzle 342 for dispensing scrubbing fluid, for example by spraying water, from a source 345 countercurrently into the effluent 100. The second scrubber column 340 may further have surface area increasing material 347 contained on platform 348 which may be similar to surface area increasing material 327 and platform 328 of the first scrubbing column 320. The second scrubbing column 340 provides yet another level of scrubbing the effluent 100 and further serves to transport the reaction products to the reservoir 350. A mist eliminator 355 may be positioned near outlet 357 to remove water droplets from the effluent 100. While about 4% to about 5% percent moisture content in the effluent 100 is desired for catalytic treatment, droplets are not desired because they can carry scrubbing product particles that can contaminate the catalyst within the catalytic reactor 250. The mist eliminator 355 removes the droplets, but passes the desired moisture. Mist eliminator 355 may comprise, for example, packed material or a mesh such as a wire mesh.
  • The [0043] prescrubber 230 may be designed in one version so that a sufficient amount of water and/or pressure is introduced into the effluent 100 to make the H2O content of the effluent 100 from about 4 to about 5 percent. Each column or channel 310, 320, 330, 340 is designed for about 80 percent to about 90 percent destruction of SiF4. Therefore, if two columns were provided and the effluent 100 passed through two columns, from about 96 percent to about 99 percent of the SiF4 would be removed. Passage through three columns provides from about 99.2 percent to about 99.9 percent removal. Passage through all four columns provides from about 99.8 percent to about 99.99 percent removal. Qualitative measurements carried out by EPA Method 5 “Determination of Particulate Emissions from Stationary Sources” techniques indicates consistent SiF4 removal efficiency of 99.97 percent or better. Particle measurement determinations indicate that about 80 percent of the particles in the treated effluent 100 range in size from about 1 to about 2.5 μm. Therefore, another level of particle removal may be provided by filter 360, for example a hepa filter, which may be positioned near outlet 357. The hepa filter 360 removes a substantial amount of the reaction product particles that have not been transported into the reservoir 350. The hepa filter 360 is effective for filtering particles greater than about 0.3 μm and is therefore ideal for removing these reaction product particles. It should be appreciated that the prescrubber 230 may be provided with any number of columns. Furthermore, it should be appreciated that the “columns” need not necessarily be vertically oriented, but may be channels oriented horizontally, vertically or at any orientation therebetween. Furthermore, the channels need not be parallel. Adjacent channels may be angularly oriented at any angle from zero degrees (i.e., substantially parallel and with the effluent flow 100 in opposite directions, as shown in FIG. 4) to 180 degrees (i.e., parallel and with the effluent flow in the same direction). In one arrangement, the channels have a relative orientation of less than 90 degrees. An overflow drain 370 and a filling unit 375 maintain the reservoir 350 at a desired level.
  • Another version of the [0044] prescrubber 230 is shown in FIG. 6. In this version a recirculation system 400 recirculates the scrubbing fluid, for example water with reaction products, through the prescrubber 230. The reservoir 350 is first filled to a desired level by filling unit 375 which is connected to a source of scrubbing fluid, for example a water source. The desired level corresponds with a height of overflow drain 370. Pump 405 is then turned on. Pump 405 withdraws fluid from reservoir 350. In the version shown, the fluid in the reservoir is a mixture of water and scrubber reaction products such as silicon dioxide and HF. The fluid passes through outlet 410 under the influence of negative pressure generated by the pump 405 and through particulate filter 420 which removes reaction product particles. Particulate filter 420 may comprise filter which is capable of removing particles above from about 2 microns to about 1 mm in diameter. In one version, the particulate filter 420 removes particles sized greater than about 70 microns. The filtered fluid is then pumped via line 430 to fluid nozzles 322, 342 and to venturi tube 332 by lines 432, 434, 433, respectively. The pump 405 also withdraws fresh scrubbing fluid, water in the version shown, from a scrubbing fluid source 440 (i.e., a water source) at a predetermined rate. The addition of fresh water results in an overflow of reservoir 350 into overflow drain 370 which transports the overflow to an acid drain. The addition of fresh water allows for the maintenance of a predetermined or adjustable pH of reservoir 350. In one version, fresh water is introduced at a rate of from about 0.25 gallons per minute to about 1 gallon per minute. In one version, fresh water is introduced at about 0.5 gallons per minute, or at a rate sufficient to maintain the pH of the scrubbing fluid at a level of about 2 to about 3. Alternatively, a pH meter can be provided and a controller can adjust the amount of fresh water introduced in accordance with the measured pH.
  • A [0045] control system 500 including a programmable controller 510 may be provided to control the operation of the pump 405. The controller 510, which may be in the form of a central processing unit (CPU), operates the pump 405 in accordance with a set of programmed instructions designed to introduce a predetermined amount of water into the effluent 100. For example, when F2 containing effluent 100 is to be abated, the pumping rate necessary to provide greater than about 2% or from about 2% to about 20% or from about 4% to about 5% water concentration in the effluent 100 can be selected (as empirically determined). In another version, a gas analyzer 520 may be provided at the outlet of the prescrubber 230. The controller 510 can be responsive to the output signal from the analyzer 520 and can adjust the operation of the pump 405 in accordance with the output signal to maintain the water concentration at a predetermined level. For example, when the percentage of moisture, or other additive gas, falls below a predetermined level, as determined by analyzer 520, the controller 510 can automatically adjust the operating speed or the fresh water intake of the pump 405. Alternatively, a gas analyzer (not shown) can be located downstream of the catalytic reactor 250, and the controller 510 can be responsive to an F2 concentration signal from the gas analyzer. For example, the amount of water introduced into the effluent 100 can be increased when the F2 concentration exceeds a predetermined level.
  • The [0046] controller 510 comprises a computer readable medium having computer readable program code embodied therein that monitors the output signal(s) from the gas analyzer 520. The controller 510 may be incorporated into a system controller, such as the system controller described in U.S. patent application Ser. No. 09/363,302, filed on Jul. 28, 1999, and entitled “Treatment of Process Gas Effluent,” which is incorporated herein by reference in its entirety. The controller 510 may comprise a computer program code product that controls a computer comprising one or more central processor units (CPUs) interconnected to a memory system with peripheral control components, such as for example, a PENTIUM microprocessor, commercially available from Intel Corporation, Santa Clara, Calif. The CPUs of the controller 510 can also comprise ASIC (application specific integrated circuits) that operate a particular component of the system. An interface between an operator and the controller 510 may be a CRT monitor and a light with a light sensor in the tip of the pen. To select a particular screen or function, the operator touches a designated area of the CRT monitor and pushes a button on the pen. The area touched changes its color or a new menu or screen is displayed to confirm the communication between the light pen and the CRT monitor. Other devices, such as a keyboard, mouse or pointing communication device can also be used to communicate with the controller 510. An operator may be able thereby to input ranges of pH values or flow rates of the scrubbing fluid.
  • The computer program code operating the CPU(s) and other devices of the computer can be written in any conventional computer readable programming language, such as for example, assembly language, C, C[0047] ++, or Pascal. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled to a compiler code which is linked with an object code of precompiled windows library routines. To execute the linked and compiled object code, the system user invokes the object code, causing the computer to load the code in memory to perform the tasks identified in the computer program. The software may include code to evaluate the pH of the scrubbing fluid and to accordingly adjust the amount of fresh water added to the scrubbing fluid, for example.
  • The F[0048] 2 catalytic abatement system 200 may be a self-contained and integrated unit that is compatible with various process chambers 25. The catalytic abatement system 200 can be used to destroy a large variety of hazardous gases, including substantially all types of PFCs. The catalytic abatement system 200 has no impact on process chamber 25 operation and may be used with any process chamber that exhausts hazardous gases. The catalytic abatement system is convenient to handle and occupies less than 40 cubic feet.
  • Although the present invention has been described in considerable detail with regard to certain preferred versions thereof, other versions are possible. For example, the described system could be used to abate other undesirable gases from the effluent. Therefore, the appended claims should not be limited to the description of the preferred versions contained herein. [0049]

Claims (26)

What is claimed is:
1. A substrate processing apparatus comprising:
(a) a process chamber capable of performing a process with a process gas and thereby forming an effluent gas comprising F2 gas, the chamber comprising:
(i) a substrate support;
(ii) a gas distributor;
(iii) a gas energizer; and
(iv) an exhaust; and
(b) a catalytic reactor to treat the effluent gas to reduce the F2 content thereof.
2. An apparatus according to claim 1 comprising a source of additive capable of introducing an additive comprising a hydrogen species and an oxygen species, into the effluent gas.
3. An apparatus according to claim 2 wherein the additive comprises H2O.
4. An apparatus according to claim 2 wherein the source of additive is downstream of the catalytic reactor.
5. An apparatus according to claim 2 further comprising a controller to control the addition of the additive into the effluent gas.
6. An apparatus according to claim 1 further comprising a scrubber capable of scrubbing the effluent gas before treatment in the catalytic reactor.
7. An apparatus according to claim 1 wherein the process chamber is a chemical vapor deposition chamber.
8. An apparatus according to claim 1 wherein the catalytic reactor comprises a catalyst comprising a ceramic impregnated with a metal.
9. An apparatus according to claim 8 wherein the ceramic comprises one or more of Al2O3, ZrO2, and TiO2.
10. An apparatus according to claim 8 wherein the metal comprises one or more of Pt, Pd, Rh, Cu, Ni, Co, Ag, Mo, W, V, and La.
11. An apparatus according to claim 1 wherein the apparatus comprises a process gas source that is a source of a gas capable of cleaning the chamber.
12. A substrate processing apparatus comprising:
(a) a process chamber capable of performing a process with process gas and thereby forming an effluent gas comprising F2 gas, the chamber comprising:
(i) a substrate support;
(ii) a gas distributor;
(iii) a gas energizer; and
(iv) an exhaust;
(b) an additive source capable of introducing an additive into the effluent gas to reduce the F2 content of the effluent gas, the additive comprising a hydrogen species and an oxygen species; and
(c) a catalytic reactor to treat the effluent gas to reduce the F2 content thereof.
13. An apparatus according to claim 12 wherein the hydrogen and oxygen species comprises a hydroxy species.
14. An apparatus according to claim 12 wherein the additive comprises H2O.
15. An apparatus according to claim 12 comprising a controller adapted to control the addition of the additive into the effluent gas to maintain a volume percent of additive in the effluent gas of at least about 2%.
16. An apparatus according to claim 12 wherein the process chamber is a chemical vapor deposition chamber.
17. An apparatus according to claim 12 comprising a scrubber to scrub the effluent gas before passing the effluent gas into the catalytic reactor.
18. An apparatus according to claim 17 wherein the source of additive introduces the additive into the effluent gas while the effluent gas is in the scrubber.
19. An apparatus according to claim 12 wherein the source of additive introduces the additive into the effluent gas after the effluent gas is passed through the catalytic reactor.
20. An apparatus according to claim 12 comprising wherein the source of additive comprises a source of an acid dissolving additive.
21. An apparatus according to claim 12 wherein the catalytic reactor comprises a catalyst comprising a ceramic impregnated with a metal.
22. A substrate processing apparatus comprising:
(a) a process chamber capable of performing a process with the process gas and thereby forming an effluent gas comprising F2 gas, the chamber comprising:
(i) a substrate support;
(ii) a gas distributor;
(iii) a gas energizer; and
(iv) an exhaust; and
(b) a source of additive capable of introducing additive into the effluent gas, the additive comprising H2O; and
(c) a catalytic reactor to treat the resulting effluent gas to reduce the F2 content thereof.
23. An apparatus according to claim 22 wherein the process chamber comprises a chemical vapor deposition chamber.
24. An apparatus according to claim 22 wherein the catalytic reactor comprises a catalyst comprising a ceramic impregnated with a metal.
25. A substrate processing apparatus comprising:
(a) a process chamber capable of performing a process with the process gas and thereby forming an effluent gas comprising F2 gas, the chamber comprises a substrate support, a gas distributor, a gas energizer and an exhaust;
(b) a heater to heating the effluent gas; and
(c) a catalytic reactor to treat the resulting effluent gas to reduce the F2 content thereof.
26. An apparatus according to claim 25 comprising a source of additive capable of introducing additive into the effluent gas, the additive comprising a hydrogen species and an oxygen species.
US10/219,594 2000-06-29 2002-08-14 Abatement of fluorine gas from effluent Abandoned US20020192129A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/219,594 US20020192129A1 (en) 2000-06-29 2002-08-14 Abatement of fluorine gas from effluent
US11/410,882 US20070022958A1 (en) 2000-06-29 2006-04-24 Abatement of fluorine gas from effluent

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/607,918 US6468490B1 (en) 2000-06-29 2000-06-29 Abatement of fluorine gas from effluent
US10/219,594 US20020192129A1 (en) 2000-06-29 2002-08-14 Abatement of fluorine gas from effluent

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/607,918 Division US6468490B1 (en) 1999-07-28 2000-06-29 Abatement of fluorine gas from effluent

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/410,882 Continuation US20070022958A1 (en) 2000-06-29 2006-04-24 Abatement of fluorine gas from effluent

Publications (1)

Publication Number Publication Date
US20020192129A1 true US20020192129A1 (en) 2002-12-19

Family

ID=24434243

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/607,918 Expired - Fee Related US6468490B1 (en) 1999-07-28 2000-06-29 Abatement of fluorine gas from effluent
US10/219,594 Abandoned US20020192129A1 (en) 2000-06-29 2002-08-14 Abatement of fluorine gas from effluent
US11/410,882 Abandoned US20070022958A1 (en) 2000-06-29 2006-04-24 Abatement of fluorine gas from effluent

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/607,918 Expired - Fee Related US6468490B1 (en) 1999-07-28 2000-06-29 Abatement of fluorine gas from effluent

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/410,882 Abandoned US20070022958A1 (en) 2000-06-29 2006-04-24 Abatement of fluorine gas from effluent

Country Status (1)

Country Link
US (3) US6468490B1 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040237777A1 (en) * 2003-06-02 2004-12-02 Daniel Alvarez Method for the removal of airborne molecular contaminants using water gas mixtures
US20060057045A1 (en) * 2004-09-10 2006-03-16 Takashi Sasaki Exhaust gas decomposition processor
US20070231992A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Method of removing residue from a substrate
US20090272461A1 (en) * 2005-08-03 2009-11-05 Alvarez Jr Daniel Transfer container
US20130156950A1 (en) * 2011-12-14 2013-06-20 Nuflare Technology, Inc Film-forming apparatus and film-forming method
US8470112B1 (en) 2009-12-15 2013-06-25 SDCmaterials, Inc. Workflow for novel composite materials
US8481449B1 (en) 2007-10-15 2013-07-09 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US8524631B2 (en) 2007-05-11 2013-09-03 SDCmaterials, Inc. Nano-skeletal catalyst
US8545652B1 (en) 2009-12-15 2013-10-01 SDCmaterials, Inc. Impact resistant material
US8557727B2 (en) 2009-12-15 2013-10-15 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8652992B2 (en) 2009-12-15 2014-02-18 SDCmaterials, Inc. Pinning and affixing nano-active material
US8668803B1 (en) 2009-12-15 2014-03-11 SDCmaterials, Inc. Sandwich of impact resistant material
US8669202B2 (en) 2011-02-23 2014-03-11 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US8679433B2 (en) 2011-08-19 2014-03-25 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US8803025B2 (en) 2009-12-15 2014-08-12 SDCmaterials, Inc. Non-plugging D.C. plasma gun
US20140338600A1 (en) * 2013-05-20 2014-11-20 Samsung Electronics Co., Ltd. Exhausting apparatuses and film deposition facilities including the same
US9126191B2 (en) 2009-12-15 2015-09-08 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9149797B2 (en) 2009-12-15 2015-10-06 SDCmaterials, Inc. Catalyst production method and system
US9156025B2 (en) 2012-11-21 2015-10-13 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9427732B2 (en) 2013-10-22 2016-08-30 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US20160348238A1 (en) * 2015-05-28 2016-12-01 Kabushiki Kaisha Toshiba Film forming apparatus
US9511352B2 (en) 2012-11-21 2016-12-06 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9517448B2 (en) 2013-10-22 2016-12-13 SDCmaterials, Inc. Compositions of lean NOx trap (LNT) systems and methods of making and using same
US9586179B2 (en) 2013-07-25 2017-03-07 SDCmaterials, Inc. Washcoats and coated substrates for catalytic converters and methods of making and using same
US20170067153A1 (en) * 2015-09-07 2017-03-09 Kabushiki Kaisha Toshiba Semiconductor manufacturing system and method of operating the same
US9687811B2 (en) 2014-03-21 2017-06-27 SDCmaterials, Inc. Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US20200384403A1 (en) * 2019-06-06 2020-12-10 Qolibri, Inc. Liquid filter apparatus for gas/solid separation for semiconductor processes

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040101460A1 (en) * 1997-05-16 2004-05-27 Arno Jose I. Apparatus and method for point-of-use treatment of effluent gas streams
US6759018B1 (en) * 1997-05-16 2004-07-06 Advanced Technology Materials, Inc. Method for point-of-use treatment of effluent gas streams
US6207460B1 (en) * 1999-01-14 2001-03-27 Extraction Systems, Inc. Detection of base contaminants in gas samples
US7060234B2 (en) * 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
JP2004082013A (en) * 2002-08-28 2004-03-18 Hitachi Ltd Method and catalyst for decomposing perfluorocompound and apparatus for treating perfluorocompound
AU2003282925A1 (en) * 2002-10-04 2004-05-04 The Regents Of The University Of California Fluorine separation and generation device
US20050250347A1 (en) * 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050196330A1 (en) * 2004-03-05 2005-09-08 Ronald Garnett Abatement device
US20060013745A1 (en) * 2004-07-16 2006-01-19 Yi-Rong Chen Enhanced PFC waste-gas treating system
US7294320B2 (en) 2004-09-17 2007-11-13 Applied Materials, Inc. Hydrogen peroxide abatement of metal hydride fumes
US7736599B2 (en) 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US20070086931A1 (en) * 2005-06-13 2007-04-19 Applied Materials, Inc. Methods and apparatus for process abatement
GB0520468D0 (en) * 2005-10-07 2005-11-16 Boc Group Plc Fluorine abatement
GB0521944D0 (en) * 2005-10-27 2005-12-07 Boc Group Plc Method of treating gas
WO2007053626A2 (en) 2005-10-31 2007-05-10 Applied Materials, Inc. Process abatement reactor
WO2007109081A2 (en) * 2006-03-16 2007-09-27 Applied Materials, Inc. Method and apparatus for improved operation of an abatement system
US20090068844A1 (en) * 2006-04-10 2009-03-12 Solvay Fluor Gmbh Etching Process
US20080102011A1 (en) * 2006-10-27 2008-05-01 Applied Materials, Inc. Treatment of effluent containing chlorine-containing gas
WO2008147523A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Cogeneration abatement system for electronic device manufacturing
CN101681398B (en) * 2007-05-25 2016-08-10 应用材料公司 Assemble and the method and apparatus of operating electronic device manufacturing systems
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR20100084676A (en) * 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for smart abatement using an improved fuel circuit
GB0902234D0 (en) * 2009-02-11 2009-03-25 Edwards Ltd Method of treating an exhaust gas stream
US20110023908A1 (en) * 2009-07-30 2011-02-03 Applied Materials, Inc. Methods and apparatus for process abatement with recovery and reuse of abatement effluent
KR101387611B1 (en) * 2012-04-23 2014-05-07 주식회사 글로벌스탠다드테크놀로지 Apparatus and method of treating perfluoro compounds
US10465905B2 (en) 2015-03-26 2019-11-05 Korea Institute Of Energy Research Energy saving combustion device for burning refractory hazardous gas and method for operating the same
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
CN109671641B (en) * 2017-10-17 2022-11-11 台湾积体电路制造股份有限公司 Semiconductor processing equipment, coating equipment and discharge device thereof
KR102585074B1 (en) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 Gas delivery system for high pressure processing chamber
CN111373519B (en) 2017-11-16 2021-11-23 应用材料公司 High-pressure steam annealing treatment equipment
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
JP7239598B2 (en) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド High Pressure Annealing Process for Metal-Containing Materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) * 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
GB2615767A (en) * 2022-02-17 2023-08-23 Edwards Ltd Abatement apparatus and method

Citations (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2819151A (en) * 1954-03-02 1958-01-07 Flemmert Gosta Lennart Process for burning silicon fluorides to form silica
US3203759A (en) * 1960-11-03 1965-08-31 Flemmert Gosta Lennart Method of preparing silicon dioxide
US3969485A (en) * 1971-10-28 1976-07-13 Flemmert Goesta Lennart Process for converting silicon-and-fluorine-containing waste gases into silicon dioxide and hydrogen fluoride
US3983021A (en) * 1971-06-09 1976-09-28 Monsanto Company Nitrogen oxide decomposition process
US4206189A (en) * 1977-01-04 1980-06-03 Belov Viktor Y Method of producing hydrogen fluoride and silicon dioxide from silicon tetra-fluoride
US4479443A (en) * 1982-03-08 1984-10-30 Inge Faldt Method and apparatus for thermal decomposition of stable compounds
US4753915A (en) * 1985-11-05 1988-06-28 Hoechst Aktiengesellschaft Process for making a carrier-supported catalyst
US4788036A (en) * 1983-12-29 1988-11-29 Inco Alloys International, Inc. Corrosion resistant high-strength nickel-base alloy
US4954320A (en) * 1988-04-22 1990-09-04 The United States Of America As Represented By The Secretary Of The Army Reactive bed plasma air purification
US4966611A (en) * 1989-03-22 1990-10-30 Custom Engineered Materials Inc. Removal and destruction of volatile organic compounds from gas streams
US5137701A (en) * 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
US5151116A (en) * 1991-02-01 1992-09-29 Cs Halbleiter- Und Solartechnologie Gmbh Sorption column for waste-gas cleaning
US5176897A (en) * 1989-05-01 1993-01-05 Allied-Signal Inc. Catalytic destruction of organohalogen compounds
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US5417934A (en) * 1988-06-04 1995-05-23 Boc Limited Dry exhaust gas conditioning
US5439568A (en) * 1992-12-18 1995-08-08 E. C. Chemical Co., Ltd. Method for treating ozone layer depleting substances
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
US5510966A (en) * 1994-09-15 1996-04-23 Konecny; Francis C. Display and storage fixture for strings of decorative lights
US5584959A (en) * 1993-08-16 1996-12-17 Ebara Corporation Waste treatment system in a polishing apparatus
US5589148A (en) * 1994-10-05 1996-12-31 Japan Pionics Co., Ltd. Process for purifying halogen-containing gas
US5597540A (en) * 1991-12-11 1997-01-28 Japan Pionics Co., Ltd. Process for cleaning harmful gas
US5643545A (en) * 1994-01-21 1997-07-01 Engelhard Corporation Catalytic method and device for controlling VOC, CO and halogenated organic emissions
US5649985A (en) * 1995-11-29 1997-07-22 Kanken Techno Co., Ltd. Apparatus for removing harmful substances of exhaust gas discharged from semiconductor manufacturing process
US5663476A (en) * 1994-04-29 1997-09-02 Motorola, Inc. Apparatus and method for decomposition of chemical compounds by increasing residence time of a chemical compound in a reaction chamber
US5720931A (en) * 1995-07-21 1998-02-24 Guild Associates, Inc. Catalytic oxidation of organic nitrogen-containing compounds
US5720444A (en) * 1996-01-24 1998-02-24 Guild International Inc. Strip accumulators
US5762893A (en) * 1995-09-01 1998-06-09 Cs-Gmbh Halbleiter-Und Solartechnologie Method for cleaning gases containing ozone-depleting and/or climate-active halogenated compounds
US5779863A (en) * 1997-01-16 1998-07-14 Air Liquide America Corporation Perfluorocompound separation and purification method and system
US5785741A (en) * 1995-07-17 1998-07-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges, Claude Process and system for separation and recovery of perfluorocompound gases
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5817284A (en) * 1995-10-30 1998-10-06 Central Glass Company, Limited Method for decomposing halide-containing gas
US5843288A (en) * 1995-09-26 1998-12-01 Yamamoto; Toshiaki Methods and apparatus for controlling toxic compounds using catalysis-assisted non-thermal plasma
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5858065A (en) * 1995-07-17 1999-01-12 American Air Liquide Process and system for separation and recovery of perfluorocompound gases
US5865879A (en) * 1995-12-22 1999-02-02 Samsung Electronics Co., Ltd. Gas scrubber used in fabricating semiconductor devices and gas filtering method using the same
US5914091A (en) * 1996-02-15 1999-06-22 Atmi Ecosys Corp. Point-of-use catalytic oxidation apparatus and method for treatment of voc-containing gas streams
US5919285A (en) * 1995-07-17 1999-07-06 American Air Liquide, Inc. Process and system for separation and recovery of perfluorocompound gases
US5955037A (en) * 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
US5965786A (en) * 1996-07-26 1999-10-12 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process and apparatus for the treatment of perfluorinated and hydrofluorocarbon gases for the purpose of destroying them
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6030591A (en) * 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
US6067742A (en) * 1996-02-28 2000-05-30 Fuji Kogyo Co., Ltd. Line guide for fishing rod
US6095984A (en) * 1996-04-17 2000-08-01 Seiko Epson Corporation Arrhythmia detecting apparatus
US6153159A (en) * 1996-03-01 2000-11-28 Volkswagen Ag Method for purifying exhaust gases
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE215706C (en)
US3969482A (en) 1974-04-25 1976-07-13 Teller Environmental Systems, Inc. Abatement of high concentrations of acid gas emissions
JPS51129868A (en) 1975-05-07 1976-11-11 Fujitsu Ltd A process for treatment of waste gas
JPS5845718A (en) 1981-09-10 1983-03-17 Shin Meiwa Ind Co Ltd Apparatus for treating exhaust gas
JP2752162B2 (en) 1989-06-07 1998-05-18 横浜市 Fire communication circuit
US5510066A (en) 1992-08-14 1996-04-23 Guild Associates, Inc. Method for free-formation of a free-standing, three-dimensional body
US5362458A (en) * 1993-03-22 1994-11-08 General Electric Environmental Services, Incorporated Process for the simultaneous absorption of sulfur oxides and production of ammonium sulfate
DE4319118A1 (en) 1993-06-09 1994-12-15 Breitbarth Friedrich Wilhelm D Process and apparatus for disposing of fluorocarbons and other fluorine-containing compounds
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6676913B2 (en) * 1996-06-12 2004-01-13 Guild Associates, Inc. Catalyst composition and method of controlling PFC and HFC emissions
US6638424B2 (en) * 2000-01-19 2003-10-28 Jensen Enterprises Stormwater treatment apparatus
JP3977887B2 (en) 1997-01-14 2007-09-19 株式会社日立製作所 Treatment method for fluorine compound-containing gas
US5935540A (en) * 1997-04-25 1999-08-10 Japan Pionics Co., Ltd. Cleaning process for harmful gas
US20010009652A1 (en) * 1998-05-28 2001-07-26 Jose I. Arno Apparatus and method for point-of-use abatement of fluorocompounds
WO1999011572A1 (en) * 1997-09-01 1999-03-11 Laxarco Holding Limited Electrically assisted partial oxidation of light hydrocarbons by oxygen
US6752974B2 (en) * 2002-04-10 2004-06-22 Corning Incorporated Halocarbon abatement system for a glass manufacturing facility
US20070086931A1 (en) * 2005-06-13 2007-04-19 Applied Materials, Inc. Methods and apparatus for process abatement

Patent Citations (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2819151A (en) * 1954-03-02 1958-01-07 Flemmert Gosta Lennart Process for burning silicon fluorides to form silica
US3203759A (en) * 1960-11-03 1965-08-31 Flemmert Gosta Lennart Method of preparing silicon dioxide
US3983021A (en) * 1971-06-09 1976-09-28 Monsanto Company Nitrogen oxide decomposition process
US3969485A (en) * 1971-10-28 1976-07-13 Flemmert Goesta Lennart Process for converting silicon-and-fluorine-containing waste gases into silicon dioxide and hydrogen fluoride
US4206189A (en) * 1977-01-04 1980-06-03 Belov Viktor Y Method of producing hydrogen fluoride and silicon dioxide from silicon tetra-fluoride
US4479443A (en) * 1982-03-08 1984-10-30 Inge Faldt Method and apparatus for thermal decomposition of stable compounds
US4788036A (en) * 1983-12-29 1988-11-29 Inco Alloys International, Inc. Corrosion resistant high-strength nickel-base alloy
US5137701A (en) * 1984-09-17 1992-08-11 Mundt Randall S Apparatus and method for eliminating unwanted materials from a gas flow line
US4753915A (en) * 1985-11-05 1988-06-28 Hoechst Aktiengesellschaft Process for making a carrier-supported catalyst
US4954320A (en) * 1988-04-22 1990-09-04 The United States Of America As Represented By The Secretary Of The Army Reactive bed plasma air purification
US5417934A (en) * 1988-06-04 1995-05-23 Boc Limited Dry exhaust gas conditioning
US4966611A (en) * 1989-03-22 1990-10-30 Custom Engineered Materials Inc. Removal and destruction of volatile organic compounds from gas streams
US5176897A (en) * 1989-05-01 1993-01-05 Allied-Signal Inc. Catalytic destruction of organohalogen compounds
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
US5151116A (en) * 1991-02-01 1992-09-29 Cs Halbleiter- Und Solartechnologie Gmbh Sorption column for waste-gas cleaning
US5597540A (en) * 1991-12-11 1997-01-28 Japan Pionics Co., Ltd. Process for cleaning harmful gas
US5439568A (en) * 1992-12-18 1995-08-08 E. C. Chemical Co., Ltd. Method for treating ozone layer depleting substances
US5584959A (en) * 1993-08-16 1996-12-17 Ebara Corporation Waste treatment system in a polishing apparatus
US5643545A (en) * 1994-01-21 1997-07-01 Engelhard Corporation Catalytic method and device for controlling VOC, CO and halogenated organic emissions
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
US6030591A (en) * 1994-04-06 2000-02-29 Atmi Ecosys Corporation Process for removing and recovering halocarbons from effluent process streams
US5663476A (en) * 1994-04-29 1997-09-02 Motorola, Inc. Apparatus and method for decomposition of chemical compounds by increasing residence time of a chemical compound in a reaction chamber
US5510966A (en) * 1994-09-15 1996-04-23 Konecny; Francis C. Display and storage fixture for strings of decorative lights
US5589148A (en) * 1994-10-05 1996-12-31 Japan Pionics Co., Ltd. Process for purifying halogen-containing gas
US5919285A (en) * 1995-07-17 1999-07-06 American Air Liquide, Inc. Process and system for separation and recovery of perfluorocompound gases
US5785741A (en) * 1995-07-17 1998-07-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges, Claude Process and system for separation and recovery of perfluorocompound gases
US5858065A (en) * 1995-07-17 1999-01-12 American Air Liquide Process and system for separation and recovery of perfluorocompound gases
US5720931A (en) * 1995-07-21 1998-02-24 Guild Associates, Inc. Catalytic oxidation of organic nitrogen-containing compounds
US5762893A (en) * 1995-09-01 1998-06-09 Cs-Gmbh Halbleiter-Und Solartechnologie Method for cleaning gases containing ozone-depleting and/or climate-active halogenated compounds
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5843288A (en) * 1995-09-26 1998-12-01 Yamamoto; Toshiaki Methods and apparatus for controlling toxic compounds using catalysis-assisted non-thermal plasma
US5817284A (en) * 1995-10-30 1998-10-06 Central Glass Company, Limited Method for decomposing halide-containing gas
US5649985A (en) * 1995-11-29 1997-07-22 Kanken Techno Co., Ltd. Apparatus for removing harmful substances of exhaust gas discharged from semiconductor manufacturing process
US6009827A (en) * 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5865879A (en) * 1995-12-22 1999-02-02 Samsung Electronics Co., Ltd. Gas scrubber used in fabricating semiconductor devices and gas filtering method using the same
US5720444A (en) * 1996-01-24 1998-02-24 Guild International Inc. Strip accumulators
US5914091A (en) * 1996-02-15 1999-06-22 Atmi Ecosys Corp. Point-of-use catalytic oxidation apparatus and method for treatment of voc-containing gas streams
US6067742A (en) * 1996-02-28 2000-05-30 Fuji Kogyo Co., Ltd. Line guide for fishing rod
US6153159A (en) * 1996-03-01 2000-11-28 Volkswagen Ag Method for purifying exhaust gases
US6095984A (en) * 1996-04-17 2000-08-01 Seiko Epson Corporation Arrhythmia detecting apparatus
US5965786A (en) * 1996-07-26 1999-10-12 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process and apparatus for the treatment of perfluorinated and hydrofluorocarbon gases for the purpose of destroying them
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5955037A (en) * 1996-12-31 1999-09-21 Atmi Ecosys Corporation Effluent gas stream treatment system having utility for oxidation treatment of semiconductor manufacturing effluent gases
US5779863A (en) * 1997-01-16 1998-07-14 Air Liquide America Corporation Perfluorocompound separation and purification method and system
US6013584A (en) * 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040237777A1 (en) * 2003-06-02 2004-12-02 Daniel Alvarez Method for the removal of airborne molecular contaminants using water gas mixtures
US20040238013A1 (en) * 2003-06-02 2004-12-02 Spiegelman Jeffrey J. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
US6913654B2 (en) * 2003-06-02 2005-07-05 Mykrolis Corporation Method for the removal of airborne molecular contaminants using water gas mixtures
US20050205114A1 (en) * 2003-06-02 2005-09-22 Mykrolis Corporation Method for the removal of airborne molecular contaminants using water gas mixtures
US20060118138A1 (en) * 2003-06-02 2006-06-08 Spiegelman Jeffrey J Method for the removal of airborne molecular contaminants using oxygen and/or water gas mixtures
US7189291B2 (en) * 2003-06-02 2007-03-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen gas mixtures
US20070137676A1 (en) * 2003-06-02 2007-06-21 Spiegelman Jeffrey J Method for the removal of airborne molecular contaminants using extra clean dry air
US7377982B2 (en) 2003-06-02 2008-05-27 Entegris, Inc. Method for the removal of airborne molecular contaminants using water gas mixtures
US8075704B2 (en) 2003-06-02 2011-12-13 Entegris, Inc. Method for the removal of airborne molecular contaminants using oxygen and/or water gas mixtures
EP1637211A1 (en) * 2004-09-10 2006-03-22 Hitachi, Ltd. Exhaust gas decomposition processor and method for processing exhaust gas
US20060057045A1 (en) * 2004-09-10 2006-03-16 Takashi Sasaki Exhaust gas decomposition processor
US9599405B2 (en) 2005-04-19 2017-03-21 SDCmaterials, Inc. Highly turbulent quench chamber
US9023754B2 (en) 2005-04-19 2015-05-05 SDCmaterials, Inc. Nano-skeletal catalyst
US9132404B2 (en) 2005-04-19 2015-09-15 SDCmaterials, Inc. Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US9180423B2 (en) 2005-04-19 2015-11-10 SDCmaterials, Inc. Highly turbulent quench chamber
US9216398B2 (en) 2005-04-19 2015-12-22 SDCmaterials, Inc. Method and apparatus for making uniform and ultrasmall nanoparticles
US9719727B2 (en) 2005-04-19 2017-08-01 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US20090272461A1 (en) * 2005-08-03 2009-11-05 Alvarez Jr Daniel Transfer container
US20070231992A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Method of removing residue from a substrate
US7759249B2 (en) * 2006-03-28 2010-07-20 Tokyo Electron Limited Method of removing residue from a substrate
US8524631B2 (en) 2007-05-11 2013-09-03 SDCmaterials, Inc. Nano-skeletal catalyst
US8893651B1 (en) 2007-05-11 2014-11-25 SDCmaterials, Inc. Plasma-arc vaporization chamber with wide bore
US8906316B2 (en) 2007-05-11 2014-12-09 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US8604398B1 (en) 2007-05-11 2013-12-10 SDCmaterials, Inc. Microwave purification process
US8956574B2 (en) 2007-05-11 2015-02-17 SDCmaterials, Inc. Gas delivery system with constant overpressure relative to ambient to system with varying vacuum suction
US8663571B2 (en) 2007-05-11 2014-03-04 SDCmaterials, Inc. Method and apparatus for making uniform and ultrasmall nanoparticles
US8574408B2 (en) 2007-05-11 2013-11-05 SDCmaterials, Inc. Fluid recirculation system for use in vapor phase particle production system
US8759248B2 (en) 2007-10-15 2014-06-24 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US9302260B2 (en) 2007-10-15 2016-04-05 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US9592492B2 (en) 2007-10-15 2017-03-14 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US9186663B2 (en) 2007-10-15 2015-11-17 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US8507402B1 (en) 2007-10-15 2013-08-13 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8507401B1 (en) 2007-10-15 2013-08-13 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US9089840B2 (en) 2007-10-15 2015-07-28 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US8481449B1 (en) 2007-10-15 2013-07-09 SDCmaterials, Inc. Method and system for forming plug and play oxide catalysts
US9597662B2 (en) 2007-10-15 2017-03-21 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US9737878B2 (en) 2007-10-15 2017-08-22 SDCmaterials, Inc. Method and system for forming plug and play metal catalysts
US8575059B1 (en) 2007-10-15 2013-11-05 SDCmaterials, Inc. Method and system for forming plug and play metal compound catalysts
US8906498B1 (en) 2009-12-15 2014-12-09 SDCmaterials, Inc. Sandwich of impact resistant material
US9522388B2 (en) 2009-12-15 2016-12-20 SDCmaterials, Inc. Pinning and affixing nano-active material
US8470112B1 (en) 2009-12-15 2013-06-25 SDCmaterials, Inc. Workflow for novel composite materials
US8932514B1 (en) 2009-12-15 2015-01-13 SDCmaterials, Inc. Fracture toughness of glass
US8877357B1 (en) 2009-12-15 2014-11-04 SDCmaterials, Inc. Impact resistant material
US8545652B1 (en) 2009-12-15 2013-10-01 SDCmaterials, Inc. Impact resistant material
US8992820B1 (en) 2009-12-15 2015-03-31 SDCmaterials, Inc. Fracture toughness of ceramics
US8865611B2 (en) 2009-12-15 2014-10-21 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8859035B1 (en) 2009-12-15 2014-10-14 SDCmaterials, Inc. Powder treatment for enhanced flowability
US9126191B2 (en) 2009-12-15 2015-09-08 SDCmaterials, Inc. Advanced catalysts for automotive applications
US8828328B1 (en) 2009-12-15 2014-09-09 SDCmaterails, Inc. Methods and apparatuses for nano-materials powder treatment and preservation
US9149797B2 (en) 2009-12-15 2015-10-06 SDCmaterials, Inc. Catalyst production method and system
US8557727B2 (en) 2009-12-15 2013-10-15 SDCmaterials, Inc. Method of forming a catalyst with inhibited mobility of nano-active material
US8821786B1 (en) 2009-12-15 2014-09-02 SDCmaterials, Inc. Method of forming oxide dispersion strengthened alloys
US8803025B2 (en) 2009-12-15 2014-08-12 SDCmaterials, Inc. Non-plugging D.C. plasma gun
US8652992B2 (en) 2009-12-15 2014-02-18 SDCmaterials, Inc. Pinning and affixing nano-active material
US8668803B1 (en) 2009-12-15 2014-03-11 SDCmaterials, Inc. Sandwich of impact resistant material
US9533289B2 (en) 2009-12-15 2017-01-03 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9308524B2 (en) 2009-12-15 2016-04-12 SDCmaterials, Inc. Advanced catalysts for automotive applications
US9332636B2 (en) 2009-12-15 2016-05-03 SDCmaterials, Inc. Sandwich of impact resistant material
US9433938B2 (en) 2011-02-23 2016-09-06 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PTPD catalysts
US8669202B2 (en) 2011-02-23 2014-03-11 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US9216406B2 (en) 2011-02-23 2015-12-22 SDCmaterials, Inc. Wet chemical and plasma methods of forming stable PtPd catalysts
US8679433B2 (en) 2011-08-19 2014-03-25 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US9498751B2 (en) 2011-08-19 2016-11-22 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US8969237B2 (en) 2011-08-19 2015-03-03 SDCmaterials, Inc. Coated substrates for use in catalysis and catalytic converters and methods of coating substrates with washcoat compositions
US20130156950A1 (en) * 2011-12-14 2013-06-20 Nuflare Technology, Inc Film-forming apparatus and film-forming method
US9511352B2 (en) 2012-11-21 2016-12-06 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9533299B2 (en) 2012-11-21 2017-01-03 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US9156025B2 (en) 2012-11-21 2015-10-13 SDCmaterials, Inc. Three-way catalytic converter using nanoparticles
US20140338600A1 (en) * 2013-05-20 2014-11-20 Samsung Electronics Co., Ltd. Exhausting apparatuses and film deposition facilities including the same
US9586179B2 (en) 2013-07-25 2017-03-07 SDCmaterials, Inc. Washcoats and coated substrates for catalytic converters and methods of making and using same
US9427732B2 (en) 2013-10-22 2016-08-30 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US9566568B2 (en) 2013-10-22 2017-02-14 SDCmaterials, Inc. Catalyst design for heavy-duty diesel combustion engines
US9517448B2 (en) 2013-10-22 2016-12-13 SDCmaterials, Inc. Compositions of lean NOx trap (LNT) systems and methods of making and using same
US9950316B2 (en) 2013-10-22 2018-04-24 Umicore Ag & Co. Kg Catalyst design for heavy-duty diesel combustion engines
US9687811B2 (en) 2014-03-21 2017-06-27 SDCmaterials, Inc. Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US10086356B2 (en) 2014-03-21 2018-10-02 Umicore Ag & Co. Kg Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US10413880B2 (en) 2014-03-21 2019-09-17 Umicore Ag & Co. Kg Compositions for passive NOx adsorption (PNA) systems and methods of making and using same
US20160348238A1 (en) * 2015-05-28 2016-12-01 Kabushiki Kaisha Toshiba Film forming apparatus
US20170067153A1 (en) * 2015-09-07 2017-03-09 Kabushiki Kaisha Toshiba Semiconductor manufacturing system and method of operating the same
US20200384403A1 (en) * 2019-06-06 2020-12-10 Qolibri, Inc. Liquid filter apparatus for gas/solid separation for semiconductor processes
US11786858B2 (en) * 2019-06-06 2023-10-17 Edwards Vacuum Llc Liquid filter apparatus for gas/solid separation for semiconductor processes

Also Published As

Publication number Publication date
US6468490B1 (en) 2002-10-22
US20070022958A1 (en) 2007-02-01

Similar Documents

Publication Publication Date Title
US6468490B1 (en) Abatement of fluorine gas from effluent
US6689252B1 (en) Abatement of hazardous gases in effluent
US6824748B2 (en) Heated catalytic treatment of an effluent gas from a substrate fabrication process
EP1450936B1 (en) Method and apparatus for treating exhaust gas comprising a fluorine compound and carbon monoxide
JP5347183B2 (en) Method and apparatus for removing fluorine from a gas stream
Raoux et al. Remote microwave plasma source for cleaning chemical vapor deposition chambers: Technology for reducing global warming gas emissions
KR100847915B1 (en) Effluent gas stream treatment device and method having utility for oxidation treatment of semiconductor manufacturing effluent gases
US5693293A (en) Apparatus for the purification of waste gas
US5649985A (en) Apparatus for removing harmful substances of exhaust gas discharged from semiconductor manufacturing process
US20070086931A1 (en) Methods and apparatus for process abatement
JP4237942B2 (en) Method and apparatus for treating exhaust gas containing fluorine-containing compound
KR19990045278A (en) Method and apparatus for treating overburden
US20180366307A1 (en) Plasma abatement technology utilizing water vapor and oxygen reagent
US20010048902A1 (en) Treatment system for removing hazardous substances from a semiconductor process waste gas stream
JP2001502604A (en) Exhaust flow treatment system for oxidation of semiconductor manufacturing exhaust
CN101410167B (en) Method of treating a gas stream
KR101097240B1 (en) Method and apparatus for treating exhaust gas
JPH11319485A (en) Treatment of perfluoride and treating device therefor
JP2003021315A (en) Device and method for detoxifying exhaust gas
US8231851B2 (en) Method for processing perfluorocarbon, and apparatus therefor
WO2001008786A1 (en) Method and apparatus for catalytic conversion of fluorinated compounds in gases
JPH08257359A (en) Method for processing perfluorocarbon-containinggas flow
JP3817428B2 (en) Perfluoride decomposition equipment
CN100584435C (en) The devices and methods therefor of decompsing rhodanates
TWI317387B (en) A plasma method and apparatus to dispose of process waste gases and particles

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION