US20030106642A1 - Semiconductor processing module with integrated feedback/feed forward metrology - Google Patents

Semiconductor processing module with integrated feedback/feed forward metrology Download PDF

Info

Publication number
US20030106642A1
US20030106642A1 US10/302,862 US30286202A US2003106642A1 US 20030106642 A1 US20030106642 A1 US 20030106642A1 US 30286202 A US30286202 A US 30286202A US 2003106642 A1 US2003106642 A1 US 2003106642A1
Authority
US
United States
Prior art keywords
wafer
tool
processing
transfer
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/302,862
Inventor
Kevin Fairbairn
Bo Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/302,862 priority Critical patent/US20030106642A1/en
Publication of US20030106642A1 publication Critical patent/US20030106642A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32182If state of tool, product deviates from standard, adjust system, feedback
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32189Compare between original solid model and measured manufactured object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present invention relates to a method and apparatus for monitoring and controlling processing carried out on a semiconductor substrate, and more particularly for controlling critical dimensions (CDs) of features formed on the semiconductor substrate through feedback and feed-forward of information gathered during in-process inspection of the features.
  • CDs critical dimensions
  • the invention has particular applicability for in-line inspection of semiconductor wafers during manufacture of high-density semiconductor devices with submicron design features.
  • photolithography wherein masks are used to transfer circuitry patterns to semiconductor wafers.
  • a series of such masks are employed in a preset sequence.
  • Each photolithographic mask includes an intricate set of geometric patterns corresponding to the circuit components to be integrated onto the wafer.
  • Each mask in the series is used to transfer its corresponding pattern onto a photosensitive layer (i.e., a photoresist layer) which has been previously coated on a layer, such as a polysilicon or metal layer, formed on the silicon wafer.
  • the transfer of the mask pattern onto the photoresist layer is conventionally performed by an optical exposure tool such as a scanner or a stepper, which directs light or other radiation through the mask to expose the photoresist.
  • the photoresist is thereafter developed to form a photoresist mask, and the underlying polysilicon or metal layer is selectively etched in accordance with the mask to form features such as lines or gates.
  • Fabrication of the mask follows a set of predetermined design rules set by processing and design limitations. These design rules define the space tolerance between devices and interconnecting lines and the width of the lines themselves, to ensure that the devices or lines do not overlap or interact with one another in undesirable ways.
  • the design rule limitation is referred to as the critical dimension (“CD”), defined as the smallest width of a line or the smallest space between two lines permitted in the fabrication of the device.
  • CD critical dimension
  • the CD for most ultra large scale integration applications is on the order of a fraction of a micron.
  • CD and profile values, and the variation of feature CD from design dimensions, are important indicators of the accuracy and stability of the photoresist and etch processes, and “CD control” to reduce such variation is an important part of semiconductor processing.
  • CD control necessarily involves monitoring and adjusting both the photolithography and etch processes to address CD variations from field to field (FTF) within a wafer, from wafer to wafer (WTW) and from lot to lot (LTL).
  • FTF field to field
  • WTW and LTL variation FTF and LTL are dominant variation components, while WTW typically counts for less than 10% of the total CD variation.
  • FTF variation is generally determined by process tool performance, such as photoresist coating and baking uniformity, stepper or scanner stage leveling, and etch micro-loading uniformity.
  • LTL variation is generally determined by process stability, including process equipment stability.
  • the information gathered from the CD-SEM measurement is not utilized to the fullest extent that will help to improve yield.
  • the wafers must be transferred to and from the tool for every inspection performed. This exposes the wafers to the ambient atmosphere, which can result in unwanted oxidation of the wafer surface or deposition of foreign particles on the surface, thereby lowering yield.
  • resist trim A related process where CD is crucial is known as “resist trim”.
  • photolithography employing light to expose sub-micron features on a photoresist layer is very costly and complicated.
  • a resist trim to “shrink” the exposed features to their final size.
  • the wafer is brought to an etch chamber, and a specifically designed “resist etch step” is carried out, typically an isotropic etch step that shrinks the size of the developed resist feature.
  • the actual feature e.g., a polysilicon gate or metal line
  • Toprac One method for monitoring and correcting CD variations related to the resist trim process is disclosed in U.S. Pat. No. 5,926,690 to Toprac et al.
  • Toprac teaches selecting one or more test wafers from a lot of wafers whose photoresist has been exposed and developed to create larger-than-desired features, and measuring a representative photoresist feature CD from the test wafers, as with a CD-SEM.
  • the wafers are then processed through a photoresist etch step and a gate etch step, and the CD of the etched feature is measured.
  • the results of the initial and final CD measurements are then used to adjust the etch recipe for the remaining wafers in the lot to drive their CDs to target values.
  • Toprac teaches measuring CDs of sample wafers (i.e., initially measuring photoresist features formed on the wafers and then measuring gates) off-line at a SEM, and the CD of a particular feature on a wafer is not used to decide what etch recipe is used for processing that wafer.
  • An advantage of the present invention is the ability to reduce CD variations in semiconductor wafers without reducing production throughput or yield, by utilizing information gathered during in-process inspection of the wafers.
  • an apparatus for processing a semiconductor wafer comprising a measuring tool for imaging the wafer to obtain a data set representative of a CD of a target feature on the wafer; a storage medium that stores a plurality of reference data sets, each reference data set representative of a reference feature CD and associated with a different known set of first process parameter values; a processor configured to identify the reference data set that most closely matches the target feature data set to obtain the first process parameter values for performing a first process on the wafer; a first processing tool for performing the first process on the wafer using the first set of process parameter values; a transfer mechanism for transferring the wafer between the measuring tool and the first processing tool; and a chamber for enclosing the transfer mechanism and allowing communication between the transfer mechanism, the measuring tool and the first processing tool in a clean and controlled environment.
  • processor is further configured to select a second set of process parameter values based on the imaging of the target feature CD, and provide the second set of process parameter values to a previously visited processing tool.
  • FIG. 1 illustrates a portion of a focus exposure matrix used in practicing an embodiment of the present invention.
  • FIGS. 2A and 2B are conceptual illustrations of the reference library used in practicing an embodiment of the present invention.
  • FIG. 3 is a block diagram of a review tool according to an embodiment of the present invention.
  • FIGS. 4A and 4B are flow charts illustrating sequential steps in methods according to embodiments of the present invention.
  • FIG. 5 is a block diagram that illustrates an embodiment of the invention.
  • FIG. 6A is a view of a profile of a feature to be inspected using the methodology of the present invention.
  • FIGS. 6B and 6C are graphical representations of waveforms used in practicing an embodiment of the present invention.
  • FIG. 6D is a flow chart illustrating sequential steps in a method according to an embodiment of the present invention.
  • FIG. 7 is a block diagram of a measurement tool according to an embodiment of the present invention.
  • FIG. 8 is a flow chart illustrating sequential steps in a method according to an embodiment of the present invention.
  • FIGS. 9 A- 9 C schematically illustrate processing modules according to embodiments of the present invention.
  • FIG. 10 is a flow chart illustrating sequential steps in methods according to embodiments of the present invention.
  • CD control by reducing the CD variation by feeding back information gathered during inspection of a wafer (e.g., after photoresist development) to upcoming lots that will be going through the photolithography process, and by feeding forward information to adjust the next process the inspected wafer will undergo (e.g., the etch process).
  • the CD measurement, etch processing and post-etch cleaning are performed at a single module in a controlled environment, thereby increasing throughput and improving yield.
  • a feature such as an integrated circuit pattern is formed on a lot or batch of semiconductor wafers at a “photo cell” by a photolithography process (e.g., exposure at a stepper followed by photoresist development)
  • a photolithography process e.g., exposure at a stepper followed by photoresist development
  • one or more wafers of the lot are chosen for inspection and the feature is imaged, typically at several sites on each wafer to be inspected, as with a CD-SEM or optical inspection tool, to measure its CD and other sensitive parameters such as edge width and profile grade, and to obtain an inspection waveform.
  • the measured parameters are linked to photolithography adjustable parameters such as stepper focus and exposure settings.
  • the linked information on focus and exposure can be fed back to the photo cell so the stepper can be adjusted, either automatically or at the user's discretion, to correct the deviation in following lots.
  • the measured parameters are also linked to etch process adjustable parameters such as etch recipes for different over-etch and/or etch chemistry. Therefore, if the measured parameters deviate from desired values, a linked etch recipe to correct the error can be fed forward to the etcher, which recipe can be implemented automatically or at the user's discretion to process the wafers in the inspected lot.
  • the feedback and feed-forward mechanism of the present invention improves lot to lot CD control at inspection following photoresist development and at final inspection.
  • a “library” of reference waveforms such as conventional SEM waveforms, is created by imaging a plurality of reference features formed, as on a test wafer in the photo cell, using the reticle which will be used in producing the features to be inspected.
  • Each of the reference features is formed using different process parameters, such as different stepper focus and exposure settings.
  • the profile of each of the reference features is imaged, if desired, as by a cross-section FIB-SEM.
  • each reference SEM waveform is associated with known stepper settings and, optionally, a known profile.
  • an etch recipe that will compensate for deviations in the reference feature is linked to each reference feature.
  • the reference waveform associated with the particular cross-section SEM waveform having optimal CD, profile and/or other characteristics is chosen and identified as a “golden waveform”.
  • a feature of unknown CD is conventionally imaged with an SEM, and the resulting waveform is compared to the golden waveform. If the feature's waveform does not substantially match the golden waveform, it is compared to the reference feature waveforms to find the closest matching reference waveform.
  • the profile and stepper settings of the matching reference waveform (which correspond to the profile and stepper settings of the feature under inspection) can then be compared to those associated with the golden waveform and fed back to the photo cell for automatic or manual process adjustment.
  • the etch recipe of the matching reference waveform can be fed forward to the etcher to adjust the CDs of the features of the wafers in the lot under inspection resulting from the etch process.
  • stepper focus and exposure settings of sample features from a lot under inspection are pinpointed, and an optimal etch recipe for the lot determined, by obtaining each feature's SEM waveform and comparing the waveform to a library of reference feature waveforms, thereby enabling feedback to the photo cell and feed-forward to the etcher to adjust process parameters to reduce lot to lot CD variation.
  • the library of reference waveforms is formed as a focus exposure matrix (“FEM”) on a test wafer, which is a conventional technique for obtaining the best exposure/focus combination when new masks are produced or after a change in the fabrication recipe; i.e., to optimize the process by finding the combination of stepper focus and exposure which results in the best resolution on the wafer, in keeping with the required CD.
  • the FEM procedure typically comprises sequentially exposing a series of areas of the test wafer with the pattern of the mask, while exposure and focus values are incrementally changed from one exposure location to the next. Specifically, the test wafer is exposed in a stepper while the focus is varied along one axis and the exposure is varied along the other.
  • a matrix of features is obtained on the exposed wafer, wherein each exposure site or die has a different focus-exposure setting.
  • FIG. 1 illustrates a portion of a focus-exposure matrix.
  • the matrix 101 is formed by patterning the wafer surface using light or other radiation passing through the mask.
  • a single wafer may include tens or hundreds of dies depending on die size, each of which corresponds to one of the exposure areas, or “cells”, shown in FIG. 1. For convenience, only a small number of the dies are illustrated.
  • Each of the cells of the matrix has an incrementally different exposure E and/or was formed with an incrementally different focus f than any of the surrounding cells, as referenced by nomenclature f n E m in the drawings.
  • the focus is varied along one axis while the exposure is varied along the other axis in the formation of the matrix.
  • the individual exposure sites are developed and then examined with a conventional CD-SEM scan to measure the feature's CD, and the resulting inspection waveforms stored to obtain a reference waveform for each site.
  • the inspection waveforms can be obtained with an optical inspection tool.
  • An example of such a tool is described in U.S. Pat. No. 5,963,329 to Conrad.
  • the optical inspection tool can also utilize scatterometry or reflectometry techniques.
  • scatterometry for inspection tools is disclosed in Raymond, “Angle-resolved scatterometry for semiconductor manufacturing”, Microlithography World , Winter 2000.
  • the use of reflectometry for inspection is taught in Lee, “Analysis of Reflectometry and Ellipsometry Data from Patterned Structures”, Characterization and Metrology for ULSI Technology: 1998 International Conference , The American Institute of Physics 1998.
  • the exposure sites can be imaged, if desired, with an atomic force microscope (AFM) or sectioned and imaged with a cross-section FIB-SEM to determine their respective profiles.
  • An evaluation of the CD, cross-sectional profile images, and other measured parameters is performed to determine the combination of focus and exposure settings which produces the best feature characteristics.
  • the reference waveform i.e., SEM waveform or optical waveform
  • Each reference waveform is further associated with an etch recipe experimentally determined to be the optimal recipe to produce a finished feature (after etching) with a CD as close as possible to design dimensions, given the measured CD and other characteristics of the reference feature.
  • FIGS. 2A and 2B The concept of the reference library of the present invention is illustrated in FIGS. 2A and 2B.
  • the optimized process condition is represented by the cell marked “x”. All other cells preferably cover a reasonable range of process variation, or the “process window”, wherein ⁇ f n and ⁇ E m are each measured as the difference from cell x; that is, ⁇ f and ⁇ E are both zero in cell x, and ⁇ f and ⁇ E of the other cells are exposure doses and focus settings relative to the optimal exposure and focus.
  • Each exposure column A-E represents a different etch recipe. Since the etch recipe adjustment applies to CD in this exemplary embodiment, the etch recipes' assignments are aligned with the exposure columns.
  • FIG. 2A which is based on the FEM of FIG. 1, the optimized process condition is represented by the cell marked “x”. All other cells preferably cover a reasonable range of process variation, or the “process window”, wherein ⁇ f n and ⁇ E m are each measured as the difference from cell x
  • FIG. 2B represents an expansion of each cell of FIG. 2A.
  • a measured CD value e.g., in box I
  • an inspection waveform e.g., in box II
  • ⁇ f n ⁇ E m e.g., in box III
  • an etch recipe e.g., in box IV
  • a cross section or image of the profile of the inspected feature e.g., in box V.
  • An exemplary embodiment of the present invention is implemented using a review tool in a processing line 300 , as shown in FIG. 3, comprising an imager 310 , e.g., a CD-SEM such as the VeraSEMTM available from Applied Materials of Santa Clara, Calif., or an optical imager as disclosed in U.S. Pat. No. 5,963,329.
  • Processing line 300 further comprises a processor 320 , which preferably performs the analysis disclosed herein electronically, and a monitor 330 for displaying results of the analyses of processor 320 .
  • Processor 320 can be in communication with a memory device 340 , such as a semiconductor memory, and a computer software-implemented database system 350 known as a “manufacturing execution system” (MES) conventionally used for storage of process information.
  • MES manufacturing execution system
  • Processor 320 can also be in communication with previously-described photo cell 360 and etcher 370 .
  • FIG. 4A is a flow chart illustrating the major steps of process control according to an embodiment of the present invention, implemented in conjunction with inspecting a feature (hereinafter called a “target feature”) such as transistor gate formed on a semiconductor wafer W at photo cell 360 .
  • a feature hereinafter called a “target feature”
  • the reference library is created, including reference CDs and waveforms in the form of SEM or optical waveforms, and stored locally in processor 320 or in MES 350 .
  • the stepper settings associated with each of the reference waveforms and the appropriate etch recipes are stored along with the waveforms. Profile images can also be stored, if desired by the user.
  • the reference library is created only once for each layer to be inspected, such as when a series of process steps, such as photo cell 360 , creates a “critical layer” that the user determines must be inspected.
  • the golden waveform i.e., the waveform associated with the reference feature exhibiting optimal CD and/or other characteristics, is selected at step 420 .
  • Wafer W having features with unknown CD and other characteristics, is brought to imager 310 from photo cell 360 , the target feature is imaged by imager 310 at step 430 , and its waveform is stored as a target waveform.
  • the target waveform is compared to the stored golden waveform. If the target waveform and golden waveform match within predetermined limits, the CD of the target feature is reported to the user, as by a display on monitor 330 , along with a “matching score” indicating the amount of deviation of the target waveform from the golden waveform (see step 441 ).
  • the results (i.e., the data) from the inspection are then sent to MES 350 , and wafer W is sent to etcher 370 for further processing.
  • the target waveform is compared to each of the reference waveforms in the library to identify the reference waveform most closely matching the target waveform (see step 450 ).
  • the reported stepper settings are compared with those associated with the golden waveform at step 460 to determine the difference dEdF between the settings which produced the golden waveform and those which produced the target waveform; e.g., determine the difference between the focus setting associated with the golden waveform and the focus setting associated with the target waveform, and determine the difference between the exposure setting associated with the golden waveform and the exposure setting associated with the target waveform.
  • This information is then sent to photo cell 360 , where it is used to correct the stepper settings to minimize “drift” in the stepper, which would cause CD variations in subsequently processed wafers, by indicating the amount of adjustment to the stepper that is required, as well as which particular adjustments (i.e., focus, exposure, or both) should be made.
  • dE and dF are compared to predetermined threshold values at step 470 . If dE and dF are not greater than the predetermined threshold values, the CD and matching score of the target feature are reported at step 471 , the data from the inspection is then sent to MES 350 , and wafer W is sent to etcher 370 . On the other hand, if dE and dF are greater than the predetermined threshold values, the CD and matching score of the target feature is reported at step 480 , along with dE and dF and the associated etch recipe, which is sent to etcher 370 to adjust (or “update”) the etch recipe to correct the CD deviation of the finished features on wafer W.
  • the etch recipes can typically adjust the CD within a range of about 10% or less.
  • the feedback and feed-forward of steps 460 and 480 can be done manually or automatically.
  • manual mode the user takes the reported process correction information and implements it manually at photo cell 360 and/or etcher 370 . This allows expert input from the user to decide the need for process adjustment.
  • automated mode the process correction information is automatically fed to the stepper in photo cell 360 or to etcher 370 to effect the correction through recipe updating. This mode can be implemented by a software interface allowing communication between processor 320 and etcher 370 , and between processor 320 and photo cell 360 .
  • the predetermined threshold test of step 470 can be used as a sensitivity filter to determine if updating is necessary.
  • the automatic mode is advantageous because it enables quick feedback and consistency.
  • the exemplary methodology is carried out after a lot of wafers, such as about 25 wafers, is processed by photo cell 360 .
  • a number of wafers W from the lot are selected to be inspected, according to the user's preference. For example, when manufacturing microprocessors, 1-3 wafers are typically selected for inspection; however, when manufacturing memory devices such as DRAMs, only one wafer is typically inspected per lot.
  • a number of sites on each selected wafer W are usually inspected by the present methodology (i.e., to be target features at step 430 ), such as about 9-17 sites per wafer W.
  • the CDs of all the target features of the lot can be averaged, and the etch recipe associated with the average CD used to adjust the etch processing of the lot.
  • the stepper focus and exposure information (dEdF) fed back to photo cell 360 at step 460 to adjust the photolithographic processing of following lots when a number of target features in a lot are inspected the user can employ previously gathered process information to decide which sites on selected wafers W to inspect, and then decide which inspected feature's information to use to adjust photo cell 360 .
  • FIG. 4B is a flow chart of an embodiment of the invention.
  • the user maps field to field CD variations across a number of wafers prior to inspection using the present methodology. This is a standard process control technique practiced by virtually all wafer fabricators. It indicates which areas of the wafer typically have small CD variations from the design value, and which areas of the wafer typically have a large CD variation. For example, some wafer processing equipment (e.g., photo cell 360 ) produces wafers having a small CD variation in the center of the wafer and larger CD variations at the periphery. Other equipment produces wafers having large CD variations near the center of the wafer and small CD variations in a band surrounding the center. After mapping the CD variations, the user identifies, at step 491 , an area or areas of the wafers that exhibit the worst CD variation.
  • some wafer processing equipment e.g., photo cell 360
  • Other equipment produces wafers having large CD variations near the center of the wafer and small CD variations in a band surrounding the center.
  • the user selects a threshold CD variation representing the smallest CD deviation the user wishes to correct (see step 492 ).
  • Target features are then inspected at step 493 using the inventive methodology (e.g., steps 430 et seq. described above).
  • Target features are selected such that fields in the worst part of the wafer, identified at step 491 , are represented. If the field to field variation of the inspected features is smaller than the predetermined threshold (see step 494 ), dEdF associated with any one of the target features can be fed back to photo cell 360 for use in adjusting the processing of subsequent lots (step 495 ), since they are relatively close to each other.
  • dEdF associated with an inspected feature from the predetermined worst site from step 491 is fed back to photo cell 360 (see step 496 ).
  • the worst CD variation is corrected in subsequent lots.
  • the CDs of the inspected features are averaged, and at step 498 , the etch recipe associated with the average CD is fed forward to etcher 370 to adjust (or “update”) the etch recipe to correct the CD deviation of the features on the wafers in the inspected lot.
  • this embodiment of the present invention allows the user to employ information, such as field to field CD variation maps, that they gather as a matter of course independently of implementing the present invention, to reduce lot to lot variation with minimal added cost and inspection time.
  • the step of comparing the target waveform to the golden waveform (see FIG. 4A, step 440 ) and the step of matching the target waveform to one of the reference waveforms (step 450 ) comprise comparing only a selected portion of the target waveform and the reference/golden waveforms which represents a significant feature of the profile under inspection.
  • FIG. 6A depicts a typical feature profile
  • FIG. 6B shows the corresponding inspection waveform of the feature of FIG. 6A
  • FIG. 6C is a graphical representation of the first derivative of the waveform of FIG. 6B.
  • portions 601 a and 603 a are the most important areas of the feature profile to be inspected, and correspond to segments 601 b and 603 b of the waveform in FIG. 6B and segments 601 c and 603 c of the first derivative shown in FIG. 6C, it is advantageous to match segments 601 c or 603 c of the first derivatives of the target and reference waveforms.
  • the first derivative of the target and reference waveforms are taken (step 610 ), and divided into segments at step 620 , such as segments 601 c , 602 c and 603 c in FIG. 6C.
  • each of segments 601 c , 602 c and 603 c of the derivative of the target waveform is separately matched to a corresponding segment of the derivative of a reference waveform (see steps 630 , 640 , 650 ), which is associated with a portion of a known profile (e.g., portion 601 a , 602 a , 603 a of FIG. 6A).
  • the profile of the target feature is predicted by assembling “building blocks” of matching segments (step 660 ). An examination of the predicted profile will indicate what part or parts of the profile deviate from design standards, and such information can be used by one skilled in the art to trace photolithographic processing problems, such as focus and exposure problems.
  • the step of comparing the target waveform to the golden waveform (step 440 ) and the step of matching the target waveform to one of the reference waveforms (step 450 ) comprise employing an algorithm to “fit a curve” to the target and reference waveforms such as depicted in FIG. 6B; that is, to obtain a mathematical function or “formula” representative of the shape of each of the waveforms. Then, corresponding significant elements of the mathematical functions representative of the target and reference waveforms are compared to determine which reference image most closely matches the target image.
  • the reference waveform whose values of x, a and b most closely match the x, a and b values of the target waveform is the reference waveform that most closely matches the target waveform (where a and/or b can be a constant or exponent of x).
  • the step of comparing the target waveform to the golden waveform (step 440 ) and the step of matching the target waveform to one of the reference waveforms (step 450 ) comprise using the target and reference waveforms to generate images of the target feature and the reference features, and then comparing the generated images.
  • waveforms as illustrated in FIG. 6B are manipulated using conventional computer graphics techniques to generate images as shown in FIG. 6A. The generated images are then matched, as by well-known pattern recognition techniques such as boundary analysis and grey-scale analysis.
  • an apparatus for processing a semiconductor wafer wherein a wafer is removed from a wafer cassette, and a dimension of a feature on the surface of the wafer is measured, such as feature CD using an optical measuring tool.
  • a process such as an etch process, is then performed on the wafer using a set of process parameter values, such as an etch recipe, selected based on the CD measurement, and the wafer is returned to a cassette.
  • post-etch processing such as ash stripping, wet cleaning and/or further CD measurement, are performed by the apparatus before the wafer is returned to a cassette. All of the transfer and processing steps performed by the apparatus are performed in a clean environment, thereby increasing yield by avoiding exposing the wafer to the atmosphere and possible contamination between steps.
  • these embodiments of the present invention provide for pre-etch CD measurement of every wafer and adjustment of the etch recipe for every wafer according to its CD measurement to correct for process variations in previously visited tools, such as exposure and focus variations at a photo cell. This is in contrast to prior art methodologies, which typically measure CD of sample wafers before and/or after etch processing and use this information for processing the next batch of wafers.
  • the present invention increases yield by performing real time measurement of every wafer and adjusting the etch recipe for every wafer.
  • the apparatus comprises a chamber or “mainframe” 901 , such as the CenturaTM processing system, available from Applied Materials of Santa Clara, Calif., for mounting a plurality of processing chambers, such as conventional etch processors 902 , and one or more transfer chambers 903 , also called “load locks”.
  • Mainframe 901 is capable of maintaining a vacuum environment in its interior.
  • a robot 904 is provided for transferring wafers between processing chambers 902 and transfer chambers 903 .
  • Transfer chambers 903 are connected to a factory interface 905 , also known as a “mini environment”, which maintains a controlled environment.
  • a CD measurement tool 906 such as an optical measurement tool utilizing scatterometry or reflectometry techniques, is mounted inside factory interface 905 .
  • An example of a tool that can be used as measurement tool 906 is imager 310 described above (see FIGS. 3 and 4A), which can include the CD measurement tool described in U.S. Pat. No. 5,963,329.
  • a processor i.e., a processor corresponding to processor 320 ) to provide etcher 902 an etch recipe based on the wafer CD measurement can be part of etcher 902 or mainframe 901 .
  • One or more robots 907 are also mounted inside factory interface 905 for transferring wafers between transfer chambers 903 , measurement tool 906 and standard wafer cassettes 908 removably attached to factory interface 905 .
  • Mainframe 901 , transfer chambers 903 , factory interface 905 and robots 904 , 907 are all parts of a conventional processing system such as the above-referenced Applied Materials CenturaTM, and communicate with each other while maintaining a clean, controlled environment.
  • Such conventional processing systems further comprise a processor, such as a computer (not shown) to electronically control the operation of the system, including the transfer of wafers from one part of the system to another.
  • a processing tool such as photo cell 360 described above
  • they are loaded into a cassette 908 , and the cassette is transferred to factory interface 905 at step 1010 .
  • a wafer is then unloaded from cassette 908 and transferred to measurement tool 906 by robot 907 (step 1020 ) and the CD of a feature or features formed on the surface of the wafer are measured at step 1030 .
  • the wafer is also optically aligned at measurement tool 906 ; that is, the notch of the wafer is oriented to a predetermined position.
  • measurement tool 906 can align the wafer, perform its CD measurement, then re-align the wafer if necessary (since it may have been moved during inspection) to ensure that the wafer notch is in the proper position for subsequent handling by robot 907 .
  • an etch recipe for the wafer is selected based on the wafer's CD measurement.
  • a “signature analysis” can be carried out for the wafer's CD measurement data according to the embodiment of the present invention of FIGS. 3 and 4A- 4 B, described above, wherein data from the CD measurement is compared to reference data from a library to search for variations, which are then parameterized (i.e., converted into etch process parameters).
  • a root coupled wave analysis (RCWA) can be performed, wherein a CD corresponding to a given waveform is derived by calculation, such as by a processor in an optical inspection tool.
  • the wafer is transferred from measurement tool 906 to etcher 902 using robot 907 to move the wafer to transfer chamber 903 , and using robot 904 to move the wafer to etcher 902 .
  • the wafer is then etched (step 1060 ).
  • This etch step can be a resist trim step, a feature formation step (e.g., a polysilicon gate etch), or both.
  • the wafer is returned to cassette 908 via robots 904 , 907 and transfer chamber 903 (step 1090 ).
  • the wafer can first be transferred back to measurement tool 906 for a post-etch CD measurement (steps 1070 and 1080 ) before being loaded into cassette 908 .
  • Data acquired by measurement tool 906 can be compared to post-etch data from previously processed wafers. If a significant variation from the normal post-etch data is observed, the wafer can then be returned to cassette 908 , then cleaned and reviewed at a review tool, such as a CD-SEM.
  • a review tool such as a CD-SEM.
  • measurement tool 906 is used as a process monitor to indicate when review is necessary.
  • ashing strip processors 909 are mounted on mainframe 901 along with etchers 902 .
  • ASPs 909 are for removing photoresist polymers and other residue from wafers after etching.
  • Factory interface 905 a has a CD measurement tool 906 a mounted to it (instead of inside it as in the embodiment of FIG. 9A) as well as an integrated particle monitor 910 (called an “IPM”), such as the ExciteTM available from Applied Materials of Santa Clara, Calif.
  • IPM 910 is for detecting foreign particles and other defects on the surface of wafers.
  • the apparatus of FIG. 9B operates according to the flow chart of FIG. 10 as described above, except that after the wafer is etched at step 1060 , it is cleaned in ASP 909 before being transferred to measurement tool 906 a at step 1070 for post-etch CD measurement (step 1080 ). The wafer then visits IPM 910 before being returned to cassette 908 at step 1090 .
  • wet clean modules 911 are mounted on factory interface 905 b along with measurement tool 906 a and IPM 910 .
  • the apparatus of FIG. 9C operates according to the flow chart of FIG. 10 as described above, except that after the wafer is etched at step 1060 , it is cleaned in ASP 909 and wet clean module 911 before being transferred to measurement tool 906 a at step 1070 for post-etch CD measurement (step 1080 ). The wafer then visits IPM 910 before being returned to cassette 908 at step 1090 .
  • FIGS. 9 A-C provide pre-etch CD measurement, etching, cleaning, and post-etch CD measurement entirely under controlled environmental conditions. By providing etching, cleaning and measurement tools on the mainframe and/or factory interface, the wafer can be etched, cleaned and inspected before being returned to a cassette, thereby reducing processing time and cost. Moreover, the embodiments of FIGS. 9 A-C provide feedback and feed forward of CD measurement data in real time for every wafer, thereby enabling etch processing to be customized for every wafer to increase yield.
  • the present invention provides increases in yield and decreases in production costs vis-á-vis prior art systems, wherein feedback from CD measurements, if any, is on a lot-to-lot basis rather than for every wafer, and wafers must be exposed to the atmosphere between measuring, etching and cleaning steps.
  • CD at the resist trim and feature etch processes (such as gate etch, shallow trench isolation (STI) trench etch, via etch, contact hole etch, metal etch, etc.) is tightly controlled using feedback and feed forward of CD measurement in real time under controlled environmental conditions.
  • STI shallow trench isolation
  • a trim recipe for the photoresist is selected based on the photoresist patterns' CD measurement. For example, a signature analysis or RCWA as described above can be carried out for the patterns' CD measurement data, and the results of this analysis fed forward to update a process model M 1 used to adjust the trim recipe for the wafer.
  • a signature analysis or RCWA as described above can be carried out for the patterns' CD measurement data, and the results of this analysis fed forward to update a process model M 1 used to adjust the trim recipe for the wafer.
  • the wafer is transferred from measurement tool 906 to etcher 902 using robot 907 to move the wafer to transfer chamber 903 , and using robot 904 to move the wafer to etcher 902 .
  • the photoresist patterns are then etched (step 740 ) to trim or shrink the photoresist patterns to a target size.
  • the resist trim is typically an isotropic etch step.
  • the wafer is transferred back to measurement tool 906 for a post-trim CD measurement (“PRCD Out”) at step 750 .
  • PRCD Out is fed back to update process model M 1 to adjust the trim recipe for subsequently processed wafers.
  • the wafer is then transferred by robot 904 to the same or another etch chamber 902 , and the layer underlying the photoresist is etched at step 760 , using the trimmed photoresist patterns as a mask, to form features such as transistor gates, trenches, vias, etc. of the desired size.
  • the wafer is transferred back to measurement tool 906 for a post-etch CD measurement (“Feature CD”) at step 770 before being returned to cassette 908 .
  • Feature CD post-etch CD measurement
  • Data acquired by measurement tool 906 can be compared to post-etch data from previously processed wafers at step 780 .
  • the wafer can then be returned to cassette 908 , then cleaned and reviewed at a review tool, such as a CD-SEM.
  • a review tool such as a CD-SEM.
  • measurement tool 906 is used as a process monitor to indicate when review is necessary.
  • a process identical to that described above with reference to FIG. 7 is carried out, except that after the etched features' CD is measured at step 770 , the data is fed back to update a process model M 2 to select an etch recipe for subsequently processed wafers at step 880 .
  • feature CD data acquired at step 770 is also fed back to update process model M 1 to adjust the trim recipe for subsequently processed wafers at step 730 .
  • FIGS. 7 and 8 have been described with reference to the apparatus of FIG. 9A for convenience, it should be understood that these embodiments can be implemented at the apparatus of FIGS. 9B and 9C. Of course, the cleaning and/or further inspection steps described above available at the apparatus of FIGS. 9B and 9C can be performed as appropriate and/or desired.
  • FIG. 5 is a block diagram that illustrates an embodiment of the invention.
  • processor 320 includes a bus 502 or other communication mechanism for communicating information, and a central processing unit 504 coupled with bus 502 for processing information.
  • Processor 320 also includes a main memory 506 , such as a random access memory (RAM) or other dynamic storage device, coupled to bus 502 for storing information and instructions to be executed by CPU 504 .
  • Main memory 506 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by CPU 504 .
  • Processor 320 further includes a read only memory (ROM) 508 or other static storage device coupled to bus 502 for storing static information and instructions for CPU 504 .
  • a storage device 510 such as a magnetic disk or optical disk, is provided and coupled to bus 502 for storing information and instructions. Storage device 510 may also serve as memory 340 in FIG. 3.
  • Processor 320 may be coupled via bus 502 to monitor 330 , such as a cathode ray tube (CRT), for displaying information to a computer user.
  • monitor 330 such as a cathode ray tube (CRT)
  • An input device 514 is coupled to bus 502 for communicating information and command selections to CPU 504 .
  • cursor control 516 is Another type of user input device, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to CPU 504 and for controlling cursor movement on monitor 330 .
  • Imager 310 (FIG. 3) inputs data representative of features of a semiconductor wafer under inspection, as discussed above, to bus 502 . Such data may be stored in main memory 506 and/or storage device 510 , and used by CPU 504 as it executes instructions. Imager 310 may also receive instructions via bus 502 from CPU 504 .
  • the invention is related to the use of processor 320 for inspecting features formed on the surface of a semiconductor wafer and controlling processing of the wafer.
  • inspection of the surface of the wafer and wafer process control is provided by processor 320 in response to CPU 504 executing one or more sequences of one or more instructions contained in main memory 506 .
  • Such instructions may be read into main memory 506 from another computer-readable medium, such as storage device 510 .
  • Execution of the sequences of instructions contained in main memory 506 causes CPU 504 to perform the process steps described above.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 506 .
  • hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention.
  • embodiments of the invention are not limited to any specific combination of hardware circuitry and software. The programming of the apparatus is readily accomplished by one of ordinary skill in the art provided with the flow chart of FIG. 4.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device 510 .
  • Volatile media include dynamic memory, such as main memory 506 .
  • Transmission media include coaxial cable, copper wire and fiber optics, including the wires that comprise bus 502 . Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, or any other medium from which a computer can read.
  • the inventive process control technique enables lot to lot CD variations to be reduced without significantly reducing production throughput by using data gathered during the inspection process to adjust photolithography and etch process parameters.
  • the inventive methodology determines an inspected feature's stepper settings and optimal etch recipe by comparing its SEM waveform with the waveforms of a library of reference features obtained by forming a pre-production FEM. Therefore, the present invention is especially useful during the start-up and ramp-up of a production line.
  • the present invention is applicable to the manufacture of various types of semiconductor devices, particularly high density semiconductor devices having a design rule of about 0.18 ⁇ and under.
  • the present invention can be practiced by employing conventional materials, methodology and equipment. Accordingly, the details of such materials, equipment and methodology are not set forth herein in detail. In the previous descriptions, numerous specific details are set forth, such as specific materials, structures, chemicals, processes, etc., in order to provide a thorough understanding of the present invention. However, it should be recognized that the present invention can be practiced without resorting to the details specifically set forth. In other instances, well known processing structures have not been described in detail, in order not to unnecessarily obscure the present invention.

Abstract

A method and apparatus for processing a semiconductor wafer to reduce CD variation feeds back information gathered during inspection of the wafer to a previously visited processing tool and feeds forward information to adjust the next process the wafer will undergo. The inspection and processing are performed at a single processing module without exposing the wafer to ambient atmospheric conditions. Embodiments include removing a wafer from a wafer cassette, and measuring a dimension of a feature on the surface of the wafer, such as the feature's CD using an optical measuring tool. A process, such as an etch process, is then performed on the wafer using a set of process parameter values, such as an etch recipe, selected based on the CD measurement, and the wafer is returned to a cassette. The CD measurements are also linked to photolithography adjustable parameters such as stepper focus and exposure settings. The linked information on focus and exposure is fed back to the previously visited photo cell so the stepper can be adjusted, either automatically or at the user's discretion, to correct the deviation in following lots. In some embodiments, post-etch processing, such as ash stripping, wet cleaning and/or further CD measurement, are performed by the module before the wafer is returned to a cassette. All of the transfer and processing steps performed by the module are performed in a clean environment, thereby increasing yield by avoiding exposing the wafer to the atmosphere and possible contamination between steps. This feedback and feed-forward mechanism improves CD control by adjusting processing parameters for every wafer based on the wafer's measured CD.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a method and apparatus for monitoring and controlling processing carried out on a semiconductor substrate, and more particularly for controlling critical dimensions (CDs) of features formed on the semiconductor substrate through feedback and feed-forward of information gathered during in-process inspection of the features. The invention has particular applicability for in-line inspection of semiconductor wafers during manufacture of high-density semiconductor devices with submicron design features. [0001]
  • BACKGROUND ART
  • Current demands for high density and performance associated with ultra large scale integration require submicron features, increased transistor and circuit speeds and improved reliability. Such demands require formation of device features with high precision and uniformity, which in turn necessitates careful process monitoring, including frequent and detailed inspections of the devices while they are still in the form of semiconductor wafers. [0002]
  • One important process requiring careful inspection is photolithography, wherein masks are used to transfer circuitry patterns to semiconductor wafers. Typically, a series of such masks are employed in a preset sequence. Each photolithographic mask includes an intricate set of geometric patterns corresponding to the circuit components to be integrated onto the wafer. Each mask in the series is used to transfer its corresponding pattern onto a photosensitive layer (i.e., a photoresist layer) which has been previously coated on a layer, such as a polysilicon or metal layer, formed on the silicon wafer. The transfer of the mask pattern onto the photoresist layer is conventionally performed by an optical exposure tool such as a scanner or a stepper, which directs light or other radiation through the mask to expose the photoresist. The photoresist is thereafter developed to form a photoresist mask, and the underlying polysilicon or metal layer is selectively etched in accordance with the mask to form features such as lines or gates. [0003]
  • Fabrication of the mask follows a set of predetermined design rules set by processing and design limitations. These design rules define the space tolerance between devices and interconnecting lines and the width of the lines themselves, to ensure that the devices or lines do not overlap or interact with one another in undesirable ways. The design rule limitation is referred to as the critical dimension (“CD”), defined as the smallest width of a line or the smallest space between two lines permitted in the fabrication of the device. The CD for most ultra large scale integration applications is on the order of a fraction of a micron. [0004]
  • As design rules shrink and process windows (i.e., the margins for error in processing) become smaller, inspection and measurement of surface features' CD, as well as their cross-sectional shape (“profile”) are becoming increasingly important. Deviations of a feature's CD and profile from design dimensions may adversely affect the performance of the finished semiconductor device. Furthermore, the measurement of a feature's CD and profile may indicate processing problems, such as stepper defocusing or photoresist loss due to over-exposure. [0005]
  • Thus, CD and profile values, and the variation of feature CD from design dimensions, are important indicators of the accuracy and stability of the photoresist and etch processes, and “CD control” to reduce such variation is an important part of semiconductor processing. CD control necessarily involves monitoring and adjusting both the photolithography and etch processes to address CD variations from field to field (FTF) within a wafer, from wafer to wafer (WTW) and from lot to lot (LTL). Among FTF, WTW and LTL variation, FTF and LTL are dominant variation components, while WTW typically counts for less than 10% of the total CD variation. FTF variation is generally determined by process tool performance, such as photoresist coating and baking uniformity, stepper or scanner stage leveling, and etch micro-loading uniformity. On the other hand, LTL variation is generally determined by process stability, including process equipment stability. [0006]
  • Because of the extremely small scale of current CD's, the instrument of choice for measurement and inspection of surface features produced by photolithographic processing is a scanning electron microscope (SEM) known as a “critical dimension scanning electron microscope” (CD-SEM). Although conventional SEM's are useful for measuring CD's, they generally do not provide immediate feedback to the photolithography process to reduce LTL variations. SEM measurement is performed “off-line” because it is relatively slow and typically needs to be performed at a separate review station. Consequently, the results of conventional SEM inspections are not typically used to adjust subsequent etch processing; that is, the CD measurement of a particular wafer is not used to decide what etch recipe should be used to process that wafer. Thus, the information gathered from the CD-SEM measurement is not utilized to the fullest extent that will help to improve yield. As a further consequence of the inspection necessarily taking place at a physically separate tool, the wafers must be transferred to and from the tool for every inspection performed. This exposes the wafers to the ambient atmosphere, which can result in unwanted oxidation of the wafer surface or deposition of foreign particles on the surface, thereby lowering yield. [0007]
  • A related process where CD is crucial is known as “resist trim”. As those skilled in the art will appreciate, photolithography employing light to expose sub-micron features on a photoresist layer is very costly and complicated. Thus, techniques have been developed to use photolithograpy equipment to expose features that are larger than desired, then follow this exposure with a process called a resist trim to “shrink” the exposed features to their final size. Specifically, after the oversized features are exposed and the photoresist developed, the wafer is brought to an etch chamber, and a specifically designed “resist etch step” is carried out, typically an isotropic etch step that shrinks the size of the developed resist feature. The actual feature (e.g., a polysilicon gate or metal line) is thereafter etched, typically using a different etch recipe in the same or in a different etch chamber. [0008]
  • One method for monitoring and correcting CD variations related to the resist trim process is disclosed in U.S. Pat. No. 5,926,690 to Toprac et al. Toprac teaches selecting one or more test wafers from a lot of wafers whose photoresist has been exposed and developed to create larger-than-desired features, and measuring a representative photoresist feature CD from the test wafers, as with a CD-SEM. The wafers are then processed through a photoresist etch step and a gate etch step, and the CD of the etched feature is measured. The results of the initial and final CD measurements are then used to adjust the etch recipe for the remaining wafers in the lot to drive their CDs to target values. Like other conventional CD monitoring techniques, Toprac teaches measuring CDs of sample wafers (i.e., initially measuring photoresist features formed on the wafers and then measuring gates) off-line at a SEM, and the CD of a particular feature on a wafer is not used to decide what etch recipe is used for processing that wafer. [0009]
  • There exists a need for a simple, cost-effective methodology for fast and meaningful identification and correction of CD variation without significantly reducing production throughput or yield. There also exists a need for a robust and efficient apparatus and methodology for accurately carrying out resist trim operations. [0010]
  • SUMMARY OF THE INVENTION
  • An advantage of the present invention is the ability to reduce CD variations in semiconductor wafers without reducing production throughput or yield, by utilizing information gathered during in-process inspection of the wafers. [0011]
  • According to the present invention, the foregoing and other advantages are achieved in part by an apparatus for processing a semiconductor wafer comprising a measuring tool for imaging the wafer to obtain a data set representative of a CD of a target feature on the wafer; a storage medium that stores a plurality of reference data sets, each reference data set representative of a reference feature CD and associated with a different known set of first process parameter values; a processor configured to identify the reference data set that most closely matches the target feature data set to obtain the first process parameter values for performing a first process on the wafer; a first processing tool for performing the first process on the wafer using the first set of process parameter values; a transfer mechanism for transferring the wafer between the measuring tool and the first processing tool; and a chamber for enclosing the transfer mechanism and allowing communication between the transfer mechanism, the measuring tool and the first processing tool in a clean and controlled environment. [0012]
  • Another aspect of the present invention is that the processor is further configured to select a second set of process parameter values based on the imaging of the target feature CD, and provide the second set of process parameter values to a previously visited processing tool. [0013]
  • Additional advantages of the present invention will become readily apparent to those skilled in this art from the following detailed description, wherein only exemplary embodiments of the present invention are shown and described, simply by way of illustration of various modes contemplated for carrying out the present invention. As will be realized, the present invention is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present invention. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Reference is made to the attached drawings, wherein elements having the same reference numeral designations represent like elements throughout, and wherein: [0015]
  • FIG. 1 illustrates a portion of a focus exposure matrix used in practicing an embodiment of the present invention. [0016]
  • FIGS. 2A and 2B are conceptual illustrations of the reference library used in practicing an embodiment of the present invention. [0017]
  • FIG. 3 is a block diagram of a review tool according to an embodiment of the present invention. [0018]
  • FIGS. 4A and 4B are flow charts illustrating sequential steps in methods according to embodiments of the present invention. [0019]
  • FIG. 5 is a block diagram that illustrates an embodiment of the invention. [0020]
  • FIG. 6A is a view of a profile of a feature to be inspected using the methodology of the present invention. [0021]
  • FIGS. 6B and 6C are graphical representations of waveforms used in practicing an embodiment of the present invention. [0022]
  • FIG. 6D is a flow chart illustrating sequential steps in a method according to an embodiment of the present invention. [0023]
  • FIG. 7 is a block diagram of a measurement tool according to an embodiment of the present invention. [0024]
  • FIG. 8 is a flow chart illustrating sequential steps in a method according to an embodiment of the present invention. [0025]
  • FIGS. [0026] 9A-9C schematically illustrate processing modules according to embodiments of the present invention.
  • FIG. 10 is a flow chart illustrating sequential steps in methods according to embodiments of the present invention. [0027]
  • DESCRIPTION OF THE INVENTION
  • Conventional methodologies for in-process inspection of features formed on the surface of semiconductor wafers are not capable of analyzing CD and/or profile deviations from design rules in sufficient detail to provide information leading to early positive identification of the source of the defect or enabling process control to reduce dimensional variation. The present invention addresses the problem of CD control by reducing the CD variation by feeding back information gathered during inspection of a wafer (e.g., after photoresist development) to upcoming lots that will be going through the photolithography process, and by feeding forward information to adjust the next process the inspected wafer will undergo (e.g., the etch process). In certain embodiments of the present invention, the CD measurement, etch processing and post-etch cleaning are performed at a single module in a controlled environment, thereby increasing throughput and improving yield. [0028]
  • According to the methodology of the present invention, after a feature such as an integrated circuit pattern is formed on a lot or batch of semiconductor wafers at a “photo cell” by a photolithography process (e.g., exposure at a stepper followed by photoresist development), one or more wafers of the lot are chosen for inspection and the feature is imaged, typically at several sites on each wafer to be inspected, as with a CD-SEM or optical inspection tool, to measure its CD and other sensitive parameters such as edge width and profile grade, and to obtain an inspection waveform. The measured parameters are linked to photolithography adjustable parameters such as stepper focus and exposure settings. Thus, if the measured parameters deviate from design dimensions, the linked information on focus and exposure can be fed back to the photo cell so the stepper can be adjusted, either automatically or at the user's discretion, to correct the deviation in following lots. Furthermore, the measured parameters are also linked to etch process adjustable parameters such as etch recipes for different over-etch and/or etch chemistry. Therefore, if the measured parameters deviate from desired values, a linked etch recipe to correct the error can be fed forward to the etcher, which recipe can be implemented automatically or at the user's discretion to process the wafers in the inspected lot. Thus, the feedback and feed-forward mechanism of the present invention improves lot to lot CD control at inspection following photoresist development and at final inspection. [0029]
  • The links between the measured feature parameters, the photolithography adjustable parameters and etch adjustable parameters is accomplished through “library building”. Specifically, in one embodiment of the present invention, a “library” of reference waveforms, such as conventional SEM waveforms, is created by imaging a plurality of reference features formed, as on a test wafer in the photo cell, using the reticle which will be used in producing the features to be inspected. Each of the reference features is formed using different process parameters, such as different stepper focus and exposure settings. After creating the reference SEM waveforms, the profile of each of the reference features is imaged, if desired, as by a cross-section FIB-SEM. Thus, each reference SEM waveform is associated with known stepper settings and, optionally, a known profile. Additionally, an etch recipe that, when used with a reference feature, will result in a finished feature with a desired CD, is linked with each reference waveform. In other words, an etch recipe that will compensate for deviations in the reference feature is linked to each reference feature. Subsequently, the reference waveform associated with the particular cross-section SEM waveform having optimal CD, profile and/or other characteristics is chosen and identified as a “golden waveform”. [0030]
  • A feature of unknown CD is conventionally imaged with an SEM, and the resulting waveform is compared to the golden waveform. If the feature's waveform does not substantially match the golden waveform, it is compared to the reference feature waveforms to find the closest matching reference waveform. The profile and stepper settings of the matching reference waveform (which correspond to the profile and stepper settings of the feature under inspection) can then be compared to those associated with the golden waveform and fed back to the photo cell for automatic or manual process adjustment. Furthermore, the etch recipe of the matching reference waveform can be fed forward to the etcher to adjust the CDs of the features of the wafers in the lot under inspection resulting from the etch process. Thus, stepper focus and exposure settings of sample features from a lot under inspection are pinpointed, and an optimal etch recipe for the lot determined, by obtaining each feature's SEM waveform and comparing the waveform to a library of reference feature waveforms, thereby enabling feedback to the photo cell and feed-forward to the etcher to adjust process parameters to reduce lot to lot CD variation. [0031]
  • In one embodiment of the invention, the library of reference waveforms is formed as a focus exposure matrix (“FEM”) on a test wafer, which is a conventional technique for obtaining the best exposure/focus combination when new masks are produced or after a change in the fabrication recipe; i.e., to optimize the process by finding the combination of stepper focus and exposure which results in the best resolution on the wafer, in keeping with the required CD. The FEM procedure typically comprises sequentially exposing a series of areas of the test wafer with the pattern of the mask, while exposure and focus values are incrementally changed from one exposure location to the next. Specifically, the test wafer is exposed in a stepper while the focus is varied along one axis and the exposure is varied along the other. Thus, a matrix of features is obtained on the exposed wafer, wherein each exposure site or die has a different focus-exposure setting. [0032]
  • FIG. 1 illustrates a portion of a focus-exposure matrix. The [0033] matrix 101 is formed by patterning the wafer surface using light or other radiation passing through the mask. A single wafer may include tens or hundreds of dies depending on die size, each of which corresponds to one of the exposure areas, or “cells”, shown in FIG. 1. For convenience, only a small number of the dies are illustrated. Each of the cells of the matrix has an incrementally different exposure E and/or was formed with an incrementally different focus f than any of the surrounding cells, as referenced by nomenclature fnEm in the drawings. The focus is varied along one axis while the exposure is varied along the other axis in the formation of the matrix.
  • After exposure of the wafer, the individual exposure sites are developed and then examined with a conventional CD-SEM scan to measure the feature's CD, and the resulting inspection waveforms stored to obtain a reference waveform for each site. Alternatively, the inspection waveforms can be obtained with an optical inspection tool. An example of such a tool is described in U.S. Pat. No. 5,963,329 to Conrad. The optical inspection tool can also utilize scatterometry or reflectometry techniques. The use of scatterometry for inspection tools is disclosed in Raymond, “Angle-resolved scatterometry for semiconductor manufacturing”, [0034] Microlithography World, Winter 2000. The use of reflectometry for inspection is taught in Lee, “Analysis of Reflectometry and Ellipsometry Data from Patterned Structures”, Characterization and Metrology for ULSI Technology: 1998 International Conference, The American Institute of Physics 1998.
  • The exposure sites can be imaged, if desired, with an atomic force microscope (AFM) or sectioned and imaged with a cross-section FIB-SEM to determine their respective profiles. An evaluation of the CD, cross-sectional profile images, and other measured parameters is performed to determine the combination of focus and exposure settings which produces the best feature characteristics. The reference waveform (i.e., SEM waveform or optical waveform) corresponding to the combination of stepper focus and exposure settings that produced the best characteristics is then designated as a golden waveform. Each reference waveform is further associated with an etch recipe experimentally determined to be the optimal recipe to produce a finished feature (after etching) with a CD as close as possible to design dimensions, given the measured CD and other characteristics of the reference feature. [0035]
  • The concept of the reference library of the present invention is illustrated in FIGS. 2A and 2B. In FIG. 2A, which is based on the FEM of FIG. 1, the optimized process condition is represented by the cell marked “x”. All other cells preferably cover a reasonable range of process variation, or the “process window”, wherein Δf[0036] n and ΔEm are each measured as the difference from cell x; that is, Δf and ΔE are both zero in cell x, and Δf and ΔE of the other cells are exposure doses and focus settings relative to the optimal exposure and focus. Each exposure column A-E represents a different etch recipe. Since the etch recipe adjustment applies to CD in this exemplary embodiment, the etch recipes' assignments are aligned with the exposure columns. FIG. 2B represents an expansion of each cell of FIG. 2A. A measured CD value (e.g., in box I) and an inspection waveform (e.g., in box II) are linked within a cell to ΔfnΔEm (e.g., in box III), to an etch recipe (e.g., in box IV) and to a cross section or image of the profile of the inspected feature (e.g., in box V).
  • An exemplary embodiment of the present invention is implemented using a review tool in a [0037] processing line 300, as shown in FIG. 3, comprising an imager 310, e.g., a CD-SEM such as the VeraSEM™ available from Applied Materials of Santa Clara, Calif., or an optical imager as disclosed in U.S. Pat. No. 5,963,329. Processing line 300 further comprises a processor 320, which preferably performs the analysis disclosed herein electronically, and a monitor 330 for displaying results of the analyses of processor 320. Processor 320 can be in communication with a memory device 340, such as a semiconductor memory, and a computer software-implemented database system 350 known as a “manufacturing execution system” (MES) conventionally used for storage of process information. Processor 320 can also be in communication with previously-described photo cell 360 and etcher 370.
  • FIG. 4A is a flow chart illustrating the major steps of process control according to an embodiment of the present invention, implemented in conjunction with inspecting a feature (hereinafter called a “target feature”) such as transistor gate formed on a semiconductor wafer W at [0038] photo cell 360. At step 410, the reference library is created, including reference CDs and waveforms in the form of SEM or optical waveforms, and stored locally in processor 320 or in MES 350. The stepper settings associated with each of the reference waveforms and the appropriate etch recipes are stored along with the waveforms. Profile images can also be stored, if desired by the user. The reference library is created only once for each layer to be inspected, such as when a series of process steps, such as photo cell 360, creates a “critical layer” that the user determines must be inspected. The golden waveform; i.e., the waveform associated with the reference feature exhibiting optimal CD and/or other characteristics, is selected at step 420.
  • Wafer W, having features with unknown CD and other characteristics, is brought to imager [0039] 310 from photo cell 360, the target feature is imaged by imager 310 at step 430, and its waveform is stored as a target waveform. At step 440, the target waveform is compared to the stored golden waveform. If the target waveform and golden waveform match within predetermined limits, the CD of the target feature is reported to the user, as by a display on monitor 330, along with a “matching score” indicating the amount of deviation of the target waveform from the golden waveform (see step 441). The results (i.e., the data) from the inspection are then sent to MES 350, and wafer W is sent to etcher 370 for further processing.
  • If the target waveform does not match the golden waveform, the target waveform is compared to each of the reference waveforms in the library to identify the reference waveform most closely matching the target waveform (see step [0040] 450). The reported stepper settings are compared with those associated with the golden waveform at step 460 to determine the difference dEdF between the settings which produced the golden waveform and those which produced the target waveform; e.g., determine the difference between the focus setting associated with the golden waveform and the focus setting associated with the target waveform, and determine the difference between the exposure setting associated with the golden waveform and the exposure setting associated with the target waveform. This information is then sent to photo cell 360, where it is used to correct the stepper settings to minimize “drift” in the stepper, which would cause CD variations in subsequently processed wafers, by indicating the amount of adjustment to the stepper that is required, as well as which particular adjustments (i.e., focus, exposure, or both) should be made.
  • Next, dE and dF are compared to predetermined threshold values at [0041] step 470. If dE and dF are not greater than the predetermined threshold values, the CD and matching score of the target feature are reported at step 471, the data from the inspection is then sent to MES 350, and wafer W is sent to etcher 370. On the other hand, if dE and dF are greater than the predetermined threshold values, the CD and matching score of the target feature is reported at step 480, along with dE and dF and the associated etch recipe, which is sent to etcher 370 to adjust (or “update”) the etch recipe to correct the CD deviation of the finished features on wafer W. The etch recipes can typically adjust the CD within a range of about 10% or less.
  • The feedback and feed-forward of [0042] steps 460 and 480 can be done manually or automatically. In “manual mode”, the user takes the reported process correction information and implements it manually at photo cell 360 and/or etcher 370. This allows expert input from the user to decide the need for process adjustment. In “automatic mode”, the process correction information is automatically fed to the stepper in photo cell 360 or to etcher 370 to effect the correction through recipe updating. This mode can be implemented by a software interface allowing communication between processor 320 and etcher 370, and between processor 320 and photo cell 360. The predetermined threshold test of step 470 can be used as a sensitivity filter to determine if updating is necessary. The automatic mode is advantageous because it enables quick feedback and consistency.
  • The above embodiment of the present invention has been described relative to a “golden waveform” technique. However, it should be realized that any CD measurement technique capable of correlating metrology such as CD measurement to an etch recipe and to feature profile and/or cross-section can be used to implement the present invention. An example of such a technique is discussed in “An Inverse Scattering Approach to SEM Line Width Measurements”, Mark P. Davidson and Andras E. Vladar, Proceedings of SPIE, Vol 3677 (1999), the entire disclosure of which is hereby incorporated by reference. In this particular technique, SEM waveforms are matched to a library of Monte Carlo simulations to predict the sidewall shape and dimensions of a feature (i.e., the feature profile). [0043]
  • Typically, the exemplary methodology is carried out after a lot of wafers, such as about 25 wafers, is processed by [0044] photo cell 360. A number of wafers W from the lot are selected to be inspected, according to the user's preference. For example, when manufacturing microprocessors, 1-3 wafers are typically selected for inspection; however, when manufacturing memory devices such as DRAMs, only one wafer is typically inspected per lot. A number of sites on each selected wafer W are usually inspected by the present methodology (i.e., to be target features at step 430), such as about 9-17 sites per wafer W.
  • To determine the etch recipe to be implemented at [0045] step 480 when a number of target features from one or more wafers W in a lot are inspected, the CDs of all the target features of the lot can be averaged, and the etch recipe associated with the average CD used to adjust the etch processing of the lot. To determine the stepper focus and exposure information (dEdF) fed back to photo cell 360 at step 460 to adjust the photolithographic processing of following lots when a number of target features in a lot are inspected, the user can employ previously gathered process information to decide which sites on selected wafers W to inspect, and then decide which inspected feature's information to use to adjust photo cell 360.
  • This is illustrated in FIG. 4B, which is a flow chart of an embodiment of the invention. At [0046] step 490, the user maps field to field CD variations across a number of wafers prior to inspection using the present methodology. This is a standard process control technique practiced by virtually all wafer fabricators. It indicates which areas of the wafer typically have small CD variations from the design value, and which areas of the wafer typically have a large CD variation. For example, some wafer processing equipment (e.g., photo cell 360) produces wafers having a small CD variation in the center of the wafer and larger CD variations at the periphery. Other equipment produces wafers having large CD variations near the center of the wafer and small CD variations in a band surrounding the center. After mapping the CD variations, the user identifies, at step 491, an area or areas of the wafers that exhibit the worst CD variation.
  • Next, the user selects a threshold CD variation representing the smallest CD deviation the user wishes to correct (see step [0047] 492). Target features are then inspected at step 493 using the inventive methodology (e.g., steps 430 et seq. described above). Target features are selected such that fields in the worst part of the wafer, identified at step 491, are represented. If the field to field variation of the inspected features is smaller than the predetermined threshold (see step 494), dEdF associated with any one of the target features can be fed back to photo cell 360 for use in adjusting the processing of subsequent lots (step 495), since they are relatively close to each other. On the other hand, if the field to field variation of the inspected features is larger than the threshold value selected in step 492, dEdF associated with an inspected feature from the predetermined worst site from step 491 is fed back to photo cell 360 (see step 496). Thus, the worst CD variation is corrected in subsequent lots.
  • At [0048] step 497, the CDs of the inspected features are averaged, and at step 498, the etch recipe associated with the average CD is fed forward to etcher 370 to adjust (or “update”) the etch recipe to correct the CD deviation of the features on the wafers in the inspected lot. Thus, this embodiment of the present invention allows the user to employ information, such as field to field CD variation maps, that they gather as a matter of course independently of implementing the present invention, to reduce lot to lot variation with minimal added cost and inspection time.
  • In another embodiment of the invention, the step of comparing the target waveform to the golden waveform (see FIG. 4A, step [0049] 440) and the step of matching the target waveform to one of the reference waveforms (step 450) comprise comparing only a selected portion of the target waveform and the reference/golden waveforms which represents a significant feature of the profile under inspection. By analyzing only a portion of the waveforms containing the most pertinent information, processing time is reduced. FIG. 6A depicts a typical feature profile, FIG. 6B shows the corresponding inspection waveform of the feature of FIG. 6A, and FIG. 6C is a graphical representation of the first derivative of the waveform of FIG. 6B. Since portions 601 a and 603 a are the most important areas of the feature profile to be inspected, and correspond to segments 601 b and 603 b of the waveform in FIG. 6B and segments 601 c and 603 c of the first derivative shown in FIG. 6C, it is advantageous to match segments 601 c or 603 c of the first derivatives of the target and reference waveforms.
  • In still another embodiment of the invention, as illustrated in the flow chart of FIG. 6D, if the target waveform does not match the golden waveform in [0050] step 440 of the flow chart of FIG. 4A, the first derivative of the target and reference waveforms are taken (step 610), and divided into segments at step 620, such as segments 601 c, 602 c and 603 c in FIG. 6C. Then, each of segments 601 c, 602 c and 603 c of the derivative of the target waveform is separately matched to a corresponding segment of the derivative of a reference waveform (see steps 630, 640, 650), which is associated with a portion of a known profile (e.g., portion 601 a, 602 a, 603 a of FIG. 6A). Thus, the profile of the target feature is predicted by assembling “building blocks” of matching segments (step 660). An examination of the predicted profile will indicate what part or parts of the profile deviate from design standards, and such information can be used by one skilled in the art to trace photolithographic processing problems, such as focus and exposure problems.
  • In a further embodiment of the present invention, the step of comparing the target waveform to the golden waveform (step [0051] 440) and the step of matching the target waveform to one of the reference waveforms (step 450) comprise employing an algorithm to “fit a curve” to the target and reference waveforms such as depicted in FIG. 6B; that is, to obtain a mathematical function or “formula” representative of the shape of each of the waveforms. Then, corresponding significant elements of the mathematical functions representative of the target and reference waveforms are compared to determine which reference image most closely matches the target image. For example, if the target and reference waveforms are represented by the function y=F(x, a, b), the reference waveform whose values of x, a and b most closely match the x, a and b values of the target waveform is the reference waveform that most closely matches the target waveform (where a and/or b can be a constant or exponent of x).
  • In a still further embodiment of the present invention, the step of comparing the target waveform to the golden waveform (step [0052] 440) and the step of matching the target waveform to one of the reference waveforms (step 450) comprise using the target and reference waveforms to generate images of the target feature and the reference features, and then comparing the generated images. In other words, waveforms as illustrated in FIG. 6B are manipulated using conventional computer graphics techniques to generate images as shown in FIG. 6A. The generated images are then matched, as by well-known pattern recognition techniques such as boundary analysis and grey-scale analysis.
  • In further embodiments of the present invention, an apparatus for processing a semiconductor wafer is provided wherein a wafer is removed from a wafer cassette, and a dimension of a feature on the surface of the wafer is measured, such as feature CD using an optical measuring tool. A process, such as an etch process, is then performed on the wafer using a set of process parameter values, such as an etch recipe, selected based on the CD measurement, and the wafer is returned to a cassette. In some embodiments, post-etch processing, such as ash stripping, wet cleaning and/or further CD measurement, are performed by the apparatus before the wafer is returned to a cassette. All of the transfer and processing steps performed by the apparatus are performed in a clean environment, thereby increasing yield by avoiding exposing the wafer to the atmosphere and possible contamination between steps. [0053]
  • Furthermore, these embodiments of the present invention provide for pre-etch CD measurement of every wafer and adjustment of the etch recipe for every wafer according to its CD measurement to correct for process variations in previously visited tools, such as exposure and focus variations at a photo cell. This is in contrast to prior art methodologies, which typically measure CD of sample wafers before and/or after etch processing and use this information for processing the next batch of wafers. The present invention increases yield by performing real time measurement of every wafer and adjusting the etch recipe for every wafer. [0054]
  • An apparatus for processing a semiconductor wafer according to the present invention will now be described with reference to FIG. 9A. The apparatus comprises a chamber or “mainframe” [0055] 901, such as the Centura™ processing system, available from Applied Materials of Santa Clara, Calif., for mounting a plurality of processing chambers, such as conventional etch processors 902, and one or more transfer chambers 903, also called “load locks”. Mainframe 901 is capable of maintaining a vacuum environment in its interior. A robot 904 is provided for transferring wafers between processing chambers 902 and transfer chambers 903.
  • [0056] Transfer chambers 903 are connected to a factory interface 905, also known as a “mini environment”, which maintains a controlled environment. A CD measurement tool 906, such as an optical measurement tool utilizing scatterometry or reflectometry techniques, is mounted inside factory interface 905. An example of a tool that can be used as measurement tool 906 is imager 310 described above (see FIGS. 3 and 4A), which can include the CD measurement tool described in U.S. Pat. No. 5,963,329. A processor (i.e., a processor corresponding to processor 320) to provide etcher 902 an etch recipe based on the wafer CD measurement can be part of etcher 902 or mainframe 901. One or more robots 907, or a track robot, are also mounted inside factory interface 905 for transferring wafers between transfer chambers 903, measurement tool 906 and standard wafer cassettes 908 removably attached to factory interface 905. Mainframe 901, transfer chambers 903, factory interface 905 and robots 904, 907 are all parts of a conventional processing system such as the above-referenced Applied Materials Centura™, and communicate with each other while maintaining a clean, controlled environment. Such conventional processing systems further comprise a processor, such as a computer (not shown) to electronically control the operation of the system, including the transfer of wafers from one part of the system to another.
  • The operation of the apparatus according to this embodiment of the present invention will now be described with reference to the flow chart of FIG. 10. After a plurality of wafers are processed at a processing tool, such as [0057] photo cell 360 described above, they are loaded into a cassette 908, and the cassette is transferred to factory interface 905 at step 1010. A wafer is then unloaded from cassette 908 and transferred to measurement tool 906 by robot 907 (step 1020) and the CD of a feature or features formed on the surface of the wafer are measured at step 1030. In certain embodiments of the present invention, the wafer is also optically aligned at measurement tool 906; that is, the notch of the wafer is oriented to a predetermined position. For example, measurement tool 906 can align the wafer, perform its CD measurement, then re-align the wafer if necessary (since it may have been moved during inspection) to ensure that the wafer notch is in the proper position for subsequent handling by robot 907.
  • At [0058] step 1040, an etch recipe for the wafer is selected based on the wafer's CD measurement. For example, a “signature analysis” can be carried out for the wafer's CD measurement data according to the embodiment of the present invention of FIGS. 3 and 4A-4B, described above, wherein data from the CD measurement is compared to reference data from a library to search for variations, which are then parameterized (i.e., converted into etch process parameters). Alternatively, a root coupled wave analysis (RCWA) can be performed, wherein a CD corresponding to a given waveform is derived by calculation, such as by a processor in an optical inspection tool. RCWA is discussed in Chateau, “Algorithm for the rigorous couple-wave analysis of grating diffraction”, Journal of the Optical Society of America, Vol. 11, No. 4 (April 1994) and Moharam, “Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach”, Journal of the Optical Society of America, Vol. 12, No. 3 (May 1995). As also described in the embodiment of FIGS. 3, 4A and 4B, the results of this analysis can also be fed back to photo cell 360 to select revised stepper settings.
  • At [0059] step 1050, the wafer is transferred from measurement tool 906 to etcher 902 using robot 907 to move the wafer to transfer chamber 903, and using robot 904 to move the wafer to etcher 902. The wafer is then etched (step 1060). This etch step can be a resist trim step, a feature formation step (e.g., a polysilicon gate etch), or both. Next, in one embodiment of the present invention, the wafer is returned to cassette 908 via robots 904, 907 and transfer chamber 903 (step 1090).
  • Alternatively, the wafer can first be transferred back to [0060] measurement tool 906 for a post-etch CD measurement (steps 1070 and 1080) before being loaded into cassette 908. Data acquired by measurement tool 906 can be compared to post-etch data from previously processed wafers. If a significant variation from the normal post-etch data is observed, the wafer can then be returned to cassette 908, then cleaned and reviewed at a review tool, such as a CD-SEM. Thus, measurement tool 906 is used as a process monitor to indicate when review is necessary.
  • In a further embodiment of the present invention illustrated in FIG. 9B, conventional ashing strip processors [0061] 909 (called “ASPs”) are mounted on mainframe 901 along with etchers 902. ASPs 909 are for removing photoresist polymers and other residue from wafers after etching. Factory interface 905 a has a CD measurement tool 906 a mounted to it (instead of inside it as in the embodiment of FIG. 9A) as well as an integrated particle monitor 910 (called an “IPM”), such as the Excite™ available from Applied Materials of Santa Clara, Calif. IPM 910 is for detecting foreign particles and other defects on the surface of wafers.
  • The apparatus of FIG. 9B operates according to the flow chart of FIG. 10 as described above, except that after the wafer is etched at [0062] step 1060, it is cleaned in ASP 909 before being transferred to measurement tool 906 a at step 1070 for post-etch CD measurement (step 1080). The wafer then visits IPM 910 before being returned to cassette 908 at step 1090.
  • In a still further embodiment of the present invention illustrated in FIG. 9C, wet [0063] clean modules 911, as described, e.g., in U.S. patent application Ser. No. 09/603,792, are mounted on factory interface 905 b along with measurement tool 906 a and IPM 910. The apparatus of FIG. 9C operates according to the flow chart of FIG. 10 as described above, except that after the wafer is etched at step 1060, it is cleaned in ASP 909 and wet clean module 911 before being transferred to measurement tool 906 a at step 1070 for post-etch CD measurement (step 1080). The wafer then visits IPM 910 before being returned to cassette 908 at step 1090.
  • The embodiments of the present invention illustrated in FIGS. [0064] 9A-C provide pre-etch CD measurement, etching, cleaning, and post-etch CD measurement entirely under controlled environmental conditions. By providing etching, cleaning and measurement tools on the mainframe and/or factory interface, the wafer can be etched, cleaned and inspected before being returned to a cassette, thereby reducing processing time and cost. Moreover, the embodiments of FIGS. 9A-C provide feedback and feed forward of CD measurement data in real time for every wafer, thereby enabling etch processing to be customized for every wafer to increase yield. Thus, the present invention provides increases in yield and decreases in production costs vis-á-vis prior art systems, wherein feedback from CD measurements, if any, is on a lot-to-lot basis rather than for every wafer, and wafers must be exposed to the atmosphere between measuring, etching and cleaning steps.
  • Further exemplary embodiments of the present invention, which can be implemented using the apparatus of FIGS. [0065] 9A-9C, will now be described with reference to the flow charts of FIGS. 7 and 8. In these embodiments of the present invention, CD at the resist trim and feature etch processes (such as gate etch, shallow trench isolation (STI) trench etch, via etch, contact hole etch, metal etch, etc.) is tightly controlled using feedback and feed forward of CD measurement in real time under controlled environmental conditions.
  • Referring now to FIGS. 7 and 9A, a plurality of wafers are processed in a conventional manner at a processing tool, such as [0066] photo cell 360 described above, to expose and develop a photoresist layer to form patterns in the photoresist. As in previously described embodiments, the wafers are loaded into cassette 908, the cassette is transferred to factory interface 905, a wafer is then unloaded from cassette 908 and transferred to measurement tool 906 by robot 907, the wafer is aligned, and the CD of the photoresist patterns (“PRCD In”) is measured at step 720.
  • At [0067] step 730, a trim recipe for the photoresist is selected based on the photoresist patterns' CD measurement. For example, a signature analysis or RCWA as described above can be carried out for the patterns' CD measurement data, and the results of this analysis fed forward to update a process model M1 used to adjust the trim recipe for the wafer.
  • The wafer is transferred from [0068] measurement tool 906 to etcher 902 using robot 907 to move the wafer to transfer chamber 903, and using robot 904 to move the wafer to etcher 902. The photoresist patterns are then etched (step 740) to trim or shrink the photoresist patterns to a target size. The resist trim is typically an isotropic etch step. Next, the wafer is transferred back to measurement tool 906 for a post-trim CD measurement (“PRCD Out”) at step 750. PRCD Out is fed back to update process model M1 to adjust the trim recipe for subsequently processed wafers.
  • The wafer is then transferred by [0069] robot 904 to the same or another etch chamber 902, and the layer underlying the photoresist is etched at step 760, using the trimmed photoresist patterns as a mask, to form features such as transistor gates, trenches, vias, etc. of the desired size. In one embodiment of the present invention, the wafer is transferred back to measurement tool 906 for a post-etch CD measurement (“Feature CD”) at step 770 before being returned to cassette 908. Data acquired by measurement tool 906 can be compared to post-etch data from previously processed wafers at step 780. If a significant variation from the normal post-etch data is observed, the wafer can then be returned to cassette 908, then cleaned and reviewed at a review tool, such as a CD-SEM. Thus, measurement tool 906 is used as a process monitor to indicate when review is necessary.
  • In a further embodiment of the present invention illustrated in FIG. 8, a process identical to that described above with reference to FIG. 7 is carried out, except that after the etched features' CD is measured at [0070] step 770, the data is fed back to update a process model M2 to select an etch recipe for subsequently processed wafers at step 880. In a still further embodiment of the present invention, feature CD data acquired at step 770 is also fed back to update process model M1 to adjust the trim recipe for subsequently processed wafers at step 730.
  • Although the embodiments of FIGS. 7 and 8 have been described with reference to the apparatus of FIG. 9A for convenience, it should be understood that these embodiments can be implemented at the apparatus of FIGS. 9B and 9C. Of course, the cleaning and/or further inspection steps described above available at the apparatus of FIGS. 9B and 9C can be performed as appropriate and/or desired. [0071]
  • FIG. 5 is a block diagram that illustrates an embodiment of the invention. According to this embodiment, [0072] processor 320, as shown in FIG. 5, includes a bus 502 or other communication mechanism for communicating information, and a central processing unit 504 coupled with bus 502 for processing information. Processor 320 also includes a main memory 506, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 502 for storing information and instructions to be executed by CPU 504. Main memory 506 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by CPU 504. Processor 320 further includes a read only memory (ROM) 508 or other static storage device coupled to bus 502 for storing static information and instructions for CPU 504. A storage device 510, such as a magnetic disk or optical disk, is provided and coupled to bus 502 for storing information and instructions. Storage device 510 may also serve as memory 340 in FIG. 3.
  • [0073] Processor 320 may be coupled via bus 502 to monitor 330, such as a cathode ray tube (CRT), for displaying information to a computer user. An input device 514, including alphanumeric and other keys, is coupled to bus 502 for communicating information and command selections to CPU 504. Another type of user input device is cursor control 516, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to CPU 504 and for controlling cursor movement on monitor 330.
  • Imager [0074] 310 (FIG. 3) inputs data representative of features of a semiconductor wafer under inspection, as discussed above, to bus 502. Such data may be stored in main memory 506 and/or storage device 510, and used by CPU 504 as it executes instructions. Imager 310 may also receive instructions via bus 502 from CPU 504.
  • The invention is related to the use of [0075] processor 320 for inspecting features formed on the surface of a semiconductor wafer and controlling processing of the wafer. According to one embodiment of the invention, inspection of the surface of the wafer and wafer process control is provided by processor 320 in response to CPU 504 executing one or more sequences of one or more instructions contained in main memory 506. Such instructions may be read into main memory 506 from another computer-readable medium, such as storage device 510. Execution of the sequences of instructions contained in main memory 506 causes CPU 504 to perform the process steps described above. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 506. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions to implement the invention. Thus, embodiments of the invention are not limited to any specific combination of hardware circuitry and software. The programming of the apparatus is readily accomplished by one of ordinary skill in the art provided with the flow chart of FIG. 4.
  • The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to [0076] CPU 504 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device 510. Volatile media include dynamic memory, such as main memory 506. Transmission media include coaxial cable, copper wire and fiber optics, including the wires that comprise bus 502. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, or any other medium from which a computer can read.
  • Various forms of computer-readable media may be involved in carrying out one or more sequences of one or more instructions to [0077] CPU 504 for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to processor 320 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus 502 can receive the data carried in the infrared signal and place the data on bus 502. Bus 502 carries the data to main memory 506, from which CPU 504 retrieves and executes the instructions. The instructions received by main memory 506 may optionally be stored on storage device 510 either before or after execution by CPU 504.
  • The inventive process control technique enables lot to lot CD variations to be reduced without significantly reducing production throughput by using data gathered during the inspection process to adjust photolithography and etch process parameters. The inventive methodology determines an inspected feature's stepper settings and optimal etch recipe by comparing its SEM waveform with the waveforms of a library of reference features obtained by forming a pre-production FEM. Therefore, the present invention is especially useful during the start-up and ramp-up of a production line. [0078]
  • The present invention is applicable to the manufacture of various types of semiconductor devices, particularly high density semiconductor devices having a design rule of about 0.18μ and under. [0079]
  • The present invention can be practiced by employing conventional materials, methodology and equipment. Accordingly, the details of such materials, equipment and methodology are not set forth herein in detail. In the previous descriptions, numerous specific details are set forth, such as specific materials, structures, chemicals, processes, etc., in order to provide a thorough understanding of the present invention. However, it should be recognized that the present invention can be practiced without resorting to the details specifically set forth. In other instances, well known processing structures have not been described in detail, in order not to unnecessarily obscure the present invention. [0080]
  • Only the preferred embodiment of the present invention and but a few examples of its versatility are shown and described in the present disclosure. It is to be understood that the present invention is capable of use in various other combinations and environments and is capable of changes or modifications within the scope of the inventive concept as expressed herein. [0081]

Claims (33)

What is claimed is:
1. An apparatus for processing a semiconductor wafer, comprising:
a measuring tool for measuring a dimension of a structure on the wafer;
a first processing tool for performing a first process on the wafer using a first set of process parameter values;
a transfer mechanism for transferring the wafer between the measuring tool and the first processing tool;
a chamber for enclosing the transfer mechanism and allowing communication between the transfer mechanism, the measuring tool and the first processing tool in a clean environment; and
a processor configured to select the first set of process parameter values based on the measurement of the dimension.
2. The apparatus of claim 1, wherein the processor is further configured to:
select a second set of process parameter values based on the measurement of the wafer dimension, and
provide the second set of process parameter values to a previously visited processing tool.
3. The apparatus of claim 1, wherein the measuring tool is for measuring a critical dimension (CD) of a target feature on the wafer.
4. The apparatus of claim 3, wherein measuring tool optically measures the CD of the target feature.
5. The apparatus of claim 4, wherein the target feature CD is measured using scatterometry or reflectometry.
6. The apparatus of claim 3, wherein the chamber comprises:
a mainframe for mounting a plurality of processing tools, including the first processing tool;
a factory interface for housing the measurement tool and for mounting a wafer cassette; and
a transfer chamber between and in communication with the mainframe and the factory interface;
wherein the transfer mechanism comprises a first robot for transferring the wafer between the measurement tool, the transfer chamber and the wafer cassette, and a second robot for transferring the wafer between the transfer chamber and the first processing tool.
7. The apparatus of claim 3, wherein the first processing tool comprises an etcher, and the first process parameter values comprise an etch recipe.
8. The apparatus of claim 3, wherein the measuring tool is for aligning the wafer.
9. The apparatus of claim 1, wherein the processor is configured to:
control the transfer mechanism to transfer the wafer from the first processing tool to the measuring tool after the first process is performed on the wafer; and
control the measuring tool to re-measure the dimension of the wafer.
10. The apparatus of claim 7, wherein the chamber comprises a mainframe to which the etcher is mounted, a factory interface to which the measurement tool and a wafer cassette are mounted, and a transfer chamber between the mainframe and the factory interface; and
wherein the transfer mechanism comprises a robot for transferring the wafer between the measurement tool, the transfer chamber and the wafer cassette.
11. The apparatus of claim 10, further comprising an ashing strip processing unit mounted to the mainframe for removing residue from the wafer after the first process is performed on the wafer.
12. The apparatus of claim 10, further comprising a cleaning module mounted to the factory interface for cleaning the wafer;
wherein the processor is configured to control the transfer mechanism to transfer the wafer from the cassette to the measuring tool, to transfer the wafer from the measuring tool to the first processing tool after the CD of the target feature is measured, to transfer the wafer from the first processing tool to the cleaning module after the first process is performed on the wafer; and to transfer the wafer from the cleaning module to the cassette after the wafer has been cleaned.
13. A method of processing a semiconductor wafer, comprising:
(a) removing the wafer from a wafer cassette;
(b) measuring a dimension of a structure on the wafer at a measuring tool;
(c) performing a process on the wafer at a processing tool using a set of process parameter values based on the measurement of the dimension;
(d) re-measuring the dimension; and
(e) returning the wafer to the cassette;
wherein steps (a)-(e) are performed in a contiguous clean environment.
14. The method of claim 13, comprising selecting the set of process parameter values for a subsequently processed wafer using the re-measurement of the dimension.
15. The method of claim 13, comprising performing an etch process on the wafer.
16. The method of claim 15, comprising cleaning the wafer after performing the etch process and prior to returning the wafer to the cassette.
17. The apparatus of claim 1, wherein the chamber comprises a mainframe for mounting a plurality of processing tools;
wherein the first processing tool is mounted to the mainframe; and
wherein the transfer mechanism comprises a robot.
18. The apparatus of claim 17, wherein the measuring tool is mounted to the mainframe.
19. The apparatus of claim 10, further comprising a particle monitor mounted to the factory interface and in communication with the transfer mechanism.
20. An apparatus for processing a semiconductor wafer, comprising:
a measuring tool for imaging the wafer to obtain a waveform representative of a CD of a target feature on the wafer;
a storage medium that stores a plurality of reference waveforms, each reference waveform representative of a reference feature CD and associated with a different known set of first process parameter values; and
a processor configured to identify the reference waveform that most closely matches the target feature waveform to obtain the first process parameter values for performing a first process on the wafer.
21. The apparatus of claim 20, comprising:
a first processing tool for performing the first process on the wafer;
a transfer mechanism for transferring the wafer between the measuring tool and the first processing tool; and
a chamber for enclosing the transfer mechanism and allowing communication between the transfer mechanism, the measuring tool and the first processing tool in a clean environment;
wherein the processor is further configured to control the first processing tool to perform the first process on the wafer using the first set of process parameter values.
22. The apparatus of claim 21, wherein the processor is further configured to:
select a second set of process parameter values based on the imaging of the target feature CD, and
provide the second set of process parameter values to a previously visited processing tool.
23. The apparatus of claim 21, wherein the measuring tool optically images the target feature CD.
24. The apparatus of claim 21, wherein the first processing tool comprises an etcher, and the first process parameter values comprise an etch recipe.
25. The apparatus of claim 21, wherein the processor is configured to:
control the transfer mechanism to transfer the wafer from the first processing tool to the measuring tool after the first process is performed on the wafer; and
control the measuring tool to re-measure the dimension of the wafer.
26. The apparatus of claim 21, wherein the chamber comprises:
a mainframe for mounting a plurality of processing tools, including the first processing tool;
a factory interface for housing the measurement tool and for mounting a wafer cassette; and
a transfer chamber between and in communication with the mainframe and the factory interface;
wherein the transfer mechanism comprises a first robot for transferring the wafer between the measurement tool, the transfer chamber and the wafer cassette, and a second robot for transferring the wafer between the transfer chamber and the first processing tool.
27. The apparatus of claim 21, wherein the chamber comprises a mainframe to which the etcher is mounted, a factory interface to which the measurement tool and a wafer cassette are mounted, and a transfer chamber between the mainframe and the factory interface; and
wherein the transfer mechanism comprises a robot for transferring the wafer between the measurement tool, the transfer chamber and the wafer cassette.
28. The apparatus of claim 20, comprising:
selecting one of the reference waveforms as a golden waveform;
comparing the target feature waveform with the golden waveform; and
comparing the target feature waveform to other reference waveforms in the library to identify the reference waveform that most closely matches the target feature waveform when the target feature waveform deviates from the golden waveform by more than a predetermined threshold amount.
29. The apparatus of claim 22, wherein the previously visited processing tool is a photolithographic processing tool, and the second set of process parameter values comprise stepper focus and exposure settings.
30. The apparatus of claim 1, wherein the structure on the wafer is a pattern in a photoresist layer, the first processing tool is a first etcher, and the first process parameter values comprise a first etch recipe for trimming the pattern in the photoresist layer;
wherein the processor is further configured to:
control the transfer mechanism to transfer the wafer from the first etcher to the measuring tool after the first process is performed on the wafer;
control the measuring tool to re-measure the dimension of the photoresist pattern;
control the transfer mechanism to transfer the wafer from the measuring tool to a second etcher after the dimension of the photoresist pattern is re-measured;
control the second etcher to perform a second process on a layer of the wafer underlying the photoresist pattern using a second etch recipe to form an etched feature; and
select the first set of process parameter values for a subsequently processed wafer using the re-measurement of the dimension of the photoresist pattern.
31. The apparatus of claim 30, wherein the processor is further configured to:
control the transfer mechanism to transfer the wafer from the second etcher to the measuring tool after the second process is performed; and
control the measuring tool to measure the dimension of the etched feature.
32. The apparatus of claim 31, wherein the processor is further configured to select the second set of process parameter values for a subsequently processed wafer using the measurement of the dimension of the etched feature.
33. The apparatus of claim 32, wherein the processor is further configured to select the first set of process parameter values for a subsequently processed wafer using the measurement of the dimension of the etched feature.
US10/302,862 2001-07-10 2002-11-25 Semiconductor processing module with integrated feedback/feed forward metrology Abandoned US20030106642A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/302,862 US20030106642A1 (en) 2001-07-10 2002-11-25 Semiconductor processing module with integrated feedback/feed forward metrology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/901,462 US6625497B2 (en) 2000-11-20 2001-07-10 Semiconductor processing module with integrated feedback/feed forward metrology
US10/302,862 US20030106642A1 (en) 2001-07-10 2002-11-25 Semiconductor processing module with integrated feedback/feed forward metrology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/901,462 Continuation US6625497B2 (en) 2000-11-20 2001-07-10 Semiconductor processing module with integrated feedback/feed forward metrology

Publications (1)

Publication Number Publication Date
US20030106642A1 true US20030106642A1 (en) 2003-06-12

Family

ID=25414232

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/901,462 Expired - Fee Related US6625497B2 (en) 2000-11-20 2001-07-10 Semiconductor processing module with integrated feedback/feed forward metrology
US10/302,862 Abandoned US20030106642A1 (en) 2001-07-10 2002-11-25 Semiconductor processing module with integrated feedback/feed forward metrology

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/901,462 Expired - Fee Related US6625497B2 (en) 2000-11-20 2001-07-10 Semiconductor processing module with integrated feedback/feed forward metrology

Country Status (7)

Country Link
US (2) US6625497B2 (en)
EP (1) EP1405338A2 (en)
JP (1) JP2005521235A (en)
KR (1) KR20040020906A (en)
AU (1) AU2002316463A1 (en)
TW (1) TW546697B (en)
WO (1) WO2003007365A2 (en)

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040139420A1 (en) * 2003-01-13 2004-07-15 Travis Brist Method for improving OPC modeling
WO2004063883A2 (en) * 2003-01-09 2004-07-29 Evolution Robotics, Inc. Vision- and environment-based programming of robots and/or computer systems
US20040260420A1 (en) * 2003-06-20 2004-12-23 Tokyo Electron Limited. Processing method and processing system
WO2005067009A2 (en) * 2003-12-24 2005-07-21 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060272676A1 (en) * 2005-06-01 2006-12-07 Masao Iwase Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer
US20070011644A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20070009808A1 (en) * 2003-04-06 2007-01-11 Abrams Daniel S Systems, masks, and methods for manufacturable masks
US20070156275A1 (en) * 2005-12-30 2007-07-05 Daniel Piper Automated metrology recipe generation
US20070178699A1 (en) * 2006-01-31 2007-08-02 Matthias Schaller Method and system for advanced process control in an etch system by gas flow control on the basis of cd measurements
US20070186208A1 (en) * 2005-10-03 2007-08-09 Abrams Daniel S Mask-Pattern Determination Using Topology Types
US20070184369A1 (en) * 2005-10-03 2007-08-09 Abrams Daniel S Lithography Verification Using Guard Bands
US20070184357A1 (en) * 2005-09-13 2007-08-09 Abrams Daniel S Systems, Masks, and Methods for Photolithography
US20070198966A1 (en) * 2003-04-06 2007-08-23 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US20070196742A1 (en) * 2005-10-04 2007-08-23 Abrams Daniel S Mask-Patterns Including Intentional Breaks
US20080011322A1 (en) * 2006-07-11 2008-01-17 Frank Weber Cleaning systems and methods
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US20100021824A1 (en) * 2006-09-20 2010-01-28 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US20100119143A1 (en) * 2006-09-20 2010-05-13 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US20110194752A1 (en) * 2010-02-05 2011-08-11 Linyong Pang Extending the Field of View of a Mask-Inspection Image
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US10497557B2 (en) * 2013-02-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US10912220B2 (en) 2010-02-02 2021-02-02 Apple Inc. Protection and assembly of outer glass surfaces of an electronic device housing
WO2021225587A1 (en) * 2020-05-06 2021-11-11 Kla Corporation Inter-step feedforward process control in the manufacture of semiconductor devices
TWI820680B (en) * 2021-05-05 2023-11-01 美商昂圖創新公司 Methods and devices for modeling effective cell approximations for logic structures

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7187994B1 (en) * 2000-08-18 2007-03-06 Kla-Tencor Technologies Corp. Method of interfacing ancillary equipment to FIMS processing stations
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7282889B2 (en) * 2001-04-19 2007-10-16 Onwafer Technologies, Inc. Maintenance unit for a sensor apparatus
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
JP3708031B2 (en) * 2001-06-29 2005-10-19 株式会社日立製作所 Plasma processing apparatus and processing method
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
CN1208809C (en) * 2001-07-31 2005-06-29 旭化成微系统株式会社 Semiconductor manufacturing apparatus control system
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
AU2002341677A1 (en) * 2001-09-18 2003-04-01 Applied Materials, Inc. Integrated equipment set for forming an interconnect on a substrate
US20030220708A1 (en) * 2001-11-28 2003-11-27 Applied Materials, Inc. Integrated equipment set for forming shallow trench isolation regions
JP3686866B2 (en) * 2001-12-18 2005-08-24 株式会社日立製作所 Semiconductor manufacturing apparatus and manufacturing method
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6751518B1 (en) * 2002-04-29 2004-06-15 Advanced Micro Devices, Inc. Dynamic process state adjustment of a processing tool to reduce non-uniformity
US6895295B1 (en) * 2002-05-06 2005-05-17 Advanced Micro Devices, Inc. Method and apparatus for controlling a multi-chamber processing tool
US20040007325A1 (en) * 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20040206621A1 (en) * 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6924088B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
DE10252614A1 (en) * 2002-11-12 2004-05-27 Infineon Technologies Ag Method, device, computer-readable storage medium and computer program element for monitoring a manufacturing process of a plurality of physical objects
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US6939476B1 (en) * 2002-11-20 2005-09-06 National Semiconductor Corporation Method for real time metal ETCH critical dimension control
US6830941B1 (en) * 2002-12-17 2004-12-14 Advanced Micro Devices, Inc. Method and apparatus for identifying individual die during failure analysis
US20040200574A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method for controlling a process for fabricating integrated devices
US6889149B2 (en) * 2003-04-25 2005-05-03 Asm International N.V. System and method for fingerprinting of semiconductor processing tools
US6808942B1 (en) 2003-05-23 2004-10-26 Texas Instruments Incorporated Method for controlling a critical dimension (CD) in an etch process
US7228257B1 (en) * 2003-06-13 2007-06-05 Lam Research Corporation Architecture for general purpose programmable semiconductor processing system and methods therefor
US7085676B2 (en) * 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8032348B2 (en) * 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8036869B2 (en) * 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US7094613B2 (en) * 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20050136335A1 (en) * 2003-12-17 2005-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Patterned microelectronic mask layer formation method employing multiple feed-forward linewidth measurement
US6999848B2 (en) * 2003-12-19 2006-02-14 Intel Corporation Process control apparatus, systems, and methods
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7289864B2 (en) * 2004-07-12 2007-10-30 International Business Machines Corporation Feature dimension deviation correction system, method and program product
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
JP2006128572A (en) * 2004-11-01 2006-05-18 Tokyo Electron Ltd Exposure condition correcting method, substrate processing apparatus, and computer program
JP4527652B2 (en) * 2004-11-16 2010-08-18 東京エレクトロン株式会社 Exposure condition setting method, substrate processing apparatus, and computer program
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060240651A1 (en) * 2005-04-26 2006-10-26 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for adjusting ion implant parameters for improved process control
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
WO2007058240A1 (en) * 2005-11-16 2007-05-24 Nikon Corporation Substrate processing method, photomask manufacturing method, photomask and device manufacturing method
JP5165878B2 (en) * 2006-10-20 2013-03-21 東京エレクトロン株式会社 Substrate processing apparatus control device, control method, and storage medium storing control program
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7493186B2 (en) * 2006-12-20 2009-02-17 International Business Machines Corporation Method and algorithm for the control of critical dimensions in a thermal flow process
US8688254B2 (en) * 2007-06-15 2014-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple tools using a single data processing unit
KR101504504B1 (en) * 2008-05-21 2015-03-20 케이엘에이-텐코어 코오포레이션 Substrate matrix to decouple tool and process effects
KR100985675B1 (en) * 2008-11-17 2010-10-05 하가전자 주식회사 Room Temperature Controller Used being Connected to Lighting Lamp Switch Lines.
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
JP5652654B2 (en) * 2011-02-07 2015-01-14 株式会社村田製作所 Film forming system and film forming method
TWI456684B (en) * 2011-06-29 2014-10-11 Grand Plastic Technology Co Ltd An apparatus of wet processor with wafer cassette automatically transfering from a spin dryer
WO2016037003A1 (en) 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
KR102321919B1 (en) 2015-05-22 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 Azimuthally tunable multi-zone electrostatic chuck
US10234401B2 (en) * 2016-02-22 2019-03-19 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
US9966316B2 (en) 2016-05-25 2018-05-08 Toshiba Memory Corporation Deposition supporting system, depositing apparatus and manufacturing method of a semiconductor device
SG11201810017VA (en) * 2016-06-02 2018-12-28 Universal Instruments Corp Semiconductor die offset compensation variation
US20200043764A1 (en) * 2018-03-20 2020-02-06 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10727143B2 (en) * 2018-07-24 2020-07-28 Lam Research Corporation Method for controlling core critical dimension variation using flash trim sequence
US11574846B2 (en) * 2019-12-15 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation of semiconductor devices
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN112133631B (en) * 2020-09-25 2022-11-18 上海华力微电子有限公司 Method for improving stability of grid etching morphology and etching equipment
CN112327581B (en) * 2020-10-29 2023-08-18 中国科学院微电子研究所 Optimization method for obtaining design layout of optimal exposure dose and electron beam exposure method

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4447731A (en) * 1980-12-05 1984-05-08 Hitachi, Ltd. Exterior view examination apparatus
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5517312A (en) * 1993-11-09 1996-05-14 Nova Measuring Instruments, Ltd. Device for measuring the thickness of thin films
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5980766A (en) * 1995-05-03 1999-11-09 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US6004706A (en) * 1997-08-28 1999-12-21 International Business Machines Corporation Etching parameter control system process
US6027842A (en) * 1997-08-28 2000-02-22 International Business Machines Corporation Process for controlling etching parameters
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6099598A (en) * 1993-07-15 2000-08-08 Hitachi, Ltd. Fabrication system and fabrication method
US6109430A (en) * 1998-09-04 2000-08-29 Uni-Charm Corporation Product housing stacked body of wet tissues
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6175417B1 (en) * 1998-02-13 2001-01-16 Micron Technology, Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6178239B1 (en) * 1998-03-04 2001-01-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by petri net principles and techniques
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6317211B1 (en) * 1996-05-02 2001-11-13 International Business Machines Corporation Optical metrology tool and method of using same
US6424417B1 (en) * 1998-06-14 2002-07-23 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US20020171828A1 (en) * 1998-07-14 2002-11-21 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US20030011786A1 (en) * 2000-09-20 2003-01-16 Ady Levy Methods and systems for determining overlay and flatness of a specimen
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6707562B1 (en) * 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61290312A (en) 1985-06-19 1986-12-20 Hitachi Ltd Sectional shape measuring apparatus
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US5607800A (en) 1995-02-15 1997-03-04 Lucent Technologies Inc. Method and arrangement for characterizing micro-size patterns
DE19952195A1 (en) * 1999-10-29 2001-05-17 Infineon Technologies Ag Plant for processing wafers

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4447731A (en) * 1980-12-05 1984-05-08 Hitachi, Ltd. Exterior view examination apparatus
US4911103A (en) * 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5171393A (en) * 1991-07-29 1992-12-15 Moffat William A Wafer processing apparatus
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US6099598A (en) * 1993-07-15 2000-08-08 Hitachi, Ltd. Fabrication system and fabrication method
US5517312A (en) * 1993-11-09 1996-05-14 Nova Measuring Instruments, Ltd. Device for measuring the thickness of thin films
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5980766A (en) * 1995-05-03 1999-11-09 Daniel L. Flamm Process optimization in gas phase dry etching
US6001699A (en) * 1996-01-23 1999-12-14 Intel Corporation Highly selective etch process for submicron contacts
US6317211B1 (en) * 1996-05-02 2001-11-13 International Business Machines Corporation Optical metrology tool and method of using same
US6007675A (en) * 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6440178B2 (en) * 1996-07-15 2002-08-27 Semitool, Inc. Modular semiconductor workpiece processing tool
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US5913102A (en) * 1997-03-20 1999-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterned photoresist layers with enhanced critical dimension uniformity
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6004706A (en) * 1997-08-28 1999-12-21 International Business Machines Corporation Etching parameter control system process
US6027842A (en) * 1997-08-28 2000-02-22 International Business Machines Corporation Process for controlling etching parameters
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6148239A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6054710A (en) * 1997-12-18 2000-04-25 Cypress Semiconductor Corp. Method and apparatus for obtaining two- or three-dimensional information from scanning electron microscopy
US6175417B1 (en) * 1998-02-13 2001-01-16 Micron Technology, Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6452677B1 (en) * 1998-02-13 2002-09-17 Micron Technology Inc. Method and apparatus for detecting defects in the manufacture of an electronic device
US6033814A (en) * 1998-02-26 2000-03-07 Micron Technology, Inc. Method for multiple process parameter matching
US6178239B1 (en) * 1998-03-04 2001-01-23 Genesys Telecommunications Laboratories Inc. Telephony call-center scripting by petri net principles and techniques
US6424417B1 (en) * 1998-06-14 2002-07-23 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US20020171828A1 (en) * 1998-07-14 2002-11-21 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US6109430A (en) * 1998-09-04 2000-08-29 Uni-Charm Corporation Product housing stacked body of wet tissues
US6225639B1 (en) * 1999-08-27 2001-05-01 Agere Systems Guardian Corp. Method of monitoring a patterned transfer process using line width metrology
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US20030011786A1 (en) * 2000-09-20 2003-01-16 Ady Levy Methods and systems for determining overlay and flatness of a specimen
US6625497B2 (en) * 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6707562B1 (en) * 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process

Cited By (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004063883A2 (en) * 2003-01-09 2004-07-29 Evolution Robotics, Inc. Vision- and environment-based programming of robots and/or computer systems
US20040193322A1 (en) * 2003-01-09 2004-09-30 Paolo Pirjanian Vision-and environment-based programming of robots and/or computer systems
WO2004063883A3 (en) * 2003-01-09 2005-10-06 Evolution Robotics Inc Vision- and environment-based programming of robots and/or computer systems
US6934929B2 (en) * 2003-01-13 2005-08-23 Lsi Logic Corporation Method for improving OPC modeling
US20040139420A1 (en) * 2003-01-13 2004-07-15 Travis Brist Method for improving OPC modeling
US7441227B2 (en) 2003-04-06 2008-10-21 Luminescent Technologies Inc. Method for time-evolving rectilinear contours representing photo masks
US20100275175A1 (en) * 2003-04-06 2010-10-28 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7757201B2 (en) 2003-04-06 2010-07-13 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US20100275176A1 (en) * 2003-04-06 2010-10-28 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US7703068B2 (en) 2003-04-06 2010-04-20 Luminescent Technologies, Inc. Technique for determining a mask pattern corresponding to a photo-mask
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US20070011644A1 (en) * 2003-04-06 2007-01-11 Daniel Abrams Optimized photomasks for photolithography
US20070009808A1 (en) * 2003-04-06 2007-01-11 Abrams Daniel S Systems, masks, and methods for manufacturable masks
US7984391B2 (en) 2003-04-06 2011-07-19 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7992109B2 (en) 2003-04-06 2011-08-02 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US8056021B2 (en) 2003-04-06 2011-11-08 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7571423B2 (en) 2003-04-06 2009-08-04 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US7480889B2 (en) 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US20070198966A1 (en) * 2003-04-06 2007-08-23 Daniel Abrams Method for Time-Evolving Rectilinear Contours Representing Photo Masks
US20040260420A1 (en) * 2003-06-20 2004-12-23 Tokyo Electron Limited. Processing method and processing system
US8778205B2 (en) * 2003-06-20 2014-07-15 Tokyo Electron Limited Processing method and processing system
US20100133231A1 (en) * 2003-06-20 2010-06-03 Tokyo Electron Limited Processing method and processing system
WO2005067009A3 (en) * 2003-12-24 2005-08-18 Lam Res Corp Process controls for improved wafer uniformity using integrated or standalone metrology
WO2005067009A2 (en) * 2003-12-24 2005-07-21 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US7018855B2 (en) 2003-12-24 2006-03-28 Lam Research Corporation Process controls for improved wafer uniformity using integrated or standalone metrology
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20100051073A1 (en) * 2005-06-01 2010-03-04 Kabushiki Kaisha Toshiba Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer
US20060272676A1 (en) * 2005-06-01 2006-12-07 Masao Iwase Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer
US7632358B2 (en) * 2005-06-01 2009-12-15 Kabushiki Kaisha Toshiba Cleaning method and a cleaning device for cleaning an edge portion and back face of a wafer
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
US20070184357A1 (en) * 2005-09-13 2007-08-09 Abrams Daniel S Systems, Masks, and Methods for Photolithography
US20070184369A1 (en) * 2005-10-03 2007-08-09 Abrams Daniel S Lithography Verification Using Guard Bands
US7921385B2 (en) 2005-10-03 2011-04-05 Luminescent Technologies Inc. Mask-pattern determination using topology types
US20070186208A1 (en) * 2005-10-03 2007-08-09 Abrams Daniel S Mask-Pattern Determination Using Topology Types
US7788627B2 (en) 2005-10-03 2010-08-31 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US20070196742A1 (en) * 2005-10-04 2007-08-23 Abrams Daniel S Mask-Patterns Including Intentional Breaks
US7703049B2 (en) 2005-10-06 2010-04-20 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US7631286B2 (en) 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US20070156275A1 (en) * 2005-12-30 2007-07-05 Daniel Piper Automated metrology recipe generation
US7704889B2 (en) 2006-01-31 2010-04-27 Advanced Micro Devices, Inc. Method and system for advanced process control in an etch system by gas flow control on the basis of CD measurements
US20070178699A1 (en) * 2006-01-31 2007-08-02 Matthias Schaller Method and system for advanced process control in an etch system by gas flow control on the basis of cd measurements
DE102006004430B4 (en) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Method and system for advanced process control in an etching system by gas flow control based on CD measurements
DE102006004430A1 (en) * 2006-01-31 2007-08-02 Advanced Micro Devices, Inc., Sunnyvale Method for production of semiconductor components, particularly etching processes to form ditches and via holes in dielectric material for production of metalization layers, involves corroding substrate in corroding atmosphere
US20080011322A1 (en) * 2006-07-11 2008-01-17 Frank Weber Cleaning systems and methods
US20100119143A1 (en) * 2006-09-20 2010-05-13 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US8204295B2 (en) 2006-09-20 2012-06-19 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US20100086195A1 (en) * 2006-09-20 2010-04-08 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US8644588B2 (en) 2006-09-20 2014-02-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8331645B2 (en) 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US20100021043A1 (en) * 2006-09-20 2010-01-28 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US20100021042A1 (en) * 2006-09-20 2010-01-28 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US8280146B2 (en) 2006-09-20 2012-10-02 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US20100021824A1 (en) * 2006-09-20 2010-01-28 Preil Moshe E Photo-Mask and Wafer Image Reconstruction
US8260032B2 (en) 2006-09-20 2012-09-04 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8200002B2 (en) 2006-09-20 2012-06-12 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8208712B2 (en) 2006-09-20 2012-06-26 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
CN103715114A (en) * 2008-07-11 2014-04-09 应用材料公司 Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
CN102089859A (en) * 2008-07-11 2011-06-08 应用材料公司 Within-sequence metrology based process tuning for adaptive self-aligned double patterning
WO2010014380A3 (en) * 2008-07-11 2010-05-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US20100009470A1 (en) * 2008-07-11 2010-01-14 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
WO2010014380A2 (en) * 2008-07-11 2010-02-04 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
KR101413661B1 (en) 2008-07-11 2014-07-01 어플라이드 머티어리얼스, 인코포레이티드 Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US10912220B2 (en) 2010-02-02 2021-02-02 Apple Inc. Protection and assembly of outer glass surfaces of an electronic device housing
US20110194752A1 (en) * 2010-02-05 2011-08-11 Linyong Pang Extending the Field of View of a Mask-Inspection Image
US8463016B2 (en) 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US9696619B2 (en) 2011-02-04 2017-07-04 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US10497557B2 (en) * 2013-02-27 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
WO2021225587A1 (en) * 2020-05-06 2021-11-11 Kla Corporation Inter-step feedforward process control in the manufacture of semiconductor devices
TWI820680B (en) * 2021-05-05 2023-11-01 美商昂圖創新公司 Methods and devices for modeling effective cell approximations for logic structures

Also Published As

Publication number Publication date
TW546697B (en) 2003-08-11
KR20040020906A (en) 2004-03-09
US6625497B2 (en) 2003-09-23
US20020155629A1 (en) 2002-10-24
EP1405338A2 (en) 2004-04-07
WO2003007365A3 (en) 2003-08-28
WO2003007365A2 (en) 2003-01-23
JP2005521235A (en) 2005-07-14
AU2002316463A1 (en) 2003-01-29

Similar Documents

Publication Publication Date Title
US6625497B2 (en) Semiconductor processing module with integrated feedback/feed forward metrology
US6486492B1 (en) Integrated critical dimension control for semiconductor device manufacturing
US6858361B2 (en) Methodology for repeatable post etch CD in a production tool
US6924088B2 (en) Method and system for realtime CD microloading control
US7265382B2 (en) Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US6960416B2 (en) Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP4990548B2 (en) Manufacturing method of semiconductor device
US11300887B2 (en) Method to change an etch parameter
EP1480085B1 (en) A method for controlling a critical dimension (CD) in an etch process
US6421457B1 (en) Process inspection using full and segment waveform matching
US20090023101A1 (en) Lithography track systems and methods for electronic device manufacturing
KR20040030295A (en) Method and system for optimizing parameter value in exposure apparatus, and exposure apparatus and method
KR102631626B1 (en) Method and apparatus for controlling a lithography process
CN100403505C (en) Methodology for repeatable post etch CD in a production tool

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION