US20030143853A1 - FeRAM capacitor stack etch - Google Patents

FeRAM capacitor stack etch Download PDF

Info

Publication number
US20030143853A1
US20030143853A1 US10/282,621 US28262102A US2003143853A1 US 20030143853 A1 US20030143853 A1 US 20030143853A1 US 28262102 A US28262102 A US 28262102A US 2003143853 A1 US2003143853 A1 US 2003143853A1
Authority
US
United States
Prior art keywords
etch
layer
hard mask
pzt
patterning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/282,621
Inventor
Francis Celii
Scott Summerfelt
Mahesh Thakre
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US10/282,621 priority Critical patent/US20030143853A1/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CELII, FRANCIS G., SUMMERFELT, SCOTT R., THAKRE, MAHESH
Priority to EP03100194A priority patent/EP1333471A3/en
Priority to JP2003062470A priority patent/JP2003318371A/en
Publication of US20030143853A1 publication Critical patent/US20030143853A1/en
Priority to US10/968,721 priority patent/US7029925B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Definitions

  • the present invention relates generally to the field of integrated circuit processing, and more particularly relates to an FeRAM structure and a method of manufacture thereof having a capacitor stack etch which effectively etches the ferroelectric dielectric layer without degradation thereof.
  • non-volatile memory Such a memory device that retains its contents while a signal is not continuously applied to it is called a non-volatile memory.
  • non-volatile memory examples include: electrically erasable, programmable read only memory (“EEPROM”) and FLASH EEPROM.
  • a ferroelectric memory is a non-volatile memory that utilizes a ferroelectric material, such as SBT or PZT, as the capacitor dielectric situated between a bottom electrode and a top electrode. Both read and write operations are performed for a FeRAM.
  • the memory size and memory architecture affect the read and write access times of a FeRAM. Table 1 illustrates the differences between different memory types.
  • the non-volatility of an FeRAM is due to the bi-stable characteristic of the ferroelectric memory cell.
  • Two types of memory cells are used, a single capacitor memory cell and a dual capacitor memory cell.
  • the single capacitor memory cell (referred to as a 1T/1C or 1C memory cell) requires less silicon area (thereby increasing the potential density of the memory array), but is less immune to noise and process variations. Additionally, a 1C cell requires a voltage reference for determining a stored memory state.
  • the dual capacitor memory cell (referred to as a 2T/2C or 2C memory cell) requires more silicon area, and it stores complementary signals allowing differential sampling of the stored information.
  • the 2C memory cell is more stable than a 1C memory cell.
  • a 1T/1C FeRAM cell 10 includes one transistor 12 and one ferroelectric storage capacitor 14 .
  • a bottom electrode of the storage capacitor 14 is connected to a drain terminal 15 of the transistor 12 .
  • the 1T/1C cell 10 is read from by applying a signal to the gate 16 of the transistor (word line WL)(e.g., the Y signal), thereby connecting the bottom electrode of the capacitor 14 to the source of the transistor (the bit line BL) 18 .
  • a pulse signal is then applied to the top electrode contact (the plate line or drive line DL) 20 .
  • the potential on the bit line 18 of the transistor 12 is, therefore, the capacitor charge divided by the bit line capacitance.
  • bit line potential can have two distinct values.
  • a sense amplifier (not shown) is connected to the bit line 18 and detects the voltage associated with a logic value of either 1 or 0. Frequently the sense amplifier reference voltage is a ferroelectric or non-ferroelectric capacitor connected to another bit line that is not being read. In this manner, the memory cell data is retrieved.
  • a characteristic of the shown ferroelectric memory cell is that a read operation is destructive. The data in a memory cell is then rewritten back to the memory cell after the read operation is completed. If the polarization of the ferroelectric is switched, the read operation is destructive and the sense amplifier must rewrite (onto that cell) the correct polarization value as the bit just read from the cell. This is similar to the operation of a DRAM. The one difference from a DRAM is that a ferroelectric memory cell will retain its state until it is interrogated, thereby eliminating the need of refresh.
  • a 2T/2C memory cell 30 in a memory array couples to a bit line 32 and an inverse of the bit line (“bit line-bar”) 34 that is common to many other memory types (for example, static random access memories).
  • Memory cells of a memory block are formed in memory rows and memory columns.
  • the dual capacitor ferroelectric memory cell comprises two transistors 36 and 38 and two ferroelectric capacitors 40 and 42 , respectively.
  • the first transistor 36 couples between the bit line 32 and a first capacitor 40
  • the second transistor 38 couples between the bit line-bar 34 and the second capacitor 42 .
  • the first and second capacitors 40 and 42 have a common terminal or plate (the drive line DL) 44 to which a signal is applied for polarizing the capacitors.
  • the first and second transistors 36 and 38 of the dual capacitor ferroelectric memory cell 30 are enabled (e.g., via their respective word line 46 ) to couple the capacitors 40 and 42 to the complementary logic levels on the bit line 32 and the bar-bar line 34 corresponding to a logic state to be stored in memory.
  • the common terminal 44 of the capacitors is pulsed during a write operation to polarize the dual capacitor memory cell 30 to one of the two logic states.
  • the first and second transistors 36 and 38 of the dual capacitor memory cell 30 are enabled via the word line 46 to couple the information stored on the first and second capacitors 40 and 42 to the bar 32 and the bit line-bar line 34 , respectively.
  • a differential signal (not shown) is thus generated across the bit line 32 and the bit line-bar line 34 by the dual capacitor memory cell 30 .
  • the differential signal is sensed by a sense amplifier (not shown) that provides a signal corresponding to the logic level stored in memory.
  • a memory cell of a ferroelectric memory is limited to a finite number of read and write operations before the memory cell becomes unreliable.
  • the number of operations that can be performed on a FeRAM memory is known as the endurance of a memory.
  • the endurance is an important factor in many applications that require a nonvolatile memory. Other factors such as memory size, memory speed, and power dissipation also play a role in determining if a ferroelectric memory is viable in the memory market.
  • the instant invention relates to the fabrication of an FeRAM device which is either a stand-alone device or one which is integrated onto a semiconductor chip which includes many other device types.
  • Several requirements either presently exist or may become requirements for the integration of FeRAM with other device types.
  • One such requirement involves utilizing, as much as possible, the conventional front end and back end processing techniques used for fabricating the various logic and analog devices on the chip to fabricate this chip which will include FeRAM devices.
  • This location has some advantages for commodity memory purposes, but has cost disadvantages for embedded memory applications.
  • Another proposed location for the FeRAM process module is near the end of the back end process flow.
  • the principal advantage of this approach is that it keeps new contaminants in the FeRAM module (Pb, Bi, Zr, Ir, Ru, or Pt) out of more production tools.
  • This solution is most practical if the assumption is that all of the equipment used after deposition of the first FeRAM film must be dedicated and cannot be shared.
  • This solution has the drawback of requiring FeRAM process temperatures compatible with standard metallization plus wiring of the FeRAM capacitor to transistor and other needs of metallization are not compatible with a minimum FeRAM cell size.
  • the FeRAM process module must therefore be compatible with front-end process flow including the use of W contacts (currently standard in most logic flows) as the bottom contact of the capacitor.
  • the FeRAM thermal budget must also be low enough so that it does not impact the front-end structures such as the low resistance structures (such as tungsten plugs and silicided source/drains and gates) required by most logic devices.
  • transistors and other front-end devices such as diodes are sensitive to contamination and the FeRAM process module cannot contaminate these devices either directly (diffusion in chip) or indirectly (cross contamination through shared equipment).
  • the FeRAM devices and process module must also be compatible with a standard back end process flow.
  • the FeRAM process module must have minimum degradation of logic metallization resistance and parasitic capacitance between metal and transistor.
  • the FeRAM devices must not be degraded by the back end process flow with minimal, if any, modification. This is a significant challenge since ferroelectric capacitors have been shown to be sensitive to hydrogen degradation and most logic back end process flows use hydrogen/deuterium in many of the processes (SiO 2 , Si 3 N 4 , and CVD W deposition, SiO 2 via etch, and forming gas anneals).
  • a method of forming an FeRAM capacitor in which the etching of the ferroelectric capacitor stack is greatly improved.
  • the method comprises an etch of the capacitor stack using a patterning hard mask, for example, a TiAlN hard mask.
  • An etch of the PZT ferroelectric layer during the capacitor stack etch comprises a BCl 3 etch at a substantially high temperature, for example, about 150° C. or more (e.g., 350° C.).
  • the BCl 3 PZT etch at a relatively high temperature is substantially selective with respect to the overlying patterned hard mask, thereby providing a quality etched PZT film without substantial hard mask erosion, thereby resulting in good critical dimension control of the capacitor stack.
  • the capacitor stack comprises iridium top and bottom electrode layers, and a PZT ferroelectric layer disposed between the top and bottom electrode layers.
  • a nitride hard mask for example, TiAlN, is formed and patterned over the capacitor stack layers.
  • a Cl 2 +O 2 or a Cl 2 +CO etch is employed to pattern the top electrode layer, wherein the oxygen content therein helps provide a substantial etch selectivity with respect to the hard mask.
  • the PZT layer is then etched with a BCl 3 etch at a temperature of at least about 150° C.
  • the high temperature BCl 3 etch provides good selectivity with respect to the hard mask despite the fact that no oxygen is provided during such etch, thereby providing for a high quality etched PZT film without substantial erosion of the hard mask. Accordingly, a minimal capacitor stack critical dimension is maintained.
  • the bottom electrode is then etched in a manner similar to that of the top electrode layer.
  • a capacitor stack etch in which a sidewall profile of the PZT ferroelectric layer is made non-vertical.
  • a sloped or non-vertical PZT sidewall profile is not obvious because typically vertical or closely vertical sidewalls are desired to minimize the critical dimension of the capacitor.
  • the PZT sidewall profile is made non-vertical (e.g., less than about 88 degrees) in order to facilitate ion impingement thereon during the subsequent etch of the bottom electrode layer.
  • the ion impingement (e.g., chlorine ions) on the sloped PZT sidewall during the bottom electrode layer etch ensures that re-deposition of conductive bottom electrode material onto the PZT sidewall does not occur by having the removal rate thereof be greater than the deposition rate due to re-sputtering. Accordingly, after the bottom electrode etch, no bottom electrode material resides on the PZT sloped sidewall, thereby preventing leakage or a shorting out of the FeRAM capacitor.
  • ion impingement e.g., chlorine ions
  • a capacitor stack etch having a sloped PZT sidewall profile comprises etching the PZT layer with a fluorine+Cl 2 +oxidizer etch chemistry at a low temperature, for example, about 60° C.
  • the low temperature causes the sidewall profile of the PZT to not be vertical (a non-anisotropic etch).
  • the low PZT etch temperature surprisingly eliminates gaps or voids in the PZT ferroelectric layer that occurred with fluorine containing etch chemistries at high temperatures.
  • the PZT etch comprises a CHF 3 +Cl 2 +O 2 +N 2 at a temperature of about 60° C., resulting in a PZT sidewall profile of less than about 88 degrees.
  • a PZT sidewall angle of less than 88 degrees is sufficient to ensure no net deposition of conductive material thereon during the subsequent patterning of the underlying bottom electrode layer.
  • FIG. 1 is a prior art schematic diagram illustrating an exemplary 1T/1C FeRAM memory cell
  • FIG. 2 is a prior schematic diagram illustrating an exemplary 2T/2C FeRAM memory cell
  • FIG. 3 is a fragmentary cross-sectional view of a partially fabricated device containing FeRAM capacitors and transistors associated therewith fabricated in accordance with one exemplary aspect of the present invention
  • FIG. 4 is a flow chart diagram illustrating a method of forming an FeRAM capacitor in accordance with another exemplary aspect of the present invention
  • FIGS. 5 and 6 are fragmentary cross-sectional views of two neighboring FeRAM capacitor stacks having a bottom electrode diffusion barrier layer etched and a result thereof;
  • FIG. 7 is a schematic diagram illustrating an apparatus for forming a PZT ferroelectric film in accordance with the present invention
  • FIGS. 8 - 11 are graphs illustrating various performance characteristics of a PZT ferroelectric film formed in accordance with the present invention.
  • FIGS. 12 and 13 are fragmentary cross section diagrams illustrating how an etch of the bottom electrode diffusion barrier layer causes a rounding of the hard mask layer which may cause a contamination of a top electrode layer;
  • FIG. 14 is a flow chart diagram illustrating a method of forming a multi-layer hard mask layer in accordance with the present invention.
  • FIGS. 15 a and 15 b are fragmentary cross section diagrams illustrating steps in etching the bottom electrode diffusion barrier layer using a multi-layer hard mask according to the present invention
  • FIG. 16 is a flow chart diagram illustrating a method of forming an FeRAM capacitor wherein a sidewall diffusion barrier layer is deposited and selectively patterned prior to the patterning of the bottom electrode diffusion barrier layer according to the present invention
  • FIGS. 17 and 18 are fragmentary cross section diagrams illustrating steps in depositing and selectively patterning a sidewall diffusion barrier layer prior to patterning a bottom electrode diffusion barrier layer according to the present invention
  • FIG. 19 is a fragmentary cross section diagram illustrating an etch of the bottom electrode diffusion barrier layer after the deposition and patterning of the sidewall diffusion barrier layer according to the present invention.
  • FIGS. 20 and 21 are fragmentary cross section diagrams illustrating how identification of aluminum oxide “ears” are employed to ascertain whether the sidewall diffusion barrier layer is sufficiently thick on sidewalls of FeRAM capacitors stacks according to the present invention.
  • any conventional isolation structures may be used, such as field oxidation regions (also known as LOCOS regions) or implanted regions.
  • structure 102 is preferably a single-crystal silicon substrate that is doped to be n-type or p-type structure 102 (FIG. 3) may be formed by fabricating an epitaxial silicon layer on a single-crystal silicon substrate.
  • a plurality of methods are disclosed which decrease a reduction of an iridium oxide bottom electrode during a subsequent formation of a ferroelectric dielectric layer in an FeRAM capacitor.
  • a fatigue resistance of the FeRAM cell is improved substantially over the prior art.
  • a first device 103 represents a partially fabricated version of am FeRAM cell in accordance with the present invention
  • a second device 105 represents any high-voltage transistor, low-voltage transistor, high-speed logic transistor, I/O transistor, analog transistor, or any other device which may be included in a digital signal processor, microprocessor, microcomputer, microcontroller or any other semiconductor device. Except for the specific cell structure provided in the device 103 , the structures utilized therein may be the same as the device structures of the device 105 (except for some possible variations in the transistors due to the-different device types that device 105 may be).
  • gate structures 106 include a gate dielectric (for example, comprising silicon dioxide, an oxynitride, a silicon nitride, BST, PZT, a silicate, any other high-k material, or any combination or stack thereof), a gate electrode (for example, comprising polycrystalline silicon doped either p-type or n-type with a silicide formed on top, or a metal such as titanium, tungsten, TiN, tantalum, TaN or other type metal).
  • the gate structures 106 further comprise sidewall insulators (for example, comprising an oxide, a nitride, an oxynitride, or a combination or stack thereof).
  • oxide, nitride and oxynitride refer to silicon oxide, silicon nitride and silicon oxy-nitride.
  • oxide may, in general, include doped oxides as well, such as boron and/or phosphorous-doped silicon oxide.
  • Source/drain regions 108 may be formed via, for example, implantation using conventional dopants and processing conditions. Lightly doped drain extensions 109 as well as pocket implants may also be utilized.
  • the source/drain regions 108 may be silicided (for example, with titanium, cobalt, nickel, tungsten or other conventional silicide material).
  • a dielectric layer 112 is formed over the entire substrate 102 and is patterned and etched so as to form openings for contacts to the substrate and gate structures 106 to be formed (see, e.g., step 202 of FIG. 4). These openings are filled subsequently with one or more conductive materials, such as a plug 114 (for example, comprising a metal such as tungsten, molybdenum, titanium, titanium nitride, tantalum nitride, or a metal silicide such as Ti, Ni or Co, copper or doped polysilicon).
  • a liner/barrier layer 116 may or may not be formed between the plug 114 and dielectric 112 . Such a liner/barrier layer 116 is illustrated in FIG.
  • the contacts are formed so as to land on the silicided regions of the source/drain regions and gate structures.
  • the dielectric layer 112 comprises, for example, SiO 2 (doped or undoped with preferable dopants such as boron or phosphorous) possibly with a layer of hydrogen or deuterium containing silicon nitride next to the gate.
  • CMP chemical mechanical polishing
  • an added diffusion barrier/etch stop may be included near the top surface of layer 112 such as AlO X , AlN, Si 3 N 4 , TiO 2 , ZrO 2 , or TaO X that would be deposited after the planarization process. This diffusion barrier is particularly useful if damascene processes are used to create the via or metallization to the contact. The formation of the plug 114 will require etching through this optional barrier/etch stop.
  • the metallization may be, for example, either Al or Cu based.
  • the Al is preferably etched while the Cu is preferably used in a damascene approach. However, etching Cu and Al formed in a damascene process is also possible.
  • aluminum metallization will preferably have CVD tungsten plugs or Al plugs, and the Al will preferably be Cu-doped for improved electromigration resistance.
  • Metal diffusion barriers for Al may include, for example, TiN and/or Ti. Copper metallization may have, for example, Cu or W plugs with either Ti, TiN, TiSiN, Ta, tantalum nitride, and/or TaSiN diffusion barriers.
  • a thin dielectric layer may be formed between each of the interlevel dielectric (ILD) layers (layers 112 , 134 and 160 ). If formed, this thin dielectric comprises, for example, silicon nitride, silicon carbide, SiCNO or a silicon oxide (for example, a high-density plasma oxide).
  • interlevel dielectric layers 112 , 134 , and 160 may comprise, for example, an oxide, FSG, PSG, BPSG, PETEOS, HDP oxide, a silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-oxy-nitride, a low dielectric constant material (for example, SiLK, porous SiLK, teflon, low-K polymer (possibly porous), aerogel, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material), or a combination or stack thereof.
  • a low dielectric constant material for example, SiLK, porous SiLK, teflon, low-K polymer (possibly porous
  • aerogel for example, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material
  • the interconnects and the metal lines preferably comprise the same material.
  • Plugs 136 and 150 and conductors 144 and 164 comprise a metal material (for example, copper, aluminum, titanium, TiN, tungsten, tungsten nitride, or any combination or stack thereof).
  • a barrier/liner may be formed between the plug and the respective interlevel dielectric layer. If formed, the barrier/liner layer (shown as layers 138 and 148 and liners 142 , 146 , 162 and 166 ) comprises, for example, Ti, TiN, W, tungsten nitride, Ta, tantalum nitride, any conventional barrier/liner layer, or any combination or stack thereof).
  • the interlayer dielectric and plug material should be compatible with the FeRAM thermal budget.
  • the FeRAM thermal budget should be less than approximately 600 or 650 C., however, the present invention is not limited thereto. If the ILD is modified to include a low dielectric constant (“low K”) layer, the FeRAM thermal budget may need to be reduced further.
  • the preferred interlayer dielectric 112 is therefore a material that can withstand a thermal budget in excess of 600 C., such as silicon oxide (doped and/or undoped), silicon nitride, and/or silicon oxy-nitride.
  • Level 127 is added so as to accommodate the FeRAM cells (FeRAM process module).
  • This FeRAM process module allows the creation of ferroelectric or high dielectric constant capacitors to be easily added with maximum thermal budget for the new process module yet not impact the thermal budget of backend process.
  • this level allows FeRAM devices with capacitor under bit line configuration compatible with a high-density memory.
  • planarity is not a necessity, to form the FeRAM devices while not forming layer 127 in region 105 .
  • the FeRAM portion 103 would be taller than the region 105 by the height of layer 127 .
  • FIG. 3 Initially, a further discussion of FIG. 3 will be provided to appreciate the structure of an FeRAM cell and an exemplary integration position of such a cell within a semiconductor fabrication process. Subsequently, a flow chart and a number of fragmentary cross section diagrams will be provided to illustrate an exemplary process for fabricating such an FeRAM cell in order to provide a context in which the present invention may reside. In conjunction therewith, the present invention will be described and illustrated in greater detail. It should be understood, however, that although the present invention will be shown and described in conjunction with one exemplary context, the invention is applicable to other fabrication methodologies, structures and materials, and such alternatives are contemplated as falling within the scope of the present invention.
  • An FeRAM capacitor as illustrated in FIG. 3 at reference numeral 125 , resides above the interlayer dielectric 112 , and comprises several layers.
  • the FeRAM capacitor 125 of FIG. 3 comprises an electrically conductive barrier layer 122 upon which a conductive bottom capacitor electrode 124 resides (hereinafter, the terms conductive and insulative are employed to indicate electrically conductive and electrically insulative, respectively, unless indicated otherwise).
  • a capacitor dielectric layer 126 a ferroelectric material, is formed over the bottom electrode 124 , and is covered by, for example, a conductive multi-layer top electrode 128 , 130 .
  • a top portion of the FeRAM capacitor 125 comprises a hard mask layer 132 that, as will be discussed in greater detail later, may be employed to facilitate the capacitor stack etch.
  • the capacitor stack is then covered by a multi-layer sidewall diffusion barrier 118 , 120 .
  • FIGS. 4 An exemplary method of forming an FeRAM capacitor in accordance with the present invention which is similar in many respects to the capacitor 125 of FIG. 3 will now be discussed in conjunction with FIGS. 4 , wherein a method 200 of forming an FeRAM capacitor is disclosed.
  • the interlevel dielectric 112 is formed and conductive contacts, for example, tungsten (W) contacts 114 are formed therein with a barrier layer 116 (e.g., TiN) disposed therebetween to avoid oxidation of the tungsten contacts.
  • a barrier layer 116 e.g., TiN
  • Formation of the interlayer dielectric 112 and the contacts 114 may be formed by various means and any such process is contemplated as falling within the scope of the present invention.
  • the FeRAM capacitor(s) are formed over the interlayer dielectric 112 and the contacts 114 , as illustrated in FIG. 3.
  • the FeRAM capacitor formation process begins.
  • a bottom electrode was formed directly over the interlayer dielectric, however, the bottom electrode material, for example, an iridium or an iridium/iridium oxide multi-layer would not provide a sufficient diffusion barrier (e.g., oxygen or hydrogen) during subsequent processing. That is, during the subsequent formation of the ferroelectric dielectric, for example, oxygen may diffuse through the bottom electrode and cause the tungsten to oxidize, thus increasing disadvantageously a resistance between the contact and the FeRAM capacitor.
  • a diffusion barrier e.g., oxygen or hydrogen
  • One solution to the above problem is to increase a thickness of the bottom electrode. Since a bottom electrode material acts in some fashion as a diffusion barrier, by increasing the thickness thereof, less diffusion would pass therethrough. Such a solution, however, disadvantageously increases the thickness of the FeRAM capacitor that preferably is as thin as possible.
  • a dedicated bottom electrode diffusion barrier layer Such a barrier layer is formed over the interlayer dielectric and the tungsten contact prior to the formation of the bottom electrode. Such a barrier is electrically conductive and serves to provide an effective diffusion barrier without having to increase a thickness of the bottom electrode. Since the diffusion barrier is a more efficient barrier than the bottom electrode material(s), even though the additional barrier is employed, the net thickness is less than would otherwise be required if the bottom electrode material was increased to provide an equivalent barrier performance.
  • the prior art bottom electrode diffusion barrier material was TiAlN, and was formed via physical vapor deposition.
  • the prior art TiAlN diffusion barrier provides an effective barrier for diffusion of materials such as oxygen and hydrogen.
  • a problem has been discovered by the inventors of the present invention that the TiAlN material is a source of integration problems during subsequent processing of the FeRAM capacitor. More particularly, it was discovered that during subsequent processing of the FeRAM capacitor stack (via etching), an etching of the TiAlN barrier layer between neighboring FeRAM capacitor cells caused a substantial undercutting of the TiAlN beneath the capacitor stack and such phenomena negatively contributed to poor step coverage of a subsequently formed electrically insulating FeRAM sidewall diffusion barrier. Consequently, the overall diffusion barrier (top, bottom and sidewalls) of the FeRAM capacitor is compromised. In order to fully appreciate the problem associated therewith, a discussion of the FeRAM capacitor stack etch will be discussed in conjunction with FIGS. 5 - 6 .
  • the TiAlN bottom electrode diffusion barrier layer 122 After the TiAlN bottom electrode diffusion barrier layer 122 is formed, the bottom electrode layer 124 , the ferroelectric dielectric layer 126 , the top electrode layer 128 , 130 , and a hard mask layer 132 are deposited and subsequently etched using the hard mask to self-align one or more FeRAM capacitor stacks 220 , as illustrated in FIG. 5.
  • the TiAlN bottom electrode diffusion barrier 122 also needs to be etched because TiAlN is electrically conductive and without further etching, the barrier layer 122 would short the neighboring capacitors together.
  • An etch of the exposed TiAlN barrier 122 between neighboring FeRAM capacitor stacks is performed typically using a dry, chlorine based etch and ideally would be anisotropic.
  • the chlorine etch has a significant chemical component that causes the etch to be relatively isotropic, resulting in an undercutting of the FeRAM stacks, as illustrated in FIG. 6.
  • an undercut would not appear to negatively impact the capacitor performance, it has been discovered that such undercutting negatively impacts the step coverage of a subsequently deposited sidewall diffusion barrier (not shown). Consequently, the TiAlN barrier layer 122 , due to integration problems, results in degradation in the subsequently formed sidewall diffusion barrier, thereby negatively impacting the capacitor performance.
  • the inventors of the present invention have overcome the difficulties of the problem highlighted above by replacing the TiAlN barrier layer with a TiAlON barrier layer at 204 of FIG. 4. Such a replacement, however, is not a mere substitution of another material because one of ordinary skill in the art would not be motivated to add oxygen because such an addition increases the electrical resistance of the resulting layer. As discussed previously, it is desirable to keep the resistance of the barrier layer as low as possible to thereby reduce the resistance between the bottom electrode of the FeRAM capacitor and the underlying transistor through the tungsten contact.
  • the inventors of the present invention discovered that by adding a small amount of oxygen, one could obtain a substantial reduction in the isotropy of the chlorine etch without substantially increasing a resistivity of the barrier layer, that is, increasing the resistivity above a predetermined level.
  • the composition of the TiAlON is tuned to provide sufficient aluminum therein for adequate oxidation resistance (of the underlying tungsten contact(s)) and enough oxygen to prevent undercutting during an etch thereof, yet concurrently maintaining the resistivity thereof low enough to prevent any appreciable degradation of the electrical performance of the circuit.
  • the aluminum composition is at least about 20 cation atom %, and less than about 50 cation atom %; and the oxygen composition is at least about 5 anion atom %, and less than about 50 anion atom %.
  • the aluminum composition is at least about 35 cation atom %, and less than about 45 cation atom %; and the oxygen composition is at least about 10 anion atom %, and less than about 20 anion atom %.
  • a barrier resistivity of about 4300 ⁇ -cm or less it is desirable to have a barrier resistivity of about 4300 ⁇ -cm or less. Therefore in tailoring the TiAlON bottom electrode diffusion barrier film, it is desired to keep the amount of oxygen therein sufficiently low such that the resistivity does not exceed substantially the 4300 ⁇ -cm figure. For example, with a TiAlON content of about Ti ⁇ 0.5, Al ⁇ 0.4, O ⁇ 0.1, and N ⁇ 0.9, a film resistivity of about 1800 ⁇ -cm was obtained.
  • Such a TiAlON film is formed, for example, via a PVD or sputter deposition process using an Endura TTNTM chamber with a heater temperature of 400 C., Ar heater 15 sccm, Ar chamber 56 sccm, O 2 chamber 9 sccm, cryo pump.
  • the etch rate is about 150 nm/min using a BCl 3 +Cl 2 chemistry and is about 15 nm/min with solely Cl 2 , compared to a TiAlN etch rate of about 300 nm/min. Consequently, the TiAlON barrier of the present invention provides a substantial reduction in etch isotropy with an acceptable increase in resistivity.
  • TiAlON film is via MOCVD using TiAlN (creating TiAICON).
  • MOCVD using TiAlN (creating TiAICON).
  • the composition is tuned in order to obtain enough Al for oxidation resistance and enough oxygen for etch undercut yet keeping the resistance low enough so as not to degrade the electrical performance.
  • the inventors of the present invention also ascertained that the CMP process used to planarize the interlayer dielectric 112 and the tungsten contact 114 generates seams in the tungsten contact.
  • Most seams are about 200-400 Angstroms in diameter and are adequately filled by the subsequently formed barrier layer, for example, TiAlN.
  • a small percentage of such seams are substantially larger, for example, about 600-800 Angstroms in diameter.
  • the conventional barrier layer formed via physical vapor deposition (PVD), does not adequately fill the seam due to necking, for example. Such poor seam coverage may undesirably increase the contact resistance between the underlying transistor and the FeRAM capacitor.
  • the large seams in the tungsten contact 114 are addressed by making the diffusion barrier layer a multi-layer, with a first layer of TiN formed over the interlayer dielectric 112 and the tungsten contact 114 via chemical vapor deposition (CVD). Due to the CVD process, the TiN exhibits exemplary step coverage, thus filling in a portion of any large seams in the tungsten contacts. For example, a TiN layer of about 100 Angstroms reduces a seam having a diameter of about 600 Angstroms to about 400 Angstroms. Since a CVD TiN process is employed as the contact barrier for tungsten contacts, the well-developed and characterized process may be employed readily for such a first layer barrier.
  • CVD chemical vapor deposition
  • the second layer of the multi-layer barrier is then formed over the CVD TiN, for example, a TiAlN film or a TiAlON film for the reasons highlighted above. Because the TiN layer has reduced the size of any large seams, the second layer (formed via PVD, for example) easily fills the reduced size seam, and accordingly any potential increase in resistivity due to the seam is substantially eliminated.
  • the bottom electrode diffusion barrier comprises a bi-layer process. For example, first a CVD TiN film is formed having a thickness of about 40 nm, followed by a PVD TiAlN film of about 30 nm.
  • the TiAlN have at least about 30% aluminum, and less than about 60% .
  • the TiAlN has at least about 40% aluminum, and less than about 50% in order to exhibit improved oxidation resistance.
  • TiAlN is discussed as one form of diffusion barrier in conjunction with the initial TiN layer.
  • a TiAlON layer as discussed above may be utilized in conjunction with the TiN; and other diffusion barrier layers may be employed, for example, TaSiN, TiSiN, TaAlN, Ti, TiN, Ta, TaN, HfN, ZrN, HfAlN, CrN, TaAlN, CrAlN.
  • the preferred deposition technique for these barrier layers is a reactive sputter deposition using Ar+N 2 or Ar+NH 3 .
  • Other deposition techniques that might be used include CVD or plasma enhanced CVD. In any event, it is preferred to use materials that have a slower oxidation rate than TiN.
  • the diffusion barrier layer it is desirable to perform a clean operation of the interlayer dielectric 112 and the tungsten contact 114 prior to the deposition of the barrier layer.
  • a clean operation of the interlayer dielectric 112 and the tungsten contact 114 prior to the deposition of the barrier layer.
  • one option is to sputter clean with Ar prior to the deposition of the barrier layer. It is further preferred that this pre-clean occur without a vacuum break prior to the deposition of the barrier.
  • the next layer is the oxygen stable bottom electrode 124 , as illustrated at 206 of FIG. 4.
  • This layer needs to be stable during the subsequent deposition of the ferroelectric and can strongly impact the properties of the ferroelectric capacitor.
  • the reliability is improved with oxide electrodes.
  • the electrode experiences the thermal budget and oxidizing conditions of the ferroelectric deposition and possibly anneal. Therefore the bottom electrode preferably is stable in oxygen and does not form insulating layers as a result of such oxygen. It is also advantageous that the bottom electrode at least partially impedes the oxidation and reaction of the underlying diffusion barrier.
  • the electrode preferably maintains a relatively low contact resistance.
  • a list of possible materials includes Pt, Pd, PdOx, IrPt alloys, Au, Ru, RuO x , (Ba,Sr,Pb)RuO3, (Sr,Ba,Pb)IrO3, Rh, RhO x , LaSrCoO 3 , (Ba,Sr)RuO 3 , LaNiO 3 .
  • the bottom electrode 124 of the capacitor is formed either with or without the barrier layer 122 so as to make electrical connection with the underlying contact structure 114 .
  • the bottom electrode 124 is around 30-100 nm thick, is stable in oxygen and is comprised of a noble metal or conductive oxide such as iridium, iridium oxide, Pt, Pd, PdOx, Au, Ru, RuO x , Rh, RhO x , LaSrCoO 3 , (Ba,Sr)RuO 3 , LaNiO 3 or any stack or combination thereof.
  • a noble metal or conductive oxide such as iridium, iridium oxide, Pt, Pd, PdOx, Au, Ru, RuO x , Rh, RhO x , LaSrCoO 3 , (Ba,Sr)RuO 3 , LaNiO 3 or any stack or combination thereof.
  • a noble metal or conductive oxide such as iridium, iridium oxide, Pt, Pd
  • the bottom electrode 124 comprises an Ir/IrO 2 sandwich.
  • the preferred bottom electrode for PZT is either 50 nm Ir deposited by sputter deposition for Ir (Ar) and/or reactive sputter deposition (Ar+O 2 ) for IrOx.
  • a second preferred electrode stack uses 10 nm Ir on 30 nm IrOx on 20 nm Ir (one potential thickness is shown).
  • a third preferred embodiment is IrOx ( ⁇ 30-40 nm) on Ir (20-30 nm).
  • the preferred deposition technique for these layers is sputter or reactive sputter deposition or chemical vapor deposition.
  • the capacitor dielectric 126 is formed on the bottom electrode 124 , as illustrated at 208 of FIG. 4.
  • the capacitor dielectric is less than 150 nm thick (more preferably less than 100 nm thick-most preferably less than 50 nm thick) and is comprised of a ferroelectric material, such as Pb(Zr,Ti)O 3 PZT (lead zirconate titanate), doped PZT with donors (Nb, La, Ta) acceptors (Mn, Co, Fe, Ni, Al) and/or both, PZT doped and alloyed with SrTiO3, BaTiO3 or CaTiO3, strontium bismuth tantalate (SBT) and other layered perovskites such as strontium bismuth niobate tantalate (SBNT) or bismuth titanate, BaTiO3, PbTiO3,Bi2TiO3 etc.
  • a ferroelectric material such as Pb(Zr,Ti)O 3
  • PZT is a desirable choice for the capacitor dielectric because it has the highest polarization and the lowest processing temperature of the aforementioned materials.
  • Thin PZT ( ⁇ 100 nm) is extremely advantageous in making integration more simple (less material to etch) and less expensive (less material to deposit therefore less precursor). Because PZT has the largest switched polarization, it is also possible to minimize capacitor area using such material.
  • MOCVD metal organic chemical vapor deposition
  • MOCVD is preferred especially for thin films ( ⁇ 100 nm).
  • MOCVD also permits the film thickness to be scaled without significant degradation of switched polarization and coercive field, yielding PZT films with a low operating voltage and large polarization values.
  • the reliability of the MOCVD PZT film is better than that generally obtained using other deposition techniques, particularly with respect to imprint/retention.
  • MOCVD PZT ferroelectric films are grown in an Applied Materials 200 mm MOCVD Giga-CapTM chamber integrated with a liquid delivery system and vaporizer installed on a CenturaTM mainframe, as illustrated in FIG. 7.
  • the baseline PZT film deposition parameters are described in Table PZT1 provided herein below, and the preferred metalorganic precursors are detailed in Table PZT2, also provided infra.
  • Use of the pre-mixed precursor “cocktails” described in Table PZT2 provides enhanced repeatability and throughput relative to the use of elemental precursor solutions. The precise composition and molarity of the starting precursor solutions can be varied as needed.
  • Use of pre-mixed “cocktails” permits hardware simplification since only a single vaporizer is needed. Earlier processes employed multiple elemental precursors and required two vaporizers rather than the simplified configuration shown in FIG. 7.
  • the preferred process sequence 208 proceeds as follows. After the wafers are delivered to the CVD chamber, the wafer sits on the lift pins above the wafer heater for about 30 sec (shorter times are also possible, for example, ⁇ 5 sec to 30 sec). This allows the wafer to pre-heat, avoiding thermal shock, which causes the wafer to break. Next, the wafer is lowered onto the wafer heater and the temperature is allowed to stabilize for about 60 sec. During these first two steps, the metalorganic precursors are sent directly to the vaporizer bypass line, bypassing the process chamber. PZT deposition begins once the precursor flow is diverted into the CVD chamber by opening the chamber valve and closing the bypass valve.
  • the process parameters shown in Table PZT1 provide a deposition rate of approximately 160 ⁇ /min. PZT deposition ends when the precursors are sent back to the vaporizer bypass line. Following deposition, the wafer remains in the chamber for 5 sec with the throttle valve open to evacuate the chamber before opening the slit valve between chambers for removal. TABLE PZT1 Preferred process parameters for depositing PZT thin films by MOCVD. Heater Temperature 640 to 650° C. Wafer Temperature ⁇ 600 to 609° C.
  • the dependence of film Pb/(Zr+Ti) film ratio and the Pb/(Zr+Ti) gas ratio in the gas phase is illustrated in FIG. 8.
  • the process described in Table PZT1 provides the characteristic plateau region in which the Pb composition in the film is self-correcting.
  • the plateau region an example of which is shown in FIG. 8, is the processing regime that provides the optimum PZT properties.
  • the self-correcting plateau is observed between Pb/(Zr+Ti) gas ratios of approximately ⁇ 0.8 and 1.3 for a wafer temperature of about 600° C. (heater set point temperature of about 640° C.).
  • FIG. 9 shows the effect of reduced temperature on the size of the self-correcting Pb composition regime for the standard process conditions given in Table PZT1. It is evident in FIG. 9 that the size of the self-correcting plateau decreases significantly as the temperature is reduced. The PZT films also become increasingly rough at low temperature. For a wafer temperature of about 587° C. (heater set point of about 620° C.), the self-correcting behavior is no longer observed when the standard process conditions are used. As mentioned above, a self-correcting plateau is needed in order to obtain high quality PZT films and a robust process.
  • the process pressure is reduced from about 4 Torr to about 2 Torr and N 2 O is added to the conventional O 2 oxidizer gas stream (total oxidizer flow is kept constant).
  • total oxidizer flow is kept constant.
  • FIG. 10 for a wafer heater temperature of about 630° C., reduced pressure combined with N 2 O+O 2 gas flow significantly increases the size of self-correcting plateau region relative to the standard process.
  • FIG. 11 for a wafer heater temperature of 620° C.
  • a range of pressures (1 to 10 Torr) and N 2 O additions (10% to 100%) may be used for the low temperature deposition processes.
  • the top electrode 128 , 130 is formed on the capacitor dielectric 126 , as illustrated at 210 of FIG. 4.
  • the top electrode is comprised of two layers 128 , 130 , however, the top electrode can be implemented in just one layer.
  • the layer next to the PZT dielectric is comprised of iridium oxide (preferably less than 100 nm thick—more preferably less than 50 nm thick and even more preferably less than 30 nm thick).
  • the layer between the conductive oxide and top electrode diffusion barrier/hard mask is comprised of iridium (preferably less than 100 nm thick—more preferably less than 50 nm thick and even more preferably less than 20 nm thick).
  • Pb based ferroelectrics it is advantageous for Pb based ferroelectrics to have a conductive oxide top electrode such as IrO x , RuO x , RhO x , PdO x , PtO x , AgO x , (Ba,Sr)RuO 3 , LaSrCoO 3 , LaNiO 3 , YBa 2 Cu 3 O 7-X rather than a noble metal in order to minimize degradation due to many opposite state write/read operations (fatigue).
  • Many of the Bi ferroelectrics such as SBT can also use noble metal electrodes such as Pt, Pd, Au, Ag, Ir, Rh, and Ru and still retain good fatigue characteristics.
  • the top electrode is an oxide it is advantageous to have a noble metal layer above it in order to maintain low contact resistance between the top metal contact and oxide.
  • a TiN in contact with IrOx might form TiO2 during subsequent thermal processes that is insulating.
  • any electrode using an expensive noble metal such as Pt, Ru, Pd, or Ir it is advantageous from a cost and integration standpoint to use as thin of layer as possible.
  • the preferred top electrode stack is ⁇ 20 nm Ir ( 130 ) deposited by PVD in Ar on ⁇ 30 nm IrOx ( 128 ) deposited by reactive PVD in Ar+O 2 on top of the PZT 126 .
  • IrOx is preferred to be deposited ⁇ 500° C. in gas mixtures between 30% and 50% O2 with the rest oxygen with a relatively low sputter power and hence slow deposition rate (preferred to be less than 20 nm/min).
  • the entire capacitor stack ( 220 of FIG. 5) is patterned and etched at one time (preferably using a different etchant for some of the layers), but each layer or grouping of layers alternatively may be etched prior to the formation of the subsequent layer or layers. If multiple layers or all of the layers are etched simultaneously, then a hard mask layer (e.g., 132 of FIG. 3) is formed over the stack at 212 of FIG. 4.
  • the hard mask is thick enough and comprised of a material so as to retain its integrity during the etch process.
  • the capacitor be completely enclosed by conductive top and bottom diffusion barriers and an insulating sidewall diffusion barrier. In some integration approaches it is preferred to have a conductive diffusion barrier remain on top of the capacitor after etching the entire capacitor. In all cases it is preferred to have a capacitor as short as possible for ease of integration.
  • Prior art hard masks 132 are composed of a single layer of material, typically a TiN layer or a TiAlN layer, which in some cases has been left on top of the FeRAM capacitor stack after definition thereof for subsequent use as a hydrogen barrier.
  • a bottom electrode diffusion barrier layer 122 may also be made of the same material, a substantial portion of the hard mask 132 will be removed during the etch of the bottom electrode diffusion barrier layer 122 , as illustrated in FIGS. 12 and 13.
  • the hard mask 132 and the bottom electrode diffusion barrier 122 are the same material (e.g., TiAlN). Since the barrier 122 is electrically conductive, the barrier must be removed in order to electrically isolate neighboring FeRAM cells from one another. As the barrier layer 122 is etched, the hard mask 132 etches at the same rate, thereby causing a substantial reduction of the hard mask thickness and a substantial rounding at the corners 131 , as illustrated in FIG. 13. Such corner rounding can lead to an exposure of the top electrode 130 (e.g., an iridium layer) at the corners 131 that is undesirable for several reasons.
  • the top electrode 130 e.g., an iridium layer
  • such exposure may cause the top electrode 130 to contaminate production tools during subsequent processing; in addition, since the exposed electrode 130 is not an effective hydrogen diffusion barrier, the ferroelectric dielectric material 126 may experience hydrogen contamination (for reasons described in greater detail below) that may degrade substantially the FeRAM performance.
  • One prior art solution is to further increase the thickness of the hard mask 132 to compensate for such loss during etch of the bottom electrode diffusion barrier 122 .
  • Such a solution undesirably increases the overall height of the FeRAM capacitor.
  • a side portion of the underlying top electrode 130 e.g., an iridium electrode
  • a subsequent insulative barrier e.g., an AlOx film, not shown
  • the insulative barrier is relatively thin and is intended as a sidewall barrier, and thus does not cover the exposed top electrode well after patterning thereof. Since the exposed portion of the top electrode 130 is not an effective barrier, the FeRAM is then negatively susceptible to hydrogen exposure, thereby degrading the performance thereof as described above.
  • a multi-layer hard mask in which a hard masking layer overlies an etch stop layer.
  • the etch stop layer is substantially more selective than the overlying masking layer with respect to an etch employed to remove the bottom electrode diffusion barrier layer. Therefore during an etch of the capacitor stack, an etch of the bottom electrode diffusion barrier layer results in a substantially complete removal of the hard masking layer.
  • the substantial selectivity e.g., 10:1 or more
  • the etch stop layer completely protects the underlying top electrode, thereby preventing exposure thereof.
  • the etch stop layer is electrically conductive and serves as a diffusion barrier, thereby eliminating a need for another diffusion barrier layer and reducing an overall height of the FeRAM capacitor stack.
  • a method 212 (e g., of FIG. 4) of forming an FeRAM using a multi-layer hard mask is illustrated in FIG. 14, and designated at reference numeral 212 .
  • the capacitor stack layers are formed at 204 , 206 , 208 and 210 , as discussed previously, and comprise, for example, the bottom electrode layer(s) 124 , the ferroelectric dielectric layer 126 , and the top electrode layer(s) 128 , 130 .
  • a multi-layer hard mask 299 is formed thereover at 300 , for example, by forming an etch stop layer 302 at 304 of FIG.
  • the etch stop layer 302 comprises an electrically conductive material that has a substantially slower etch rate than the overlying masking layer 306 with respect to an etch chemistry employed to etch the bottom electrode diffusion barrier layer 122 .
  • the etch stop layer 302 comprises a TiAlON layer with a substantial amount of oxygen therein, and the benefits of such an etch stop layer 302 will be apparent in the subsequent discussion of the method 212 .
  • the method 212 then continues at 310 , wherein a substantial portion of the capacitor stack is etched by patterning the multi-layer hard mask 299 and using the multi-layer hard mask to etch the underlying layers in the stack, for example, etching the top electrode layer(s) 128 , 130 , the ferroelectric layer 126 , and the bottom electrode layer(s) 124 .
  • the bottom electrode diffusion barrier layer 122 has still not been etched, and an etch of such layer must proceed since the layer is electrically conductive and thus the exposed portion must be removed in order to electrically isolate neighboring FeRAM capacitor stacks.
  • the bottom electrode diffusion barrier layer 122 has a composition substantially the same as the masking layer 306 , for example, TiAlN. Therefore, as the method 212 proceeds to 312 , an etch of the bottom electrode diffusion barrier layer 122 results in a substantial or complete removal of the masking layer 306 on top of the capacitor stack, as illustrated in FIG. 15 b.
  • the masking layer 306 is sufficiently thin that an overetch of the barrier layer 122 results in substantially all of the masking layer 306 being removed, thereby exposing the underlying etch stop layer 302 .
  • an etch rate of the etch stop layer 302 is substantially less than an etch rate of the overlying masking layer 306 during the patterning of the bottom electrode diffusion barrier layer 122 .
  • a substantial overetch of the barrier layer 122 may be performed without an exposure of the top electrode layer 130 (e.g., an iridium layer).
  • the top electrode layer 130 e.g., an iridium layer.
  • an etch of the TiAlN barrier layer 122 and the TiAlN masking layer 306 is performed using a chlorine etch chemistry.
  • an etch selectivity between the masking layer 306 and the underlying TiAlON etch stop layer 302 is about 10:1 or more. Therefore when etching the barrier layer 122 , once the etch removes the masking layer 306 and reaches the etch stop layer 302 on top of the capacitor stack, the etch slows down substantially, thereby allowing a substantial overetch of the barrier layer 122 .
  • the etch stop layer 302 completely protects the underlying top electrode layer 130 , thereby preventing an exposure of a side portion 131 thereof, as illustrated in FIG. 15 b.
  • the etch stop layer 302 is all that remains of the multi-layer hard mask after the patterning of the bottom electrode diffusion barrier layer 122 .
  • a multi-layer hard mask 299 and a method 212 of forming an FeRAM using such a multi-layer hard mask is disclosed.
  • the hard mask 299 is composed of two or more layers ( 302 , 306 ), wherein the top layer 306 , the masking layer, acts as the hard mask and is removed as part of the capacitor stack etch process.
  • the second, underlying layer 302 , the etch stop layer acts as the top electrode diffusion barrier and an etch stop during the capacitor stack etch.
  • a third, optional layer (not shown) is an additional diffusion barrier, and may be located between the etch stop layer 302 and the underlying top electrode 130 .
  • the top layer, the masking layer 306 may be the same material as the bottom electrode diffusion barrier layer, for example, TiAlN, however, such a solution is not required by the present invention. Rather, as long as the etch rate of the masking layer 306 is approximately the same rate as the bottom electrode diffusion barrier layer 122 , any material may be employed and is contemplated as falling within the scope of the present invention. For example, one may use a conductive nitride, carbide or carbo nitride as the masking layer.
  • TiAlON is disclosed as one exemplary etch stop layer, it should be understood that any material that exhibits a high selectivity with respect to the bottom electrode diffusion barrier layer 122 (and the masking layer 306 ) may be employed and is contemplated by the present invention.
  • an oxygen doped material may be employed as the etch stop.
  • An oxide is a good etch stop using the appropriate etch chemistries. It has been noted that Cl 2 +BCl 3 chemistries with quickly etch both materials yet Cl 2 or Cl 2 +Ar chemistries only slowly etch the oxy-nitride films.
  • An estimate of the maximum resistivity for the etch stop layer 302 is about 10 m ⁇ -cm.
  • the multi-layer hard mask 299 is about 50 to 500 nm thick (more preferably around 100 to 300 nm thick—most preferably around 200 nm thick).
  • the hard mask 299 thickness is controlled by the etch process and the relative etch rates of the various materials, the thicknesses of the etched layers, the amount of overetch required, and the desired remaining etch stop layer thickness after etching all of the layers. Thinner ferro stack layers can use thinner hard masks.
  • the hard mask 299 may or may not be removed after the etching of the capacitor stack. If the hard mask 299 is not removed, then it is preferable to form the hard mask of a conductive material. However, a non-conductive or semiconductive material may be used, but the interconnection to the top electrode of the capacitor should preferably be formed through this hard mask so as to make direct connection to the top electrode.
  • a single layer, pure oxide hard mask etch stop that is insulating may be employed, but the etch process must then be adjusted in order to ensure that this layer is completely removed.
  • the etch stop layer 302 also serves as the top electrode diffusion barrier layer and comprises TiAlON having a film thickness of about 20 nm or more and about 50 nm or less.
  • the TiAlON has a composition of oxygen that maintains the resistivity of the layer to about 10 m ⁇ -cm or less.
  • etch stop layer and the barrier layer may comprise separate layers, for example, a TiAlON etch stop layer having a thickness of about 15 nm or more and about 40 nm or less, with the etch stop layer overlying a TiAlN diffusion barrier layer (not shown) having a thickness of about 20 nm or more and about 40 nm or less.
  • the hard mask 299 comprises a TiAlN masking layer 306 having a thickness of about 200 nm on top of a TiAlON etch stop layer 302 having a thickness of about 30 nm and a composition of about O 0.6, N 0.4.
  • a separate barrier layer (not shown) may comprise a TiAlN layer having a thickness of about 30 nm.
  • the hard mask 299 is further simplified to two layers of TiAlN layer of about 200 nm thick on top of a hard mask etch stop layer of TiAlON (composition (O 0.6 N 0.4)) and about 40 nm thick where the TiAlON layer acts both as hard mask etch stop and also as conductive hydrogen diffusion barrier.
  • These materials are advantageously because the materials are relatively simple to deposit, have a low resistivity.
  • the resistivity of TiO is not much higher than TiN and because of the higher oxygen it creates an excellent etch stop.
  • the clean process depends on the backside contamination elements and their contamination levels. Assuming the preferred approach (PVD barrier, hard mask, bottom electrode, top electrode and MOCVD PZT) there will be low levels of Ir on the backside, but continuous films assuming the MOCVD process does not have edge exclusion. Therefore for this type of wafer contamination the preferred backside wafer clean process is a wet etch process that etches the back, edges and small region on the front side of the wafer near the edge. The etch process is somewhat dependent on the materials present on the backside of the wafer (for example if it is Si, SiO 2 or Si 3 N 4 ).
  • SiN As discussed earlier it is preferred to have SiN present on the wafer backside because very aggressive chemicals can be used to etch any ferro contamination while minimizing the amount of SiN etched.
  • An example of an aggressive chemical is hot 80° C. concentrated HF or a bath chemistry that combines hot aqua regia (HCl+HNO 3 ) or SC 2 (HCl+H 2 O 2 ) with HF.
  • peroxide dissolved ozone instead of using peroxide dissolved ozone can be used and can be even more effective.
  • the SiN also has a slow diffusion rate of these materials and hence only a small amount of SiN needs to be removed in order to have surfaces so clean that there are no detectable levels of ferro elements.
  • patterning process is by lithography and the type of lithography is dependent on the desired size of the feature size plus the misalignment to underlying layer (CONT in this example).
  • the lithography was performed using DUV (248 nm) lithography process using organic BARC and relatively thin resist. Larger features could use i-line or even g-line features while even smaller features would require even smaller wavelengths 193 nm or 157 nm.
  • an organic BARC an inorganic BARC could also be used and this might be incorporated into the hard mask etch process.
  • the resist thickness is chosen such that it can hold up during the hard mask etch process.
  • the resist was 510 nm thick while the hard mask was 260 nm thick
  • the BARC thickness was 60 nm
  • 248 nm lithography was used to pattern capacitors ⁇ 250-600 nm in size with a gap spacing of 180 to 300 nm narrow space between the capacitors. Wider spaces were also printed depending on cell size and shape.
  • the preferred approach is to use a hard mask with multiple etch processes. These etch process can be modified by using elevated temperatures in order to achieve even steeper sidewall slopes and therefore less CD growth. In general it is preferred to minimize CD growth and this can be achieved by having steeper etch profile or by having thinner layers.
  • the first step is etching the hard mask and any BARC at 212 of FIG. 4 (inorganic or organic) that might be used followed by clean/ash processes.
  • the BARC/hard mask is preferably etched in the same chamber using a one or more step etch recipe.
  • the preferred etch system is has a high density plasma.
  • two steps are used.
  • the BARC etch consisted of Cl 2 +O 2 at low pressures (3 mTorr) at temperatures low enough not to damage the resist (60° C.) with high density plasma (300 W RF source power) and small bias (50 W RF on the chuck).
  • An example of a tool of this type is the AMAT DPS etch tool.
  • the multi-layer Ti containing hard mask stack is etched in Cl 2 +BCl 3 gas chemistry (BCl 3 substantially helps etching of O containing compounds present as crust on surface or as in this example O containing layers) at same temperature as BARC etch (60° C.) at low pressure (5 mTorr) and high plasma density (1400 W source power) and still low bias (100 W RF on chuck).
  • BCl 3 substantially helps etching of O containing compounds present as crust on surface or as in this example O containing layers
  • BARC etch 60° C.
  • high plasma density (1400 W source power) and still low bias 100 W RF on chuck
  • a clean is sometimes used (either before or after the ash) in order to remove this residue.
  • the ash process can be performed either in a separate chamber/process tool, in situ in the hard mask etch tool or in situ in the ferro stack etch chamber.
  • the clean can either be wet or dry and might be something as simple as DI dunk/rinse or possibly use more active chemicals such as solvent (EKC for example).
  • the goal of the clean is to remove particles and residue.
  • an O 2 ash step (alternatively O 2 +H 2 O or even fluorine containing compounds could be used) at elevated temperatures in order to enhance the ash rate (250° C.) using high plasma density, but low energy and little if any substrate bias (AMAT ASP chamber, for example).
  • a more aggressive ash process can be used such as adding fluorine compounds such as CF 4 to the ash process and adding a small amount of substrate bias.
  • top electrode and bottom electrode are typically hard to etch materials and usually very similar materials there is a strong preference to etch this ferro stack (top electrode, ferroelectric and bottom electrode) in the same etch chamber.
  • the following discussion assumes that one etch chamber is used, to etch this ferro stack at 212 of FIG. 4 because that is preferred, but it is also possible to use multiple chambers which has the advantage that each chamber can be optimized for each process.
  • the top electrode 128 , 130 is etched after patterning the hard mask.
  • a high temperature etch process is used because this is typically needed in order to achieve a chemical etch.
  • the chemical etch has the advantage that steeper sidewall profiles can be achieved with usually less CD growth and better etch selectivity to other layers such as the hard mask.
  • One exemplary etch chemistry for these noble metal electrodes is Cl 2 +O 2 or Cl 2 +CO.
  • Other gas additives such as N 2 or Ar can also be added although Ar in particular is-usually not a good choice because it only etches by physical mechanisms and not chemical.
  • An exemplary process is sensitive to electrode and hard mask material.
  • the top electrode etch is Cl 2 +O 2 +N 2 .
  • the oxygen content of the top electrode etch preferably is >5-10% in order to achieve a very low hard mask etch rate (e.g., good selectivity to the hard mask).
  • the optimum etch process uses a high density plasma (1200 W, for example) and an intermediate substrate bias (300 W chuck) at intermediate pressures (10 mTorr) and elevated temperatures (350-400° C.). This type of process results in etch rates in the range of about 80 nm/min.
  • the gas composition can be optimized to provide the maximum chemical etch rate or the maximum ratio of chemical etch rate to physical etch rate. This difference can be estimated by measuring etch rate as a function of temperature and physical etch rate is temperature independent while the chemical etch rate varies with temperature. A rough estimate of this maximum chemical etch component based on gas composition (varies depending on details of process) is roughly 30-80% O 2 or CO for Cl 2 +O 2 and Cl 2 +CO, respectively. Another important aspect of the etch process is the time between cleans.
  • Etching the ferroelectric 126 requires choosing an etch process that is again compatible with the choice of hard mask. For a PZT ferroelectric material, multiple etch approaches will be discussed.
  • the first exemplary approach uses a modification of the hard mask etch based on a Cl 2 +Fluorine gas+oxidizer (O 2 or CO for example) with Ar or N 2 possibly added as well.
  • a Cl 2 +Fluorine gas+oxidizer O 2 or CO for example
  • Ar or N 2 possibly added as well.
  • fluorine gas prevents chemical undercut of the PZT.
  • fluorine gas at an elevated substrate temperature 350° C., for example
  • fluorine containing gases that also contain hydrogen helps reduce this effect, for example CF 4 >CHF 3 >CH 2 F 2 >CH 3 F.
  • An exemplary etch condition using this etch approach is Cl 2 +O 2 +CH 2 F 2 (75/35/12) at high chuck temperature (350° C.-400° C.) at medium pressure (10 mTorr) at high density plasma (1200 W) and large substrate bias (450 W RF on chuck). These types of processes result in etch rates in the range of 70 nm/min. If the ferroelectric film is rough a large overetch is in general needed.
  • fluorine is selectively chemically attacking elemental portions of the PZT within the film as opposed merely to its exposed sidewall edges of the stack.
  • fluorine when fluorine is exposed to zirconium oxide, it reacts to form zirconium fluoride and oxygen since that result is energetically preferred.
  • the inventors of the present invention determined that the fluorine component of the PZT etch should be eliminated to avoid the PZT degradation highlighted above.
  • the PZT portion of the capacitor stack etch employs BCl 3 as opposed to an etch chemistry containing a fluorine component.
  • BCl 3 as an etchant for the PZT is not an obvious substitution from the prior art etch chemistry for at least the following reasons. Initially, BCl 3 does not appear viable because such an etch provides poor selectivity with respect to the hard mask (e.g., a TiAlN hard mask), thereby resulting in substantial hard mask erosion.
  • BCl 3 is extremely reactive; so much so that the boron and chlorine may disassociate due to the oxygen and negatively form gas phase particles. Therefore initially one of ordinary skill in the art would not be motivated to use a BCl 3 etch chemistry to etch the PZT.
  • the ratio of Ar to BCl 3 in such an example is about 20-30% Ar.
  • a ratio of about 50/50 facilitates hard mask selectivity.
  • a PZT etch approach uses a BCl 3 etch chemistry which may further include Ar.
  • the BCl 3 etch chemistry is effective in etching oxide materials because the B reacts with the oxygen in the oxide forming BOx which is etched by Cl. The metals in the oxide can then easily react with Cl 2 forming volatile compounds.
  • the optimum hard mask for purposes of selectivity is a nitride (e.g., TiAlN) because the B reacts with the nitride to form a BN layer which slows the etch rate.
  • such conductive material comprises etched iridium that re-deposits on the sidewall of the PZT during the etch of the iridium bottom electrode layer. Further, upon analysis, it was appreciated by the inventors of the present invention that such re-deposition of iridium on the PZT sidewall edges occurs because of the substantially vertical PZT sidewall.
  • the BCl 3 PZT etch results in a substantially vertical sidewall having an angle of about 89 degrees or more.
  • a vertical profile is considered highly desirable in order to minimize the critical dimension (CD) of the FeRAM capacitor.
  • CD critical dimension
  • a PZT etch that generates an intentionally sloped or non-vertical PZT sidewall profile provides for a resulting stack without substantial leakage or potential shorted capacitor problems.
  • Introducing an intentional sloped PZT sidewall profile in the capacitor stack is counter-intuitive because generally attempts are made to achieve purely vertical sidewalls to minimize the capacitor critical dimension.
  • a sloped PZT profile having a sidewall angle of about 88 degrees or less is generated using a low temperature etch process (e.g., about 60° C.) using a fluorine gas+Cl 2 +an oxidizer, for example.
  • the capacitor stack process comprises a high temperature etch for the top electrode, a low temperature etch for the PZT, and a high temperature etch for the bottom electrode.
  • a process can be employed in a single etch tool using two separate chambers associated therewith, wherein the top and bottom electrode etches are performed in one of the chambers at the high temperature (e.g., about 350° C.), and transferred to and from a second chamber in which the PZT layer etch is performed at a lower temperature (e.g., 60° C.).
  • the BCl 3 PZT etch does not work well at low temperatures, however, the inventors of the present invention discovered that by using a fluorine gas+Cl 2 +an oxidizer with Ar or N 2 at low temperatures, a sloped PZT profile having an angle of about 88 degrees or less could be achieved. More particularly, in one example, CHF 3 +Cl 2 +O 2 +N 2 was used at a temperature of about 60° C. on chuck. Unexpectedly, unlike at high temperatures (as discussed earlier), such an etch chemistry does not cause voids or gaps in the PZT and thus a sloped PZT sidewall profile is obtained without PZT film degradation.
  • a PZT sloped profile has an angle of about 80 degrees or more and about 88 degrees or less.
  • the slope is sufficiently angled to allow ion impingement (e.g., chlorine ions) to remove re-deposited iridium on the PZT sidewall (during bottom electrode etch) at a faster rate than the deposition. Concurrently, by preventing the angle from becoming too small, critical dimension growth is minimized.
  • the bottom electrode layer is patterned.
  • Etching the bottom electrode 124 typically uses an etch process very similar to the top electrode etch process if the electrode materials are similar as is the situation in this preferred embodiment. Note that with a Ti hard mask the bottom electrode etch will effectively stop on the bottom electrode diffusion barrier 122 (TiAlON, TiAlN or TiON in this example). This is an advantage of this approach because it allows large overetch to be used without the formation of undesirable recesses or notching next to ferro structures because of overetch.
  • one option is to perform an oxygen anneal in order to replace any oxygen that might have been removed by the etch process.
  • One way to perform this anneal is an in-situ O 2 plasma (1000 W) with minimal (25 W chuck) at 20 mTorr in the high-T etch chamber or possibly run the wafers through a standard ash process (250° C.).
  • the ash process could be O 2 or possibly O 2 +H 2 O combination.
  • the various etch steps are end pointed using optical emission spectroscopy, substrate bias changes, or some other technique such as RGA or optical techniques that look at the wafer surface.
  • RGA optical emission spectroscopy
  • roughness of the layers being etched and the shapes and especially spaces in the structures being etched it is typically necessary to set larger overetch times than might be expected based on endpoint traces. This is because the etch rate in narrow spaces is slower than in tight spaces plus rough films like frequently found with MOCVD PZT need more etch time in order to clear. Another reason to increase the overetch time is to remove “feet” that are present for some processes at the bottom of the ferro stack.
  • the etch process is a dirty process and hence it is likely that the etch tool and the front side, edge and backside of the wafers will have FeRAM contamination or have etch residues with FeRAM contamination. It is therefore desirable to clean the front side of the wafer and chemically remove etch residues and possibly remove a thin layer of damaged PZT.
  • This post capacitor etch wet clean can with some etch conditions and chemistries, be as simple as a DI water clean (tank soak with or without megasonic followed by a spin rinse dry) or the tank etch might be acid based in order to improve the clean or remove more damage.
  • One exemplary acid solution might be similar to SC1 or SC2 (possibly without peroxide but maybe with ozone), for example (NH 4 F+O 3 +H 2 O or NH 4 F+H 2 O 2 +HCl+H 2 O: 1/1/1/300) in order to also assist in particle removal plus metal contamination removal).
  • the backside and edges of the wafer are likely to be significantly contaminated by re-deposition of FeRAM elements.
  • the contamination is preferably removed prior to process in a shared tool.
  • One method to remove the backside chemistry is to use a specialized tool such as a backside clean tool (e.g., as made by SEZ). Even hard to etch materials such as Ir can be removed if they are sub monolayer coverage by undercutting the etching of the material on the backside. Processes as described previously can be used here.
  • One option is to anneal the ferroelectric stack in order to remove etch damage.
  • the preferred thermal budget of this anneal is ⁇ 600° C. for 2 min.
  • One option for this anneal is to perform the anneal with a Pb overpressure in order to prevent Pb loss that might damage the ferroelectric. Methods to achieve this Pb overpressure include furnace anneal with the capacitor facing Pb compound such as PbO or maybe PbTiO 3 or even PZT.
  • Another method is to anneal while flowing very low amounts of Pb metalorganic with oxygen such that the Pb forms PbO but does not deposit as such on the wafer because its deposition rate is slower than its evaporation rate.
  • an insulating sidewall diffusion barrier (typically AlOx) is deposited at 260 in order to protect the FeRAM capacitor from hydrogen contamination, and also to protect other structures from lead contamination if the ferroelectric dielectric is PZT.
  • the sidewall diffusion barrier was formed over the capacitor stack after the bottom electrode diffusion barrier 122 was etched to electrically isolate neighboring capacitor stacks from one another. The inventors of the present invention discovered that such a solution was undesirable since some sidewall diffusion barrier layer materials (e.g., AlOx) are not substantially selective with respect to the underlying interlayer dielectric 112 , typically SiO 2 .
  • the inventors of the present invention disclose a method of fabricating an FeRAM by forming the sidewall diffusion barrier layer over the capacitor stack before the etching of the bottom electrode diffusion barrier layer. Such a method results in several advantages could be achieved, as will be discussed in greater detail below.
  • a method 260 of forming the sidewall diffusion barrier layer in accordance with one aspect of the invention is provided.
  • a sidewall diffusion barrier layer 300 for example, AlOx is formed over the capacitor stack 301 and the exposed portion 304 of the bottom electrode diffusion barrier layer 122 , as illustrated in FIG. 17.
  • the sidewall diffusion barrier 300 comprises AlOx having a thickness of about 8 nm or more and about 120 nm or less. More preferably, the AlOx barrier layer 300 has a thickness of about 10 nm or more and about 20 nm or less.
  • other materials such as Ta 2 O 5 , AlN, TiO 2 , ZrO 2 , HfO 2 , or any stack or combination thereof may be employed and are contemplated as falling within the scope of the present invention.
  • the sidewall barrier 300 comprises a multi-layer with two possible materials, the first material being AlOx or one of the materials highlighted above, and the second layer comprising SiN or AlN.
  • the sidewall diffusion barrier 300 primarily needs to prevent reaction between the PZT ferroelectric layer 126 and the interlayer dielectric (ILD) 112 , 134 .
  • ILD interlayer dielectric
  • Another use as envisioned here is as part of the hydrogen diffusion barrier. It is currently planned to have complete protection of the capacitor to hydrogen during subsequent processing by the use of hydrogen diffusion barriers on all sides.
  • the TiAlN or TiAlON used as part of the hard mask 302 , 306 and as the bottom electrode diffusion barrier 122 are conductive hydrogen barriers (since the capacitor will need to make electrical contact to and from the top/bottom electrodes) while the AlOx is an insulating hydrogen diffusion barrier (in order to prevent the top and bottom electrodes from shorting out the capacitor).
  • the AlOx is used as a Pb and H diffusion barrier while the Si 3 N 4 that is deposited later on in the flow is used as a contact etch stop.
  • AlOx will be used, however, it should be understood that other sidewall diffusion barrier materials may instead be used.
  • AlOx etch back after PZT deposition which is helpful for physical bottom electrode etch processes
  • AlOx etch back after etching bottom electrode diffusion barrier which has advantages in limiting CD growth of this layer, but is a harder etch to develop
  • a via etch stop layer typically SiN but might possibly be SiC, for example
  • a process for depositing the AlOx sidewall diffusion barrier layer is chemical vapor deposition (CVD) (e.g., MOCVD, CVD or atomic layer deposition), but other approaches such as sputter deposition can also be used.
  • CVD chemical vapor deposition
  • MOCVD metal-organic chemical vapor deposition
  • CVD chemical vapor deposition
  • atomic layer deposition atomic layer deposition
  • sputter deposition e.g., MOCVD, CVD or atomic layer deposition
  • the primary advantage of the CVD approaches is better step coverage along the sidewalls 308 of the capacitor stack 302 , which is desirable to ensure an effective sidewall barrier 300 . Since what is important is the thickness after etch-back of the AlOx on the sides 308 of the capacitor, a better step coverage dramatically reduces the planar thickness on top 310 of the capacitor stack and over the exposed portion 304 of the bottom electrode diffusion barrier layer 122 that needs to be deposited and etched.
  • the sidewall diffusion barrier 300 residing on the top 310 of the capacitor stack 301 and over the exposed portions 304 of the bottom electrode diffusion barrier 122 (between neighboring stacks) is etched at 264 , as illustrated in FIG. 18.
  • the AlOx etch back process needs to remove the AlOx from planar surfaces 305 , 310 , but not from the sidewalls 308 of the capacitors.
  • AlOx clears over the diffusion barrier layer 122 between neighboring capacitor stacks in order for a complete subsequent etching of the bottom electrode diffusion barrier layer 122 , in order to prevent neighboring capacitors from shorting out each other (since the bottom electrode diffusion barrier layer is electrically conductive).
  • the etch chemistry for etching the AlOx sidewall diffusion barrier is BCl 3 +Ar.
  • the BCl 3 is effective in etching the AlOx with a good selectivity to the underlying nitride hard mask 306 on top 310 of the capacitor stack (e.g., TiAlN) and nitride bottom electrode diffusion barrier 122 (e.g., TiAlON with small oxygen content) between 304 the neighboring capacitor stacks.
  • the Ar may be added (as in the above example) to the etch chemistry because the resulting surface (of a top portion of the hard mask and the bottom electrode diffusion barrier) is smoother, but one disadvantage is that it etches AlOx on the sloped sides 308 of the capacitor; that is, the etch is less anisotropic.
  • An exemplary etch process uses a high density plasma etch tool such as a AMAT DPS at near room temperature ( ⁇ 60° C.) with ⁇ 50% Ar at an intermediate gas pressure ( ⁇ 10 mTorr) 750 W remote plasma power and low bias (e.g., 150 W RF on chuck). The etch rate is 50 nm/min under this type of etch process.
  • the BCl 3 etch is substantially selective with respect to the underlying nitride layers (the hard mask 306 and the bottom electrode diffusion barrier layers 122 ).
  • the aluminum-oxygen bonds in the AlOx layer are extremely strong, however, the boron in the BCl 3 reacts with oxygen to break the aluminum-oxygen bonds.
  • the chlorine in the BCl 3 then reacts with the aluminum to remove the AlOx.
  • the boron in the BCl 3 reacts with nitrogen in the underlying nitrides to form boron nitride, which slows down subsequent etching.
  • the AlOx sidewall diffusion barrier layer without substantially impacting the nitrides underneath (e.g., TiAlN or TiAlON (low content O) masking layer 306 or bottom electrode diffusion barrier 122 ).
  • This is particularly helpful with regard to the bottom electrode diffusion barrier layer 122 since it allows the AlOx to be completely removed thereover, thus ensuring that all of the underlying barrier 122 is exposed for removal in a subsequent etch process, and thus ensuring that the neighboring capacitor stacks 301 are electrically isolated from one another.
  • the bottom electrode diffusion barrier is now etched at 266 of FIG. 16, as illustrated in FIG. 19.
  • the chemistry in one example, is changed to Cl 2 +Ar which effectively etches TiAlN or TiAlON (low oxygen) (masking layer 306 and barrier 122 ), but has good etch selectivity to AlOx on the sidewalls 308 and to the TiAlON (high O) hard mask etch stop layer 302 .
  • the Ar etch gas component in one exemplary aspect of the present invention is added to help achieve a smooth post etch surface although the disadvantage is an increase in the AlOx etch rate on the sidewalls of the capacitor stack(s).
  • An example etch process uses a high density plasma etch tool such as a AMAT DPS at near room temperature ( ⁇ 60° C.) with ⁇ 50% Ar at an intermediate gas pressure ( ⁇ 10 mTorr) 1000 W remote plasma power and low bias (e.g, 100 W RF on chuck).
  • the etch rate is 100 nm/min under this type of etch process.
  • the thickest part of the masking layer 306 is thicker than the bottom electrode diffusion barrier. Therefore the etch time and endpoint traces may be adjusted for this. This situation will therefore show up on the endpoint trace and also will result in reasonably large overetch on the W/TiN and SiO 2 under the capacitors. Fortunately the etch chemistry does not attack these materials very fast except for the TiN, and the Ar keeps this attack to a minimum because the TiN is only present as a thin diffusion barrier between the W contacts and SiO 2 dielectric.
  • One problem that has been observed to occur during this etch was the undercut of the bottom electrode diffusion barrier 122 if it was TiAlN.
  • the undercut of the TiAlON barrier 122 was for practical purposes eliminated. Another issue with this etch is that a reasonable amount of overetch is necessary in order to completely remove the TiAlN from above the hard mask etch stop layer 302 .
  • the TiAlN layer, when not completely removed, is very rough and will be difficult to make contact to during via formation because of SiN step coverage on the rough TiAlN.
  • the capacitor stack (hard mask 302 , 306 , top electrode layer 128 , 130 , ferroelectric dielectric layer 126 , and bottom electrode layer 124 ) has been etched with the bottom electrode diffusion barrier layer 122 remaining and the patterned sidewall diffusion barrier 300 on the sidewalls 308 of the stack.
  • the sidewall diffusion barrier layer 300 it is desirable to remove the sidewall diffusion barrier layer 300 off of the top 310 of the capacitor stack and in the areas 304 between the stacks, however, it is desirable for the sidewall diffusion barrier 300 to remain on the stack sidewalls 308 in order to protect the ferroelectric dielectric 126 in the stack from hydrogen contamination. Because the capacitor stack is not perfectly vertical and since the etch thereof has a chemical component, the sidewall diffusion barrier layer 300 on the sidewalls 308 does get etched to some degree, and often it is desirable to analyze the capacitor stack after the sidewall barrier etch to ensure that the barrier 300 still covers the capacitor stack sidewalls 308 . Because the remaining sidewall layer 300 may be relatively thin (e.g., about 150-200 Angstroms), analyzing the sidewall layer 300 is difficult, for example, requiring an expensive and laborious TEM (transmission electron microscopy) analysis.
  • TEM transmission electron microscopy
  • the inventors of the present invention discovered that if the thickness of the masking layer 306 is sufficiently thick, during the capacitor stack etch, although rounding will be experienced at the corners 400 thereof, the sidewall diffusion barrier layer 300 will overlie such corners 400 upon its deposition, as illustrated in FIG. 20.
  • a portion 402 of the sidewall diffusion barrier layer 300 overlying a corner portion 404 of the masking layer 306 will protect such portion 404 of the masking layer 306 from being etched, thereby resulting in “ears” 406 being formed on top of the hard mask etch stop layer 302 , as illustrated in FIG. 21. Note, however, if a thickness of the sidewall diffusion barrier layer 300 is not sufficiently thick, no portion 402 will overlie the masking layer 306 , and consequently no “ears” will form.
  • identification of the “ears” 404 will allow one to quickly ascertain that the sidewall diffusion layer 300 is adequately covering the capacitor stack sidewalls 308 .
  • the “ears” 406 are easy to detect (by a standard or tilt scanning electron microscope (SEM), for example), and their presence indicates that the sidewall barrier 300 (e.g., AlOx) is above the height of the hard mask etch stop diffusion barrier 302 .
  • SEM tilt scanning electron microscope
  • a lack of “ears” 406 although not indicative of a lack of sidewall barrier coverage, does indicate that the sidewall barrier may not be sufficiently thick, and may warrant further analysis or a process change.
  • the thicknesses of the hard mask, AlOx deposition and etch back process and bottom electrode diffusion barrier etch back process are all adjusted to ensure that ears are observed because this easy to detect feature proves that AlOx protection is successfully in place.
  • the TiAlON bottom electrode diffusion barrier is etched immediately after etching the bottom electrode. This etch can either be performed at high T or low T although it is preferred that this be done at low T ( ⁇ 200° C.).
  • the preferred etch chemistry for this utilizes a short BCl 3 containing (may contain Ar and/or Cl 2 as well) etch step followed by Cl 2 +Ar etch as described previously. After post stack etch clean the AlOx followed immediately by deposition of the interlayer dielectric that may or may not include separate etch stop layer (typically SiN or SiC).
  • etch stop layer typically SiN or SiC.
  • the AlOx can be used as an etch stop by appropriate changes in the via etch chemistry.
  • the last step of the via etch is now etching AlOx.
  • the preferred etch chemistry for this process is still BCl 3 +Ar and it is desirable to minimize the amount of overetch that is needed.
  • a hydrogen diffusion barrier is next deposited in the via such as TaN or TiN as is typical in standard semiconductor processing then it might be possible to simplify the ferroelectric stack. By using this approach it is possible to remove the top and bottom electrode diffusion barrier or else to use materials that are not hydrogen barriers. The reason is that the hydrogen protection from the top comes from the AlOx plus the via barrier. These two layers also protect the capacitor from additional hydrogen from the bottom although it might be necessary to adjust the previous processes to prevent them from supplying hydrogen later on that without a bottom electrode hydrogen barrier degrade the capacitor properties.
  • the front side of the wafer has exposed FeRAM elements.
  • the AlOx deposition process may or may not result in contamination of the tool (defined to be addition of FeRAM contaminants on subsequent wafers at levels above care about level ( ⁇ 10 10 atoms/cm 2 ). If the AlOx deposition process on FeRAM wafers does not result in contamination then it is preferred to wet clean the backside of the wafer prior to depositing this sidewall diffusion barrier. If the AlOx deposition process on FeRAM wafers does result in tool contamination then instead of performing a backside wafer clean the clean after stack etch, the backside clean can be done after AlOx etch back/bottom electrode diffusion barrier etch step. One option is to anneal the capacitor at this point. One reason to do this anneal so soon is that if a low-K layer is chosen then it might not be compatible with the preferred PZT damage recovery anneal condition (600° C. 2 min).
  • the preferred next step is the deposition of a via etch stop material.
  • Example films are silicon nitride, silicon carbide, (SiCNO) or an silicon oxide (preferably a high-density plasma oxide).
  • This etch stop layer is needed primarily because the via etch is reaching two different via depths.
  • the etch stop makes simple means in order to etch two via depths without the large overetch on the thinner via causing a problem.
  • the other advantage the etch stop has is that the SiN etch can be tuned to be selective to underlying materials (W, TiN and SiO 2 ). This prevents forming narrow grooves when a via is misaligned to the underlying contact. Forming these grooves is a particular reliability problem by making a thin spot in the via diffusion barrier especially for Cu vias.
  • the etch stop thickness is chosen based on the via etch process.
  • Another advantage of having the etch stop is that it prevents misaligned vias that fall off the capacitor from shorting the capacitor. In a similar manner it allows vias placed close to the capacitor to be misaligned and still not short the capacitor. This behavior allows the FRAM bit cell size to be reduced by allowing a reduced via to capacitor space than if the etch stop was not present.
  • the typical deposition process for the SiN would be PECVD using SiH 4 and N 2 or NH 3 . It is preferred to use SiH 4 and N 2 in order to create a low H SiN barrier.
  • a no hydrogen SiN process such as PECVD using SiCl 4 and N 2 or alternatively a very low T SiN process be used such as SiH 4 and N 2 using special low T PECVD such as ECR SiN.
  • a PVD SiN barrier is another no hydrogen option.
  • the preferred next layer is deposition of gap fill dielectric such as HDP SiO 2. With the SiN deposited the gaps between capacitor are very narrow and the aspect ratio is quite large. Therefore a gap fill dielectric is recommended. Another example of a gap fill dielectric is spin on glass. Although not desirable small voids between narrow capacitor space might be tolerated but voids where stacked vias for BL connection will be made will cause problems.
  • the primary interlevel dielectric As illustrated at 270 of FIG. 4, and possible material choices are Sio 2 , FSG, PSG, BPSG, PETEOS, HDP oxide, a silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-oxy-nitride , a low dielectric constant material (preferably SiLK, porous SiLK, teflon, low-K polymer (possibly porous), aerogel, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material), or a combination or stack thereof.
  • SiLK silicon nitride
  • silicon oxynitride silicon carbide
  • silicon carbo-oxy-nitride silicon carbo-oxy-nitride
  • a low dielectric constant material preferably SiLK, porous SiLK, teflon, low-K polymer (possibly porous), aerogel, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material
  • CMP chemical mechanical planarization
  • other methods such as spin on dielectrics or deposition and etch back.
  • CMP is preferred as it results in global and not just local planarization.
  • the CMP planarization will thin the dielectric to a workable thickness above the capacitor. Preferred values are between 300 nm to 500 nm.
  • the surface after CMP needs to be as planar as possible.
  • the via etch needs to etch through all of the dielectrics to the etch stop without etching through the etch stop because the vias have two different heights.
  • the etch stop needs to be etched without etching a significant amount of the underlying material (W, TiN and SiO 2 at the contacts) and hard mask etch stop layer (TiAlON or TiON) on top of the capacitors under a few preferred embodiments.
  • SiN etch processes with these characteristics have been developed and the etch selectivity to TiAlON has been documented to be very good. This result is useful since the SiN etch rate on the capacitor is expected to faster and the SiN is also expected to be thinner compared to at the contacts which is deeper.
  • an anneal of the instant invention is performed, as illustrated at 280 of FIG. 4, so as to remove damage introduced by the capacitor stack processing (such as the ferroelectric material etch, encapsulation, and contact etch) into the capacitor dielectric and to improve the electrical properties of these features. If this anneal is not done at this point (i.e. if the anneal is done with the PZT stack exposed on its sidewalls), then it may result in the loss of Pb near the perimeter of each capacitor. This loss in Pb in the PZT film will result in the degradation of the electrical properties of small capacitors (capacitors with large perimeter to area ratios) after the capacitor integration.
  • the capacitor stack processing such as the ferroelectric material etch, encapsulation, and contact etch
  • the anneal of the instant invention is, preferably, performed after the interlevel dielectric is formed and the via holes patterned and etch, but prior to the filling of the vias with the conductive material.
  • the anneal conditions for example, are: around 400 to 800° C. (more preferably around 500 to 700° C.—most preferably around 600° C.) for a duration of around 30 seconds to 5 minutes (more preferably for around 1 to 4 minutes—most preferably around 2 minutes) in an inert gas (N 2 , Ar) atmosphere or vacuum.
  • N 2 , Ar inert gas atmosphere or vacuum.
  • the conductor is either W with TiN diffusion barrier or more preferably Cu with TaN, TaSiN, Ta, TiN, WN, or TiSiN diffusion barrier deposited by enhanced sputter deposition or more preferably CVD.
  • the Cu is deposited by first depositing a Cu seed by enhanced sputter deposition or CVD preferably followed by Cu electroplating in order to fill the via.
  • a standard semiconductor processing approach after the deposition of the metal in the via is to remove the metal on the top surface by etch back (W) or CMP (W and Cu).
  • metal layer above the via is formed using dual damascene process along with the via.
  • the disadvantage of this approach is substantial increase in process complexity.

Abstract

The present invention is directed to a method of forming an FeRAM integrated circuit, which includes performing a capacitor stack etch to define the FeRAM capacitor. The method comprises etching a PZT ferroelectric layer with a high temperature BCl3 etch which provides substantial selectivity with respect to the hard mask. Alternatively, the PZT ferroelectric layer is etch using a low temperature fluorine component etch chemistry such as CHF3 to provide a non-vertical PZT sidewall profile. Such a profile prevents conductive material associated with a subsequent bottom electrode layer etch from depositing on the PZT sidewall, thereby preventing leakage or a “shorting out” of the resulting FeRAM capacitor.

Description

    RELATED APPLICATION
  • This application claims priority to Serial No. 60/353,535 filed Jan. 31, 2002, which is entitled “FeRAM Capacitor Stack Etch”.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates generally to the field of integrated circuit processing, and more particularly relates to an FeRAM structure and a method of manufacture thereof having a capacitor stack etch which effectively etches the ferroelectric dielectric layer without degradation thereof. [0002]
  • BACKGROUND OF THE INVENTION
  • Several trends exist, today, in the semiconductor device fabrication industry and the electronics industry. Devices are continuously getting smaller and smaller and requiring less and less power. A reason for this is that more personal devices are being fabricated which are very small and portable, thereby relying on a small battery as its supply source. For example, cellular phones, personal computing devices, and personal sound systems are devices that are in great demand in the consumer market. In addition to being smaller and more portable, personal devices are requiring more computational power and on-chip memory. In light of all these trends, there is a need in the industry to provide a computational device that has a fair amount of memory and logic functions integrated onto the same semiconductor chip. Preferably, this memory will be configured such that if the battery dies, the contents of the memory will be retained. Such a memory device that retains its contents while a signal is not continuously applied to it is called a non-volatile memory. Examples of conventional non-volatile memory include: electrically erasable, programmable read only memory (“EEPROM”) and FLASH EEPROM. [0003]
  • A ferroelectric memory (FeRAM) is a non-volatile memory that utilizes a ferroelectric material, such as SBT or PZT, as the capacitor dielectric situated between a bottom electrode and a top electrode. Both read and write operations are performed for a FeRAM. The memory size and memory architecture affect the read and write access times of a FeRAM. Table 1 illustrates the differences between different memory types. [0004]
    TABLE 1
    FeRAM
    Property SRAM Flash DRAM (Demo)
    Voltage >0.5 V Read >1 V 3.3 V
    >0.5 V
    Write
    (12 V)
    (±6 V)
    Special Transistors NO YES YES NO
    (High Voltage) (Low
    Leakage)
    Write Time <10 ns 100 ms <30 ns 60 ns
    Write Endurance >1015 <105 >1015 >1013
    Read Time (single/ <10 ns <30 ns <30 ns/ 60 ns
    multi bit) <2 ns
    Read Endurance >1015 >1015 >1015 >1013
    Added Mask for 0 ˜6-8 ˜6-8 ˜3
    embedded
    Cell Size (F˜metal ˜80 F2 ˜8 F2 ˜8 F2 ˜18 F2
    pitch/2)
    Architecture NDRO NDRO DRO DRO
    Non volatile NO YES NO YES
    Storage I Q Q P
  • The non-volatility of an FeRAM is due to the bi-stable characteristic of the ferroelectric memory cell. Two types of memory cells are used, a single capacitor memory cell and a dual capacitor memory cell. The single capacitor memory cell (referred to as a 1T/1C or 1C memory cell) requires less silicon area (thereby increasing the potential density of the memory array), but is less immune to noise and process variations. Additionally, a 1C cell requires a voltage reference for determining a stored memory state. The dual capacitor memory cell (referred to as a 2T/2C or 2C memory cell) requires more silicon area, and it stores complementary signals allowing differential sampling of the stored information. The 2C memory cell is more stable than a 1C memory cell. [0005]
  • As illustrated in prior art FIG. 1, a 1T/[0006] 1C FeRAM cell 10 includes one transistor 12 and one ferroelectric storage capacitor 14. A bottom electrode of the storage capacitor 14 is connected to a drain terminal 15 of the transistor 12. The 1T/1C cell 10 is read from by applying a signal to the gate 16 of the transistor (word line WL)(e.g., the Y signal), thereby connecting the bottom electrode of the capacitor 14 to the source of the transistor (the bit line BL) 18. A pulse signal is then applied to the top electrode contact (the plate line or drive line DL) 20. The potential on the bit line 18 of the transistor 12 is, therefore, the capacitor charge divided by the bit line capacitance. Since the capacitor charge is dependent upon the bi-stable polarization state of the ferroelectric material, the bit line potential can have two distinct values. A sense amplifier (not shown) is connected to the bit line 18 and detects the voltage associated with a logic value of either 1 or 0. Frequently the sense amplifier reference voltage is a ferroelectric or non-ferroelectric capacitor connected to another bit line that is not being read. In this manner, the memory cell data is retrieved.
  • A characteristic of the shown ferroelectric memory cell is that a read operation is destructive. The data in a memory cell is then rewritten back to the memory cell after the read operation is completed. If the polarization of the ferroelectric is switched, the read operation is destructive and the sense amplifier must rewrite (onto that cell) the correct polarization value as the bit just read from the cell. This is similar to the operation of a DRAM. The one difference from a DRAM is that a ferroelectric memory cell will retain its state until it is interrogated, thereby eliminating the need of refresh. [0007]
  • As illustrated, for example, in prior art FIG. 2, a 2T/[0008] 2C memory cell 30 in a memory array couples to a bit line 32 and an inverse of the bit line (“bit line-bar”) 34 that is common to many other memory types (for example, static random access memories). Memory cells of a memory block are formed in memory rows and memory columns. The dual capacitor ferroelectric memory cell comprises two transistors 36 and 38 and two ferroelectric capacitors 40 and 42, respectively. The first transistor 36 couples between the bit line 32 and a first capacitor 40, and the second transistor 38 couples between the bit line-bar 34 and the second capacitor 42. The first and second capacitors 40 and 42 have a common terminal or plate (the drive line DL) 44 to which a signal is applied for polarizing the capacitors.
  • In a write operation, the first and [0009] second transistors 36 and 38 of the dual capacitor ferroelectric memory cell 30 are enabled (e.g., via their respective word line 46) to couple the capacitors 40 and 42 to the complementary logic levels on the bit line 32 and the bar-bar line 34 corresponding to a logic state to be stored in memory. The common terminal 44 of the capacitors is pulsed during a write operation to polarize the dual capacitor memory cell 30 to one of the two logic states.
  • In a read operation, the first and [0010] second transistors 36 and 38 of the dual capacitor memory cell 30 are enabled via the word line 46 to couple the information stored on the first and second capacitors 40 and 42 to the bar 32 and the bit line-bar line 34, respectively. A differential signal (not shown) is thus generated across the bit line 32 and the bit line-bar line 34 by the dual capacitor memory cell 30. The differential signal is sensed by a sense amplifier (not shown) that provides a signal corresponding to the logic level stored in memory.
  • A memory cell of a ferroelectric memory is limited to a finite number of read and write operations before the memory cell becomes unreliable. The number of operations that can be performed on a FeRAM memory is known as the endurance of a memory. The endurance, is an important factor in many applications that require a nonvolatile memory. Other factors such as memory size, memory speed, and power dissipation also play a role in determining if a ferroelectric memory is viable in the memory market. [0011]
  • SUMMARY OF THE INVENTION
  • In essence, the instant invention relates to the fabrication of an FeRAM device which is either a stand-alone device or one which is integrated onto a semiconductor chip which includes many other device types. Several requirements either presently exist or may become requirements for the integration of FeRAM with other device types. One such requirement involves utilizing, as much as possible, the conventional front end and back end processing techniques used for fabricating the various logic and analog devices on the chip to fabricate this chip which will include FeRAM devices. In other words, it is beneficial to utilize as much of the process flow for fabricating these standard logic devices (in addition to I/O devices and potentially analog devices) as possible, so as not to greatly disturb the process flow (and thus increase the process cost and complexity) merely to integrate the FeRAM devices onto the chip. [0012]
  • The following discussion is based on the concept of creating the ferroelectric capacitors in a FeRAM process module that occurs between the front end module (defined to end with the formation of W contacts) and the back end process module (mostly metallization). Other locations of the FeRAM process module have also been proposed. For example, if the FeRAM process module is placed over the first layer of metallization then a capacitor over bar structure can be created with the advantage that a larger capacitor can be created. One disadvantage of the approach is that either Metal-1 or a local interconnect must be compatible with FeRAM process temperature (W for example) or the FeRAM process temperature must be lowered to be compatible with standard metallization (Al˜450 C., Cu-Low-K˜400 C.). This location has some advantages for commodity memory purposes, but has cost disadvantages for embedded memory applications. Another proposed location for the FeRAM process module is near the end of the back end process flow. The principal advantage of this approach is that it keeps new contaminants in the FeRAM module (Pb, Bi, Zr, Ir, Ru, or Pt) out of more production tools. This solution is most practical if the assumption is that all of the equipment used after deposition of the first FeRAM film must be dedicated and cannot be shared. This solution has the drawback of requiring FeRAM process temperatures compatible with standard metallization plus wiring of the FeRAM capacitor to transistor and other needs of metallization are not compatible with a minimum FeRAM cell size. [0013]
  • The requirements for the other locations will have many of the same concerns, but some requirements will be different. [0014]
  • The FeRAM process module must therefore be compatible with front-end process flow including the use of W contacts (currently standard in most logic flows) as the bottom contact of the capacitor. The FeRAM thermal budget must also be low enough so that it does not impact the front-end structures such as the low resistance structures (such as tungsten plugs and silicided source/drains and gates) required by most logic devices. In addition, transistors and other front-end devices such as diodes are sensitive to contamination and the FeRAM process module cannot contaminate these devices either directly (diffusion in chip) or indirectly (cross contamination through shared equipment). The FeRAM devices and process module must also be compatible with a standard back end process flow. Therefore the FeRAM process module must have minimum degradation of logic metallization resistance and parasitic capacitance between metal and transistor. In addition, the FeRAM devices must not be degraded by the back end process flow with minimal, if any, modification. This is a significant challenge since ferroelectric capacitors have been shown to be sensitive to hydrogen degradation and most logic back end process flows use hydrogen/deuterium in many of the processes (SiO[0015] 2, Si3N4, and CVD W deposition, SiO2 via etch, and forming gas anneals).
  • Commercial success of FeRAM also requires minimization of embedded memory cost. Total memory cost is primarily dependent on cell size, periphery ratio size, impact of yield, and additional process costs associated with memory. In order to have a cost advantage per bit compared to standard embedded memories such as embedded DRAM and Flash it is necessary to have cell sizes that are not much larger than these competing technologies. Some of the methods discussed in this patent to minimize cell size is to make the process flow less sensitive to lithography misalignment, have the capacitor directly over the contact, and using a single mask for the capacitor stack etch. [0016]
  • In accordance with one aspect of the present invention, a method of forming an FeRAM capacitor is provided in which the etching of the ferroelectric capacitor stack is greatly improved. The method comprises an etch of the capacitor stack using a patterning hard mask, for example, a TiAlN hard mask. An etch of the PZT ferroelectric layer during the capacitor stack etch comprises a BCl[0017] 3 etch at a substantially high temperature, for example, about 150° C. or more (e.g., 350° C.). Surprisingly, the BCl3 PZT etch at a relatively high temperature is substantially selective with respect to the overlying patterned hard mask, thereby providing a quality etched PZT film without substantial hard mask erosion, thereby resulting in good critical dimension control of the capacitor stack.
  • In accordance with another aspect of the present invention, the capacitor stack comprises iridium top and bottom electrode layers, and a PZT ferroelectric layer disposed between the top and bottom electrode layers. A nitride hard mask, for example, TiAlN, is formed and patterned over the capacitor stack layers. A Cl[0018] 2+O2 or a Cl2+CO etch is employed to pattern the top electrode layer, wherein the oxygen content therein helps provide a substantial etch selectivity with respect to the hard mask. The PZT layer is then etched with a BCl3 etch at a temperature of at least about 150° C. Unexpectedly, the high temperature BCl3 etch provides good selectivity with respect to the hard mask despite the fact that no oxygen is provided during such etch, thereby providing for a high quality etched PZT film without substantial erosion of the hard mask. Accordingly, a minimal capacitor stack critical dimension is maintained. The bottom electrode is then etched in a manner similar to that of the top electrode layer.
  • In accordance with another aspect of the present invention, a capacitor stack etch is disclosed in which a sidewall profile of the PZT ferroelectric layer is made non-vertical. Use of a sloped or non-vertical PZT sidewall profile is not obvious because typically vertical or closely vertical sidewalls are desired to minimize the critical dimension of the capacitor. The PZT sidewall profile is made non-vertical (e.g., less than about 88 degrees) in order to facilitate ion impingement thereon during the subsequent etch of the bottom electrode layer. The ion impingement (e.g., chlorine ions) on the sloped PZT sidewall during the bottom electrode layer etch ensures that re-deposition of conductive bottom electrode material onto the PZT sidewall does not occur by having the removal rate thereof be greater than the deposition rate due to re-sputtering. Accordingly, after the bottom electrode etch, no bottom electrode material resides on the PZT sloped sidewall, thereby preventing leakage or a shorting out of the FeRAM capacitor. [0019]
  • In accordance with still another aspect of the present invention, a capacitor stack etch having a sloped PZT sidewall profile comprises etching the PZT layer with a fluorine+Cl[0020] 2+oxidizer etch chemistry at a low temperature, for example, about 60° C. The low temperature causes the sidewall profile of the PZT to not be vertical (a non-anisotropic etch). In addition, the low PZT etch temperature surprisingly eliminates gaps or voids in the PZT ferroelectric layer that occurred with fluorine containing etch chemistries at high temperatures. In one particular example, the PZT etch comprises a CHF3+Cl2+O2+N2 at a temperature of about 60° C., resulting in a PZT sidewall profile of less than about 88 degrees. A PZT sidewall angle of less than 88 degrees is sufficient to ensure no net deposition of conductive material thereon during the subsequent patterning of the underlying bottom electrode layer.
  • To the accomplishment of the foregoing and related ends, the invention comprises the features hereinafter fully described and particularly pointed out in the claims. The following description and the annexed drawings set forth in detail certain illustrative aspects and implementations of the invention. These are indicative, however, of but a few of the various ways in which the principles of the invention may be employed. Other objects, advantages and novel features of the invention will become apparent from the following detailed description of the invention when considered in conjunction with the drawings.[0021]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a prior art schematic diagram illustrating an exemplary 1T/1C FeRAM memory cell; [0022]
  • FIG. 2 is a prior schematic diagram illustrating an exemplary 2T/2C FeRAM memory cell; [0023]
  • FIG. 3 is a fragmentary cross-sectional view of a partially fabricated device containing FeRAM capacitors and transistors associated therewith fabricated in accordance with one exemplary aspect of the present invention; [0024]
  • FIG. 4 is a flow chart diagram illustrating a method of forming an FeRAM capacitor in accordance with another exemplary aspect of the present invention; [0025]
  • FIGS. 5 and 6 are fragmentary cross-sectional views of two neighboring FeRAM capacitor stacks having a bottom electrode diffusion barrier layer etched and a result thereof; [0026]
  • FIG. 7 is a schematic diagram illustrating an apparatus for forming a PZT ferroelectric film in accordance with the present invention; [0027]
  • FIGS. [0028] 8-11 are graphs illustrating various performance characteristics of a PZT ferroelectric film formed in accordance with the present invention;
  • FIGS. 12 and 13 are fragmentary cross section diagrams illustrating how an etch of the bottom electrode diffusion barrier layer causes a rounding of the hard mask layer which may cause a contamination of a top electrode layer; [0029]
  • FIG. 14 is a flow chart diagram illustrating a method of forming a multi-layer hard mask layer in accordance with the present invention; [0030]
  • FIGS. 15[0031] a and 15 b are fragmentary cross section diagrams illustrating steps in etching the bottom electrode diffusion barrier layer using a multi-layer hard mask according to the present invention;
  • FIG. 16 is a flow chart diagram illustrating a method of forming an FeRAM capacitor wherein a sidewall diffusion barrier layer is deposited and selectively patterned prior to the patterning of the bottom electrode diffusion barrier layer according to the present invention; [0032]
  • FIGS. 17 and 18 are fragmentary cross section diagrams illustrating steps in depositing and selectively patterning a sidewall diffusion barrier layer prior to patterning a bottom electrode diffusion barrier layer according to the present invention; [0033]
  • FIG. 19 is a fragmentary cross section diagram illustrating an etch of the bottom electrode diffusion barrier layer after the deposition and patterning of the sidewall diffusion barrier layer according to the present invention; and [0034]
  • FIGS. 20 and 21 are fragmentary cross section diagrams illustrating how identification of aluminum oxide “ears” are employed to ascertain whether the sidewall diffusion barrier layer is sufficiently thick on sidewalls of FeRAM capacitors stacks according to the present invention. [0035]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention will now be described with respect to the accompanying drawings in which like numbered elements represent like parts. While the following description of the instant invention revolves around the integration of the FeRAM devices with logic devices and other devices which can be found on a digital signal processor, microprocessor, smart card, microcomputer, microcontroller or system on a chip, the instant invention can be used to fabricate stand-alone FeRAM devices or FeRAM devices integrated into a semiconductor chip which has many other device types. In particular, the improved performance of the FeRAM device of the instant invention compared to standard semiconductor memories appears to make FeRAM the memory of choice for any handheld device which requires low power and large degree of device integration. [0036]
  • The figures provided herewith and the accompanying description of the figures are provided for illustrative purposes. One of ordinary skill in the art should realize, based on the instant description, other implementations and methods for fabricating the devices and structures illustrated in the figures and in the following description. For example, while shallow trench isolation structures (“STI”) are illustrated, any conventional isolation structures may be used, such as field oxidation regions (also known as LOCOS regions) or implanted regions. In addition, while [0037] structure 102 is preferably a single-crystal silicon substrate that is doped to be n-type or p-type structure 102 (FIG. 3) may be formed by fabricating an epitaxial silicon layer on a single-crystal silicon substrate.
  • In accordance with the present invention, a plurality of methods are disclosed which decrease a reduction of an iridium oxide bottom electrode during a subsequent formation of a ferroelectric dielectric layer in an FeRAM capacitor. By decreasing a reduction of the iridium oxide bottom electrode, a fatigue resistance of the FeRAM cell is improved substantially over the prior art. [0038]
  • Referring initially to FIG. 3, an exemplary, fragmentary cross section of a semiconductor device [0039] 100 is provided in which two devices are illustrated. A first device 103 represents a partially fabricated version of am FeRAM cell in accordance with the present invention, and a second device 105 represents any high-voltage transistor, low-voltage transistor, high-speed logic transistor, I/O transistor, analog transistor, or any other device which may be included in a digital signal processor, microprocessor, microcomputer, microcontroller or any other semiconductor device. Except for the specific cell structure provided in the device 103, the structures utilized therein may be the same as the device structures of the device 105 (except for some possible variations in the transistors due to the-different device types that device 105 may be).
  • Basically, [0040] gate structures 106 include a gate dielectric (for example, comprising silicon dioxide, an oxynitride, a silicon nitride, BST, PZT, a silicate, any other high-k material, or any combination or stack thereof), a gate electrode (for example, comprising polycrystalline silicon doped either p-type or n-type with a silicide formed on top, or a metal such as titanium, tungsten, TiN, tantalum, TaN or other type metal). The gate structures 106 further comprise sidewall insulators (for example, comprising an oxide, a nitride, an oxynitride, or a combination or stack thereof). In general, the generic terms oxide, nitride and oxynitride refer to silicon oxide, silicon nitride and silicon oxy-nitride. The term “oxide” may, in general, include doped oxides as well, such as boron and/or phosphorous-doped silicon oxide. Source/drain regions 108 may be formed via, for example, implantation using conventional dopants and processing conditions. Lightly doped drain extensions 109 as well as pocket implants may also be utilized. In addition, the source/drain regions 108 may be silicided (for example, with titanium, cobalt, nickel, tungsten or other conventional silicide material).
  • A [0041] dielectric layer 112 is formed over the entire substrate 102 and is patterned and etched so as to form openings for contacts to the substrate and gate structures 106 to be formed (see, e.g., step 202 of FIG. 4). These openings are filled subsequently with one or more conductive materials, such as a plug 114 (for example, comprising a metal such as tungsten, molybdenum, titanium, titanium nitride, tantalum nitride, or a metal silicide such as Ti, Ni or Co, copper or doped polysilicon). A liner/barrier layer 116 may or may not be formed between the plug 114 and dielectric 112. Such a liner/barrier layer 116 is illustrated in FIG. 3 and comprises, for example, Ti, TiN, TaSiN, Ta, TaN, TiSiN, a stack thereof, or any other conventional liner/barrier material. Preferably, the contacts are formed so as to land on the silicided regions of the source/drain regions and gate structures.
  • The [0042] dielectric layer 112 comprises, for example, SiO2 (doped or undoped with preferable dopants such as boron or phosphorous) possibly with a layer of hydrogen or deuterium containing silicon nitride next to the gate. After deposition of the diffusion barrier 116 it is likely that the barrier will be planarized for improved lithography of overlying layers using a process such as chemical mechanical polishing (CMP). In addition, an added diffusion barrier/etch stop (not shown) may be included near the top surface of layer 112 such as AlOX, AlN, Si3N4, TiO2, ZrO2, or TaOX that would be deposited after the planarization process. This diffusion barrier is particularly useful if damascene processes are used to create the via or metallization to the contact. The formation of the plug 114 will require etching through this optional barrier/etch stop.
  • Formation of metal structures that are situated above the contacts is considered to be part of the back end processes. Other than the specific FeRAM process module, the back end process steps may be those standard in the semiconductor industry. The metallization may be, for example, either Al or Cu based. The Al is preferably etched while the Cu is preferably used in a damascene approach. However, etching Cu and Al formed in a damascene process is also possible. According to one example, aluminum metallization will preferably have CVD tungsten plugs or Al plugs, and the Al will preferably be Cu-doped for improved electromigration resistance. Metal diffusion barriers for Al may include, for example, TiN and/or Ti. Copper metallization may have, for example, Cu or W plugs with either Ti, TiN, TiSiN, Ta, tantalum nitride, and/or TaSiN diffusion barriers. [0043]
  • A thin dielectric layer (not shown) may be formed between each of the interlevel dielectric (ILD) layers ([0044] layers 112, 134 and 160). If formed, this thin dielectric comprises, for example, silicon nitride, silicon carbide, SiCNO or a silicon oxide (for example, a high-density plasma oxide). In addition, interlevel dielectric layers 112, 134, and 160 may comprise, for example, an oxide, FSG, PSG, BPSG, PETEOS, HDP oxide, a silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-oxy-nitride, a low dielectric constant material (for example, SiLK, porous SiLK, teflon, low-K polymer (possibly porous), aerogel, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material), or a combination or stack thereof.
  • The interconnects and the metal lines preferably comprise the same material. [0045] Plugs 136 and 150 and conductors 144 and 164 comprise a metal material (for example, copper, aluminum, titanium, TiN, tungsten, tungsten nitride, or any combination or stack thereof). A barrier/liner may be formed between the plug and the respective interlevel dielectric layer. If formed, the barrier/liner layer (shown as layers 138 and 148 and liners 142, 146, 162 and 166) comprises, for example, Ti, TiN, W, tungsten nitride, Ta, tantalum nitride, any conventional barrier/liner layer, or any combination or stack thereof). The interlayer dielectric and plug material should be compatible with the FeRAM thermal budget. With existing technology (i.e., one that incorporates a W plug and SiO2 ILD), the FeRAM thermal budget should be less than approximately 600 or 650 C., however, the present invention is not limited thereto. If the ILD is modified to include a low dielectric constant (“low K”) layer, the FeRAM thermal budget may need to be reduced further. The preferred interlayer dielectric 112 is therefore a material that can withstand a thermal budget in excess of 600 C., such as silicon oxide (doped and/or undoped), silicon nitride, and/or silicon oxy-nitride.
  • [0046] Level 127 is added so as to accommodate the FeRAM cells (FeRAM process module). This FeRAM process module allows the creation of ferroelectric or high dielectric constant capacitors to be easily added with maximum thermal budget for the new process module yet not impact the thermal budget of backend process. In particular, this level allows FeRAM devices with capacitor under bit line configuration compatible with a high-density memory. However, it is possible, if planarity is not a necessity, to form the FeRAM devices while not forming layer 127 in region 105. Hence, the FeRAM portion 103 would be taller than the region 105 by the height of layer 127.
  • Initially, a further discussion of FIG. 3 will be provided to appreciate the structure of an FeRAM cell and an exemplary integration position of such a cell within a semiconductor fabrication process. Subsequently, a flow chart and a number of fragmentary cross section diagrams will be provided to illustrate an exemplary process for fabricating such an FeRAM cell in order to provide a context in which the present invention may reside. In conjunction therewith, the present invention will be described and illustrated in greater detail. It should be understood, however, that although the present invention will be shown and described in conjunction with one exemplary context, the invention is applicable to other fabrication methodologies, structures and materials, and such alternatives are contemplated as falling within the scope of the present invention. [0047]
  • An FeRAM capacitor, as illustrated in FIG. 3 at [0048] reference numeral 125, resides above the interlayer dielectric 112, and comprises several layers. The FeRAM capacitor 125 of FIG. 3 comprises an electrically conductive barrier layer 122 upon which a conductive bottom capacitor electrode 124 resides (hereinafter, the terms conductive and insulative are employed to indicate electrically conductive and electrically insulative, respectively, unless indicated otherwise). A capacitor dielectric layer 126, a ferroelectric material, is formed over the bottom electrode 124, and is covered by, for example, a conductive multi-layer top electrode 128, 130. A top portion of the FeRAM capacitor 125 comprises a hard mask layer 132 that, as will be discussed in greater detail later, may be employed to facilitate the capacitor stack etch. The capacitor stack is then covered by a multi-layer sidewall diffusion barrier 118, 120.
  • An exemplary method of forming an FeRAM capacitor in accordance with the present invention which is similar in many respects to the [0049] capacitor 125 of FIG. 3 will now be discussed in conjunction with FIGS. 4, wherein a method 200 of forming an FeRAM capacitor is disclosed. At 202, the interlevel dielectric 112 is formed and conductive contacts, for example, tungsten (W) contacts 114 are formed therein with a barrier layer 116 (e.g., TiN) disposed therebetween to avoid oxidation of the tungsten contacts. Formation of the interlayer dielectric 112 and the contacts 114 may be formed by various means and any such process is contemplated as falling within the scope of the present invention. Then the FeRAM capacitor(s) are formed over the interlayer dielectric 112 and the contacts 114, as illustrated in FIG. 3.
  • According to one aspect of the present invention, once the [0050] interlayer dielectric 112 and the tungsten contacts 114 are formed, the FeRAM capacitor formation process begins. According to one prior art fabrication technique, a bottom electrode was formed directly over the interlayer dielectric, however, the bottom electrode material, for example, an iridium or an iridium/iridium oxide multi-layer would not provide a sufficient diffusion barrier (e.g., oxygen or hydrogen) during subsequent processing. That is, during the subsequent formation of the ferroelectric dielectric, for example, oxygen may diffuse through the bottom electrode and cause the tungsten to oxidize, thus increasing disadvantageously a resistance between the contact and the FeRAM capacitor. One solution to the above problem is to increase a thickness of the bottom electrode. Since a bottom electrode material acts in some fashion as a diffusion barrier, by increasing the thickness thereof, less diffusion would pass therethrough. Such a solution, however, disadvantageously increases the thickness of the FeRAM capacitor that preferably is as thin as possible.
  • In order to overcome the above disadvantages, another prior art solution provided a dedicated bottom electrode diffusion barrier layer. Such a barrier layer is formed over the interlayer dielectric and the tungsten contact prior to the formation of the bottom electrode. Such a barrier is electrically conductive and serves to provide an effective diffusion barrier without having to increase a thickness of the bottom electrode. Since the diffusion barrier is a more efficient barrier than the bottom electrode material(s), even though the additional barrier is employed, the net thickness is less than would otherwise be required if the bottom electrode material was increased to provide an equivalent barrier performance. The prior art bottom electrode diffusion barrier material was TiAlN, and was formed via physical vapor deposition. [0051]
  • The prior art TiAlN diffusion barrier provides an effective barrier for diffusion of materials such as oxygen and hydrogen. A problem has been discovered by the inventors of the present invention that the TiAlN material is a source of integration problems during subsequent processing of the FeRAM capacitor. More particularly, it was discovered that during subsequent processing of the FeRAM capacitor stack (via etching), an etching of the TiAlN barrier layer between neighboring FeRAM capacitor cells caused a substantial undercutting of the TiAlN beneath the capacitor stack and such phenomena negatively contributed to poor step coverage of a subsequently formed electrically insulating FeRAM sidewall diffusion barrier. Consequently, the overall diffusion barrier (top, bottom and sidewalls) of the FeRAM capacitor is compromised. In order to fully appreciate the problem associated therewith, a discussion of the FeRAM capacitor stack etch will be discussed in conjunction with FIGS. [0052] 5-6.
  • After the TiAlN bottom electrode [0053] diffusion barrier layer 122 is formed, the bottom electrode layer 124, the ferroelectric dielectric layer 126, the top electrode layer 128, 130, and a hard mask layer 132 are deposited and subsequently etched using the hard mask to self-align one or more FeRAM capacitor stacks 220, as illustrated in FIG. 5. The TiAlN bottom electrode diffusion barrier 122 also needs to be etched because TiAlN is electrically conductive and without further etching, the barrier layer 122 would short the neighboring capacitors together.
  • An etch of the exposed [0054] TiAlN barrier 122 between neighboring FeRAM capacitor stacks is performed typically using a dry, chlorine based etch and ideally would be anisotropic. However, the inventors have noted that the chlorine etch has a significant chemical component that causes the etch to be relatively isotropic, resulting in an undercutting of the FeRAM stacks, as illustrated in FIG. 6. Although such an undercut would not appear to negatively impact the capacitor performance, it has been discovered that such undercutting negatively impacts the step coverage of a subsequently deposited sidewall diffusion barrier (not shown). Consequently, the TiAlN barrier layer 122, due to integration problems, results in degradation in the subsequently formed sidewall diffusion barrier, thereby negatively impacting the capacitor performance.
  • The inventors of the present invention have overcome the difficulties of the problem highlighted above by replacing the TiAlN barrier layer with a TiAlON barrier layer at [0055] 204 of FIG. 4. Such a replacement, however, is not a mere substitution of another material because one of ordinary skill in the art would not be motivated to add oxygen because such an addition increases the electrical resistance of the resulting layer. As discussed previously, it is desirable to keep the resistance of the barrier layer as low as possible to thereby reduce the resistance between the bottom electrode of the FeRAM capacitor and the underlying transistor through the tungsten contact. The inventors of the present invention, however, discovered that by adding a small amount of oxygen, one could obtain a substantial reduction in the isotropy of the chlorine etch without substantially increasing a resistivity of the barrier layer, that is, increasing the resistivity above a predetermined level.
  • According to one exemplary aspect of the present invention, the composition of the TiAlON is tuned to provide sufficient aluminum therein for adequate oxidation resistance (of the underlying tungsten contact(s)) and enough oxygen to prevent undercutting during an etch thereof, yet concurrently maintaining the resistivity thereof low enough to prevent any appreciable degradation of the electrical performance of the circuit. In one example, the aluminum composition is at least about 20 cation atom %, and less than about 50 cation atom %; and the oxygen composition is at least about 5 anion atom %, and less than about 50 anion atom %. In another example, the aluminum composition is at least about 35 cation atom %, and less than about 45 cation atom %; and the oxygen composition is at least about 10 anion atom %, and less than about 20 anion atom %. [0056]
  • In accordance with one exemplary aspect of the present invention, it is desirable to have a barrier resistivity of about 4300 μΩ-cm or less. Therefore in tailoring the TiAlON bottom electrode diffusion barrier film, it is desired to keep the amount of oxygen therein sufficiently low such that the resistivity does not exceed substantially the 4300 μΩ-cm figure. For example, with a TiAlON content of about Ti≈0.5, Al≈0.4, O≈0.1, and N≈0.9, a film resistivity of about 1800 μΩ-cm was obtained. Such a TiAlON film is formed, for example, via a PVD or sputter deposition process using an Endura TTN™ chamber with a heater temperature of 400 C., [0057] Ar heater 15 sccm, Ar chamber 56 sccm, O2 chamber 9 sccm, cryo pump. In addition, with such a barrier, experimental tests indicate that the etch rate is about 150 nm/min using a BCl3+Cl2 chemistry and is about 15 nm/min with solely Cl2, compared to a TiAlN etch rate of about 300 nm/min. Consequently, the TiAlON barrier of the present invention provides a substantial reduction in etch isotropy with an acceptable increase in resistivity.
  • In addition, another alternative method of forming the TiAlON film is via MOCVD using TiAlN (creating TiAICON). The composition is tuned in order to obtain enough Al for oxidation resistance and enough oxygen for etch undercut yet keeping the resistance low enough so as not to degrade the electrical performance. [0058]
  • The inventors of the present invention also ascertained that the CMP process used to planarize the [0059] interlayer dielectric 112 and the tungsten contact 114 generates seams in the tungsten contact. Most seams are about 200-400 Angstroms in diameter and are adequately filled by the subsequently formed barrier layer, for example, TiAlN. However, a small percentage of such seams are substantially larger, for example, about 600-800 Angstroms in diameter. With such larger seams, the conventional barrier layer, formed via physical vapor deposition (PVD), does not adequately fill the seam due to necking, for example. Such poor seam coverage may undesirably increase the contact resistance between the underlying transistor and the FeRAM capacitor.
  • In accordance with one aspect of the present invention, the large seams in the [0060] tungsten contact 114 are addressed by making the diffusion barrier layer a multi-layer, with a first layer of TiN formed over the interlayer dielectric 112 and the tungsten contact 114 via chemical vapor deposition (CVD). Due to the CVD process, the TiN exhibits exemplary step coverage, thus filling in a portion of any large seams in the tungsten contacts. For example, a TiN layer of about 100 Angstroms reduces a seam having a diameter of about 600 Angstroms to about 400 Angstroms. Since a CVD TiN process is employed as the contact barrier for tungsten contacts, the well-developed and characterized process may be employed readily for such a first layer barrier. The second layer of the multi-layer barrier is then formed over the CVD TiN, for example, a TiAlN film or a TiAlON film for the reasons highlighted above. Because the TiN layer has reduced the size of any large seams, the second layer (formed via PVD, for example) easily fills the reduced size seam, and accordingly any potential increase in resistivity due to the seam is substantially eliminated.
  • Therefore in accordance with one aspect of the present invention, the bottom electrode diffusion barrier comprises a bi-layer process. For example, first a CVD TiN film is formed having a thickness of about 40 nm, followed by a PVD TiAlN film of about 30 nm. In accordance with one exemplary aspect of the present invention, the TiAlN have at least about 30% aluminum, and less than about 60% . Alternatively, the TiAlN has at least about 40% aluminum, and less than about 50% in order to exhibit improved oxidation resistance. [0061]
  • In the above example, TiAlN is discussed as one form of diffusion barrier in conjunction with the initial TiN layer. Alternatively, a TiAlON layer as discussed above may be utilized in conjunction with the TiN; and other diffusion barrier layers may be employed, for example, TaSiN, TiSiN, TaAlN, Ti, TiN, Ta, TaN, HfN, ZrN, HfAlN, CrN, TaAlN, CrAlN. The preferred deposition technique for these barrier layers is a reactive sputter deposition using Ar+N[0062] 2 or Ar+NH3. Other deposition techniques that might be used include CVD or plasma enhanced CVD. In any event, it is preferred to use materials that have a slower oxidation rate than TiN.
  • In addition to the discussion above regarding the diffusion barrier layer, it is desirable to perform a clean operation of the [0063] interlayer dielectric 112 and the tungsten contact 114 prior to the deposition of the barrier layer. For example, one option is to sputter clean with Ar prior to the deposition of the barrier layer. It is further preferred that this pre-clean occur without a vacuum break prior to the deposition of the barrier.
  • The next layer is the oxygen stable [0064] bottom electrode 124, as illustrated at 206 of FIG. 4. This layer needs to be stable during the subsequent deposition of the ferroelectric and can strongly impact the properties of the ferroelectric capacitor. For example, with a PZT ferroelectric the reliability is improved with oxide electrodes. The electrode experiences the thermal budget and oxidizing conditions of the ferroelectric deposition and possibly anneal. Therefore the bottom electrode preferably is stable in oxygen and does not form insulating layers as a result of such oxygen. It is also advantageous that the bottom electrode at least partially impedes the oxidation and reaction of the underlying diffusion barrier.
  • In addition, the electrode preferably maintains a relatively low contact resistance. A list of possible materials includes Pt, Pd, PdOx, IrPt alloys, Au, Ru, RuO[0065] x, (Ba,Sr,Pb)RuO3, (Sr,Ba,Pb)IrO3, Rh, RhOx, LaSrCoO3, (Ba,Sr)RuO3, LaNiO3.
  • The [0066] bottom electrode 124 of the capacitor is formed either with or without the barrier layer 122 so as to make electrical connection with the underlying contact structure 114. Preferably, the bottom electrode 124 is around 30-100 nm thick, is stable in oxygen and is comprised of a noble metal or conductive oxide such as iridium, iridium oxide, Pt, Pd, PdOx, Au, Ru, RuOx, Rh, RhOx, LaSrCoO3, (Ba,Sr)RuO3, LaNiO3 or any stack or combination thereof. For any electrode using noble metals it is advantageous from a cost and ease of integration standpoint to use layer as thin as possible.
  • For a PZT dielectric, it is preferred to have an oxide electrode such as IrOx in contact therewith at the top and/or bottom electrode. In addition, it is preferred to have a noble metal between the conductive oxide electrode and either the top or bottom diffusion barrier. The noble metal prevents oxidation of the diffusion barrier and the resulting formation of an insulating layer that increases undesirably the contact resistance. The preferred thickness of this noble metal layer is 10-30 nm. Thus in one aspect of the invention, the [0067] bottom electrode 124 comprises an Ir/IrO2 sandwich.
  • The preferred bottom electrode for PZT is either 50 nm Ir deposited by sputter deposition for Ir (Ar) and/or reactive sputter deposition (Ar+O[0068] 2) for IrOx. A second preferred electrode stack uses 10 nm Ir on 30 nm IrOx on 20 nm Ir (one potential thickness is shown). A third preferred embodiment is IrOx (˜30-40 nm) on Ir (20-30 nm). The preferred deposition technique for these layers is sputter or reactive sputter deposition or chemical vapor deposition.
  • The [0069] capacitor dielectric 126 is formed on the bottom electrode 124, as illustrated at 208 of FIG. 4. Preferably, the capacitor dielectric is less than 150 nm thick (more preferably less than 100 nm thick-most preferably less than 50 nm thick) and is comprised of a ferroelectric material, such as Pb(Zr,Ti)O3 PZT (lead zirconate titanate), doped PZT with donors (Nb, La, Ta) acceptors (Mn, Co, Fe, Ni, Al) and/or both, PZT doped and alloyed with SrTiO3, BaTiO3 or CaTiO3, strontium bismuth tantalate (SBT) and other layered perovskites such as strontium bismuth niobate tantalate (SBNT) or bismuth titanate, BaTiO3, PbTiO3,Bi2TiO3 etc.
  • PZT is a desirable choice for the capacitor dielectric because it has the highest polarization and the lowest processing temperature of the aforementioned materials. Thin PZT (<100 nm) is extremely advantageous in making integration more simple (less material to etch) and less expensive (less material to deposit therefore less precursor). Because PZT has the largest switched polarization, it is also possible to minimize capacitor area using such material. [0070]
  • The preferred deposition technique for these dielectrics is metal organic chemical vapor deposition (MOCVD). MOCVD is preferred especially for thin films (<100 nm). MOCVD also permits the film thickness to be scaled without significant degradation of switched polarization and coercive field, yielding PZT films with a low operating voltage and large polarization values. In addition, the reliability of the MOCVD PZT film is better than that generally obtained using other deposition techniques, particularly with respect to imprint/retention. [0071]
  • Specifically, in one example, MOCVD PZT ferroelectric films are grown in an [0072] Applied Materials 200 mm MOCVD Giga-Cap™ chamber integrated with a liquid delivery system and vaporizer installed on a Centura™ mainframe, as illustrated in FIG. 7. The baseline PZT film deposition parameters are described in Table PZT1 provided herein below, and the preferred metalorganic precursors are detailed in Table PZT2, also provided infra. Use of the pre-mixed precursor “cocktails” described in Table PZT2 provides enhanced repeatability and throughput relative to the use of elemental precursor solutions. The precise composition and molarity of the starting precursor solutions can be varied as needed. Use of pre-mixed “cocktails” permits hardware simplification since only a single vaporizer is needed. Earlier processes employed multiple elemental precursors and required two vaporizers rather than the simplified configuration shown in FIG. 7.
  • The [0073] preferred process sequence 208 proceeds as follows. After the wafers are delivered to the CVD chamber, the wafer sits on the lift pins above the wafer heater for about 30 sec (shorter times are also possible, for example, ˜5 sec to 30 sec). This allows the wafer to pre-heat, avoiding thermal shock, which causes the wafer to break. Next, the wafer is lowered onto the wafer heater and the temperature is allowed to stabilize for about 60 sec. During these first two steps, the metalorganic precursors are sent directly to the vaporizer bypass line, bypassing the process chamber. PZT deposition begins once the precursor flow is diverted into the CVD chamber by opening the chamber valve and closing the bypass valve. The process parameters shown in Table PZT1 provide a deposition rate of approximately 160 Å/min. PZT deposition ends when the precursors are sent back to the vaporizer bypass line. Following deposition, the wafer remains in the chamber for 5 sec with the throttle valve open to evacuate the chamber before opening the slit valve between chambers for removal.
    TABLE PZT1
    Preferred process parameters for depositing PZT thin films by
    MOCVD.
    Heater Temperature 640 to 650° C.
    Wafer Temperature ˜600 to 609° C.
    Pre-Deposition Time on Chuck 30 sec on-pins/60 sec on-heater
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    1
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    2
    Oxygen Flow 1000 sccm
    Ar Purge Flow 250 sccm
    Ar Push Gas Pressure on Precursor 60 psi
    Ampoules
    Vaporizer Temperature 190° C.
    Jackets/Lid/Feedthrough 190° C.
    Temperatures
    Showerhead to Heater Spacing 350 mils
    Chamber Pressure
    4 Torr
    Precursor #
    1 Flow (PZTG-2103) 65 to 82 mg/min
    Precursor #
    2 Flow (PZTG-2104) 118 to 135 mg/min
    Total Precursor Flow 200 mg/min
    Pb/(Zr + Ti) (in liquid) 1.00 to 1.14
    Zr/(Zr + Ti) (in liquid) 0.40
    Deposition Rate 160 Å/min
    Substrate Ir (100 nm)/Si3N4/SiO2/Si and
    IrOx (50 nm)/Ir (50 nm)/Si3N4/SiO2/
    Si
  • [0074]
    TABLE PZT2
    Metalorganic precursors employed for CVD PZT deposition.
    Precursors were purchased from Advanced Technology
    Materials (ATMI).
    Pb Zr Ti
    Conc Conc Conc
    Ampoule Precursor (Molar) (Molar) (Molar)
    #1 Pb(thd)2-pmdeta, Zr(O- 0.090 0.090 0.135
    iPr)2(thd)2, and Ti(O-
    iPr)2(thd)2 in an octane-based
    solvent system
    (ATMI Product #: PZTG-
    2103)
    #2 Pb(thd)2-pmdeta + 0.205 0.045 0.066
    Zr(O-iPr)2(thd)2 +
    Ti(O-iPr)2(thd)2 in octane-based
    solvent system
    (ATMI Product #: PZTG-2104)
  • In accordance with one example, the dependence of film Pb/(Zr+Ti)[0075] film ratio and the Pb/(Zr+Ti)gas ratio in the gas phase is illustrated in FIG. 8. The process described in Table PZT1 provides the characteristic plateau region in which the Pb composition in the film is self-correcting. Generally, the plateau region, an example of which is shown in FIG. 8, is the processing regime that provides the optimum PZT properties. Using the preferred process parameters in Table PZT1, the self-correcting plateau is observed between Pb/(Zr+Ti)gas ratios of approximately ˜0.8 and 1.3 for a wafer temperature of about 600° C. (heater set point temperature of about 640° C.). Within this composition region, stoichiometric, single-phase, (111)-textured PZT thin films are obtained. The optimum physical and electrical properties are observed within the more narrow range of Pb/(Zr+Ti)gas=1.00 to 1.14, with a preferred ratio of 1.07.
  • In addition to the preferred process described above, lower temperature processes may also be used for the PZT deposition step. Reducing the overall thermal budget simplifies capacitor integration, and depositing the PZT film is typically the highest temperature step. FIG. 9 shows the effect of reduced temperature on the size of the self-correcting Pb composition regime for the standard process conditions given in Table PZT1. It is evident in FIG. 9 that the size of the self-correcting plateau decreases significantly as the temperature is reduced. The PZT films also become increasingly rough at low temperature. For a wafer temperature of about 587° C. (heater set point of about 620° C.), the self-correcting behavior is no longer observed when the standard process conditions are used. As mentioned above, a self-correcting plateau is needed in order to obtain high quality PZT films and a robust process. [0076]
  • To reduce the deposition temperature and maintain a large self-correcting plateau, the process pressure is reduced from about 4 Torr to about 2 Torr and N[0077] 2O is added to the conventional O2 oxidizer gas stream (total oxidizer flow is kept constant). As shown in FIG. 10, for a wafer heater temperature of about 630° C., reduced pressure combined with N2O+O2 gas flow significantly increases the size of self-correcting plateau region relative to the standard process. A similar effect is shown in FIG. 11 for a wafer heater temperature of 620° C. A range of pressures (1 to 10 Torr) and N2O additions (10% to 100%) may be used for the low temperature deposition processes. Two exemplary processes are described in Tables PZT3 and PZT4, and these processes provide the results shown in FIGS. 10 and 11, respectively. Using this approach, the wafer heater temperature can be reduced from about 640° C. to about 620° C., with a corresponding reduction in wafer temperature from about 600° C. to about 575° C.
    TABLE PZT3
    Low temperature CVD PZT process #1.
    Heater Temperature 630° C.
    Wafer Temperature ˜586° C.
    Pre-Deposition Time on Chuck 30 sec on-pins/60 sec on-heater
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    1
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    2
    Oxygen Flow 500 sccm
    N2O Flow 500 sccm
    Ar Purge Flow 250 sccm
    Ar Push Gas Pressure on Precursor 60 psi
    Ampoules
    Vaporizer Temperature 190° C.
    Jackets/Lid/Feedthrough 190° C.
    Temperatures
    Showerhead to Heater Spacing 350 mils
    Chamber Pressure
    2 Torr
    Precursor #
    1 Flow (PZTG-2103) 82 to 115 mg/min
    Precursor #
    2 Flow (PZTG-2104) 85 to 118 mg/min
    Total Precursor Flow 200 mg/min
    Pb/(Zr + Ti) (in liquid) 0.79 to 1.00
    Zr/(Zr + Ti) (in liquid) 0.40
    Deposition Rate ˜107 Å/min
    Substrate Ir (100 nm)/Si3N4/SiO2/Si and
    IrOx (50 nm)/Ir (50 nm)/Si3N4/SiO2/
    Si
  • [0078]
    TABLE PZT4
    Low temperature CVD PZT process #2.
    Heater Temperature 620° C.
    Wafer Temperature ˜575° C.
    Pre-Deposition Time on Chuck 30 sec on-pins/60 sec on-heater
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    1
    He B Carrier Flow Through 250 sccm
    Vaporizer #
    2
    Oxygen Flow 250 sccm
    N2O Flow 750 sccm
    Ar Purge Flow 250 sccm
    Ar Push Gas Pressure on Precursor 60 psi
    Ampoules
    Vaporizer Temperature 190° C.
    Jackets/Lid/Feedthrough 190° C.
    Temperatures
    Showerhead to Heater Spacing 350 mils
    Chamber Pressure
    2 Torr
    Precursor #
    1 Flow (PZTG-2103) 82 to 115 mg/min
    Precursor #
    2 Flow (PZTG-2104) 85 to 118 mg/min
    Total Precursor Flow 200 mg/min
    Pb/(Zr + Ti) (in liquid) 0.79 to 1.00
    Zr/(Zr + Ti) (in liquid) 0.40
    Deposition Rate ˜96 Å/min
    Substrate Ir (100 nm)/Si3N4/SiO2/Si and
    IrOx (50 nm)/Ir (50 nm)/Si3N4/SiO2/
    Si
  • The [0079] top electrode 128, 130 is formed on the capacitor dielectric 126, as illustrated at 210 of FIG. 4. In this embodiment of the instant invention, the top electrode is comprised of two layers 128, 130, however, the top electrode can be implemented in just one layer. Preferably, the layer next to the PZT dielectric is comprised of iridium oxide (preferably less than 100 nm thick—more preferably less than 50 nm thick and even more preferably less than 30 nm thick). Preferably, the layer between the conductive oxide and top electrode diffusion barrier/hard mask is comprised of iridium (preferably less than 100 nm thick—more preferably less than 50 nm thick and even more preferably less than 20 nm thick).
  • In particular it is advantageous for Pb based ferroelectrics to have a conductive oxide top electrode such as IrO[0080] x, RuOx, RhOx, PdOx, PtOx, AgOx, (Ba,Sr)RuO3, LaSrCoO3, LaNiO3, YBa2Cu3O7-X rather than a noble metal in order to minimize degradation due to many opposite state write/read operations (fatigue). Many of the Bi ferroelectrics such as SBT can also use noble metal electrodes such as Pt, Pd, Au, Ag, Ir, Rh, and Ru and still retain good fatigue characteristics.
  • If the top electrode is an oxide it is advantageous to have a noble metal layer above it in order to maintain low contact resistance between the top metal contact and oxide. For example, it is possible that a TiN in contact with IrOx might form TiO2 during subsequent thermal processes that is insulating. For any electrode using an expensive noble metal such as Pt, Ru, Pd, or Ir it is advantageous from a cost and integration standpoint to use as thin of layer as possible. [0081]
  • For PZT electrodes (electrodes bounding a PZT dielectric), the preferred top electrode stack is ˜20 nm Ir ([0082] 130) deposited by PVD in Ar on ˜30 nm IrOx (128) deposited by reactive PVD in Ar+O2 on top of the PZT 126. IrOx is preferred to be deposited ˜500° C. in gas mixtures between 30% and 50% O2 with the rest oxygen with a relatively low sputter power and hence slow deposition rate (preferred to be less than 20 nm/min).
  • Preferably, the entire capacitor stack ([0083] 220 of FIG. 5) is patterned and etched at one time (preferably using a different etchant for some of the layers), but each layer or grouping of layers alternatively may be etched prior to the formation of the subsequent layer or layers. If multiple layers or all of the layers are etched simultaneously, then a hard mask layer (e.g., 132 of FIG. 3) is formed over the stack at 212 of FIG. 4. Preferably, the hard mask is thick enough and comprised of a material so as to retain its integrity during the etch process.
  • It is preferred that the capacitor be completely enclosed by conductive top and bottom diffusion barriers and an insulating sidewall diffusion barrier. In some integration approaches it is preferred to have a conductive diffusion barrier remain on top of the capacitor after etching the entire capacitor. In all cases it is preferred to have a capacitor as short as possible for ease of integration. [0084]
  • Prior art [0085] hard masks 132 are composed of a single layer of material, typically a TiN layer or a TiAlN layer, which in some cases has been left on top of the FeRAM capacitor stack after definition thereof for subsequent use as a hydrogen barrier. Unfortunately, since a bottom electrode diffusion barrier layer 122 may also be made of the same material, a substantial portion of the hard mask 132 will be removed during the etch of the bottom electrode diffusion barrier layer 122, as illustrated in FIGS. 12 and 13.
  • Note that in FIG. 12, the [0086] hard mask 132 and the bottom electrode diffusion barrier 122 are the same material (e.g., TiAlN). Since the barrier 122 is electrically conductive, the barrier must be removed in order to electrically isolate neighboring FeRAM cells from one another. As the barrier layer 122 is etched, the hard mask 132 etches at the same rate, thereby causing a substantial reduction of the hard mask thickness and a substantial rounding at the corners 131, as illustrated in FIG. 13. Such corner rounding can lead to an exposure of the top electrode 130 (e.g., an iridium layer) at the corners 131 that is undesirable for several reasons. First, such exposure may cause the top electrode 130 to contaminate production tools during subsequent processing; in addition, since the exposed electrode 130 is not an effective hydrogen diffusion barrier, the ferroelectric dielectric material 126 may experience hydrogen contamination (for reasons described in greater detail below) that may degrade substantially the FeRAM performance.
  • One prior art solution is to further increase the thickness of the [0087] hard mask 132 to compensate for such loss during etch of the bottom electrode diffusion barrier 122. Such a solution, however, undesirably increases the overall height of the FeRAM capacitor. In addition, through experiments it has been found that even with such compensation, a side portion of the underlying top electrode 130 (e.g., an iridium electrode) may still be subsequently exposed. Although a subsequent insulative barrier (e.g., an AlOx film, not shown) will be deposited over the capacitor stack, the insulative barrier is relatively thin and is intended as a sidewall barrier, and thus does not cover the exposed top electrode well after patterning thereof. Since the exposed portion of the top electrode 130 is not an effective barrier, the FeRAM is then negatively susceptible to hydrogen exposure, thereby degrading the performance thereof as described above.
  • In accordance with one aspect of the present invention, a multi-layer hard mask is disclosed in which a hard masking layer overlies an etch stop layer. The etch stop layer is substantially more selective than the overlying masking layer with respect to an etch employed to remove the bottom electrode diffusion barrier layer. Therefore during an etch of the capacitor stack, an etch of the bottom electrode diffusion barrier layer results in a substantially complete removal of the hard masking layer. However, due to the substantial selectivity (e.g., 10:1 or more) of the etch stop layer with respect to the overlying masking layer and bottom [0088] electrode diffusion barrier 122, the etch stop layer completely protects the underlying top electrode, thereby preventing exposure thereof. In addition, in accordance with another aspect of the present invention, the etch stop layer is electrically conductive and serves as a diffusion barrier, thereby eliminating a need for another diffusion barrier layer and reducing an overall height of the FeRAM capacitor stack.
  • In accordance with one aspect of the present invention, a method [0089] 212 (e g., of FIG. 4) of forming an FeRAM using a multi-layer hard mask is illustrated in FIG. 14, and designated at reference numeral 212. Initially, the capacitor stack layers are formed at 204, 206, 208 and 210, as discussed previously, and comprise, for example, the bottom electrode layer(s) 124, the ferroelectric dielectric layer 126, and the top electrode layer(s) 128, 130. Subsequently, a multi-layer hard mask 299 is formed thereover at 300, for example, by forming an etch stop layer 302 at 304 of FIG. 14 followed by a masking layer 306 at 308, as illustrated in FIG. 15a. In accordance with one aspect of the present invention, the etch stop layer 302 comprises an electrically conductive material that has a substantially slower etch rate than the overlying masking layer 306 with respect to an etch chemistry employed to etch the bottom electrode diffusion barrier layer 122. For example, for a TiAlN masking layer 306, the etch stop layer 302 comprises a TiAlON layer with a substantial amount of oxygen therein, and the benefits of such an etch stop layer 302 will be apparent in the subsequent discussion of the method 212.
  • The [0090] method 212 then continues at 310, wherein a substantial portion of the capacitor stack is etched by patterning the multi-layer hard mask 299 and using the multi-layer hard mask to etch the underlying layers in the stack, for example, etching the top electrode layer(s) 128, 130, the ferroelectric layer 126, and the bottom electrode layer(s) 124. Note that the bottom electrode diffusion barrier layer 122 has still not been etched, and an etch of such layer must proceed since the layer is electrically conductive and thus the exposed portion must be removed in order to electrically isolate neighboring FeRAM capacitor stacks.
  • In accordance with the present invention, the bottom electrode [0091] diffusion barrier layer 122 has a composition substantially the same as the masking layer 306, for example, TiAlN. Therefore, as the method 212 proceeds to 312, an etch of the bottom electrode diffusion barrier layer 122 results in a substantial or complete removal of the masking layer 306 on top of the capacitor stack, as illustrated in FIG. 15b. In accordance with one exemplary aspect of the present invention, the masking layer 306 is sufficiently thin that an overetch of the barrier layer 122 results in substantially all of the masking layer 306 being removed, thereby exposing the underlying etch stop layer 302.
  • As discussed above, an etch rate of the [0092] etch stop layer 302 is substantially less than an etch rate of the overlying masking layer 306 during the patterning of the bottom electrode diffusion barrier layer 122. In the above manner, a substantial overetch of the barrier layer 122 may be performed without an exposure of the top electrode layer 130 (e.g., an iridium layer). In addition, by completely removing the overlying masking layer 306 off of the capacitor stack, the total height of the stack is reduced, which advantageously aids in subsequent integration steps.
  • In accordance with one exemplary aspect of the present invention, an etch of the [0093] TiAlN barrier layer 122 and the TiAlN masking layer 306 is performed using a chlorine etch chemistry. With such etch chemistry, an etch selectivity between the masking layer 306 and the underlying TiAlON etch stop layer 302 is about 10:1 or more. Therefore when etching the barrier layer 122, once the etch removes the masking layer 306 and reaches the etch stop layer 302 on top of the capacitor stack, the etch slows down substantially, thereby allowing a substantial overetch of the barrier layer 122. In addition, since the etch slows down substantially, the etch stop layer 302 completely protects the underlying top electrode layer 130, thereby preventing an exposure of a side portion 131 thereof, as illustrated in FIG. 15b. Thus, the etch stop layer 302 is all that remains of the multi-layer hard mask after the patterning of the bottom electrode diffusion barrier layer 122.
  • Therefore, in accordance with one aspect of the present invention, a multi-layer [0094] hard mask 299 and a method 212 of forming an FeRAM using such a multi-layer hard mask is disclosed. In such a solution, the hard mask 299 is composed of two or more layers (302, 306), wherein the top layer 306, the masking layer, acts as the hard mask and is removed as part of the capacitor stack etch process. The second, underlying layer 302, the etch stop layer, acts as the top electrode diffusion barrier and an etch stop during the capacitor stack etch. A third, optional layer (not shown) is an additional diffusion barrier, and may be located between the etch stop layer 302 and the underlying top electrode 130.
  • As discussed above, the top layer, the [0095] masking layer 306, may be the same material as the bottom electrode diffusion barrier layer, for example, TiAlN, however, such a solution is not required by the present invention. Rather, as long as the etch rate of the masking layer 306 is approximately the same rate as the bottom electrode diffusion barrier layer 122, any material may be employed and is contemplated as falling within the scope of the present invention. For example, one may use a conductive nitride, carbide or carbo nitride as the masking layer.
  • Similarly, although TiAlON is disclosed as one exemplary etch stop layer, it should be understood that any material that exhibits a high selectivity with respect to the bottom electrode diffusion barrier layer [0096] 122 (and the masking layer 306) may be employed and is contemplated by the present invention. For example, an oxygen doped material may be employed as the etch stop. An oxide is a good etch stop using the appropriate etch chemistries. It has been noted that Cl2+BCl3 chemistries with quickly etch both materials yet Cl2 or Cl2+Ar chemistries only slowly etch the oxy-nitride films. Although adding oxygen to these materials will in general increase the resistivity, there is in general a window where the resistance is acceptable and where a large change in the etch characteristics can be obtained. An estimate of the maximum resistivity for the etch stop layer 302 is about 10 mΩ-cm.
  • In one example of the present invention, the multi-layer [0097] hard mask 299 is about 50 to 500 nm thick (more preferably around 100 to 300 nm thick—most preferably around 200 nm thick). The hard mask 299 thickness is controlled by the etch process and the relative etch rates of the various materials, the thicknesses of the etched layers, the amount of overetch required, and the desired remaining etch stop layer thickness after etching all of the layers. Thinner ferro stack layers can use thinner hard masks. The hard mask 299 may or may not be removed after the etching of the capacitor stack. If the hard mask 299 is not removed, then it is preferable to form the hard mask of a conductive material. However, a non-conductive or semiconductive material may be used, but the interconnection to the top electrode of the capacitor should preferably be formed through this hard mask so as to make direct connection to the top electrode.
  • In accordance with an alternative aspect of the present invention, a single layer, pure oxide hard mask etch stop that is insulating may be employed, but the etch process must then be adjusted in order to ensure that this layer is completely removed. [0098]
  • In accordance with one exemplary aspect of the present invention, the [0099] etch stop layer 302 also serves as the top electrode diffusion barrier layer and comprises TiAlON having a film thickness of about 20 nm or more and about 50 nm or less. In addition, the TiAlON has a composition of oxygen that maintains the resistivity of the layer to about 10 mΩ-cm or less. Alternatively, etch stop layer and the barrier layer may comprise separate layers, for example, a TiAlON etch stop layer having a thickness of about 15 nm or more and about 40 nm or less, with the etch stop layer overlying a TiAlN diffusion barrier layer (not shown) having a thickness of about 20 nm or more and about 40 nm or less.
  • In the preferred embodiment shown in FIG. 15[0100] a, the hard mask 299 comprises a TiAlN masking layer 306 having a thickness of about 200 nm on top of a TiAlON etch stop layer 302 having a thickness of about 30 nm and a composition of about O 0.6, N 0.4. In addition, a separate barrier layer (not shown) may comprise a TiAlN layer having a thickness of about 30 nm.
  • In alternative preferred embodiment, the [0101] hard mask 299 is further simplified to two layers of TiAlN layer of about 200 nm thick on top of a hard mask etch stop layer of TiAlON (composition (O 0.6 N 0.4)) and about 40 nm thick where the TiAlON layer acts both as hard mask etch stop and also as conductive hydrogen diffusion barrier.
  • In another alternative aspect of the present invention, one may employ TiN and TiON as the masking layer and the etch stop layer/barrier layer, respectively. These materials are advantageously because the materials are relatively simple to deposit, have a low resistivity. In particular, the resistivity of TiO is not much higher than TiN and because of the higher oxygen it creates an excellent etch stop. [0102]
  • After the contact formation several different deposition steps have been described. In particular, the formation of the [0103] bottom diffusion barrier 122, bottom electrode 124, ferroelectric 126, top electrode 128, 130 and hard mask 132, 299. It is likely that all or nearly all of these pieces of equipment will be considered potentially contaminated by ferroelectric elements. Therefore these pieces of equipment may be considered dedicated. The wafers will most likely have a reasonable, if not a high contamination level on the backside of the wafers. The next process step after hard mask deposition is typically lithography. It is likely that processing wafers with backside contamination through this tool will contaminate the tool and hence result in contamination of clean wafers processed through this tool with FeRAM contaminants on their backside. Therefore it is preferred to clean the backsides of the FeRAM wafers in order to share the lithography equipment and allow clean wafers to be processed through the lithography equipment and not have any FeRAM contamination.
  • The clean process depends on the backside contamination elements and their contamination levels. Assuming the preferred approach (PVD barrier, hard mask, bottom electrode, top electrode and MOCVD PZT) there will be low levels of Ir on the backside, but continuous films assuming the MOCVD process does not have edge exclusion. Therefore for this type of wafer contamination the preferred backside wafer clean process is a wet etch process that etches the back, edges and small region on the front side of the wafer near the edge. The etch process is somewhat dependent on the materials present on the backside of the wafer (for example if it is Si, SiO[0104] 2 or Si3N4). As discussed earlier it is preferred to have SiN present on the wafer backside because very aggressive chemicals can be used to etch any ferro contamination while minimizing the amount of SiN etched. An example of an aggressive chemical is hot 80° C. concentrated HF or a bath chemistry that combines hot aqua regia (HCl+HNO3) or SC2 (HCl+H2O2) with HF. Instead of using peroxide dissolved ozone can be used and can be even more effective. The SiN also has a slow diffusion rate of these materials and hence only a small amount of SiN needs to be removed in order to have surfaces so clean that there are no detectable levels of ferro elements.
  • It is preferred to perform pattern and etch the capacitor stack with only one lithography step. This is not only cheaper but also allows the cell size to be smaller by eliminating misalignment tolerances that are necessary if more than one lithography step is used. [0105]
  • It is preferred that patterning process is by lithography and the type of lithography is dependent on the desired size of the feature size plus the misalignment to underlying layer (CONT in this example). In this particular example, the lithography was performed using DUV (248 nm) lithography process using organic BARC and relatively thin resist. Larger features could use i-line or even g-line features while even smaller features would require even smaller wavelengths 193 nm or 157 nm. Instead of an organic BARC an inorganic BARC could also be used and this might be incorporated into the hard mask etch process. The resist thickness is chosen such that it can hold up during the hard mask etch process. In this example the resist was 510 nm thick while the hard mask was 260 nm thick, the BARC thickness was 60 nm and 248 nm lithography was used to pattern capacitors ˜250-600 nm in size with a gap spacing of 180 to 300 nm narrow space between the capacitors. Wider spaces were also printed depending on cell size and shape. [0106]
  • As mentioned before the preferred approach is to use a hard mask with multiple etch processes. These etch process can be modified by using elevated temperatures in order to achieve even steeper sidewall slopes and therefore less CD growth. In general it is preferred to minimize CD growth and this can be achieved by having steeper etch profile or by having thinner layers. [0107]
  • The first step is etching the hard mask and any BARC at [0108] 212 of FIG. 4 (inorganic or organic) that might be used followed by clean/ash processes. In this preferred embodiment the BARC/hard mask is preferably etched in the same chamber using a one or more step etch recipe. In general, the preferred etch system is has a high density plasma. In this example, two steps are used. The BARC etch consisted of Cl2+O2 at low pressures (3 mTorr) at temperatures low enough not to damage the resist (60° C.) with high density plasma (300 W RF source power) and small bias (50 W RF on the chuck). An example of a tool of this type is the AMAT DPS etch tool. After a short gas purge step the multi-layer Ti containing hard mask stack is etched in Cl2+BCl3 gas chemistry (BCl3 substantially helps etching of O containing compounds present as crust on surface or as in this example O containing layers) at same temperature as BARC etch (60° C.) at low pressure (5 mTorr) and high plasma density (1400 W source power) and still low bias (100 W RF on chuck). The exact process is adjusted to minimize CD growth, maximize uniformity and repeatability of etch process while trying to minimize hard mask thickness.
  • After the hard mask is etched it is necessary to ash the resist and if the resist process leaves a residue, a clean is sometimes used (either before or after the ash) in order to remove this residue. The ash process can be performed either in a separate chamber/process tool, in situ in the hard mask etch tool or in situ in the ferro stack etch chamber. The clean can either be wet or dry and might be something as simple as DI dunk/rinse or possibly use more active chemicals such as solvent (EKC for example). The goal of the clean is to remove particles and residue. In this specific example, an O[0109] 2 ash step (alternatively O2+H2O or even fluorine containing compounds could be used) at elevated temperatures in order to enhance the ash rate (250° C.) using high plasma density, but low energy and little if any substrate bias (AMAT ASP chamber, for example). In order to try to remove the need for a wet clean process a more aggressive ash process can be used such as adding fluorine compounds such as CF4 to the ash process and adding a small amount of substrate bias.
  • In general it is preferred to etch as many layers in the same chamber as possible. Since the top electrode and bottom electrode are typically hard to etch materials and usually very similar materials there is a strong preference to etch this ferro stack (top electrode, ferroelectric and bottom electrode) in the same etch chamber. The following discussion assumes that one etch chamber is used, to etch this ferro stack at [0110] 212 of FIG. 4 because that is preferred, but it is also possible to use multiple chambers which has the advantage that each chamber can be optimized for each process.
  • The [0111] top electrode 128, 130 is etched after patterning the hard mask. In order to etch noble metal top electrodes (preferably Ir/IrOx) a high temperature etch process is used because this is typically needed in order to achieve a chemical etch. The chemical etch has the advantage that steeper sidewall profiles can be achieved with usually less CD growth and better etch selectivity to other layers such as the hard mask. One exemplary etch chemistry for these noble metal electrodes is Cl2+O2 or Cl2+CO. Other gas additives such as N2 or Ar can also be added although Ar in particular is-usually not a good choice because it only etches by physical mechanisms and not chemical. An exemplary process is sensitive to electrode and hard mask material. In this exemplary embodiment the top electrode etch is Cl2+O2+N2. For Ti containing hard masks the oxygen content of the top electrode etch preferably is >5-10% in order to achieve a very low hard mask etch rate (e.g., good selectivity to the hard mask). The optimum etch process uses a high density plasma (1200 W, for example) and an intermediate substrate bias (300 W chuck) at intermediate pressures (10 mTorr) and elevated temperatures (350-400° C.). This type of process results in etch rates in the range of about 80 nm/min.
  • In general there is a tradeoff between physical etching using a larger substrate bias (lower pressure or higher chuck power) with the advantage of a faster etch rate and chemical etching which in general has the advantage of a steeper capacitor profile, less CD growth and better selectivity to the hard mask. The gas composition can be optimized to provide the maximum chemical etch rate or the maximum ratio of chemical etch rate to physical etch rate. This difference can be estimated by measuring etch rate as a function of temperature and physical etch rate is temperature independent while the chemical etch rate varies with temperature. A rough estimate of this maximum chemical etch component based on gas composition (varies depending on details of process) is roughly 30-80% O[0112] 2 or CO for Cl2+O2 and Cl2+CO, respectively. Another important aspect of the etch process is the time between cleans.
  • One reason to maximize the chemical nature of the etch process is in reducing CD growth. When using these Ti containing hard masks and O containing etch chemistries it has been determined that Ti etched from the edges of the hard mask by physical etching can redeposit on the sides of neighboring capacitors resulting in deposition of oxygen containing material that causes CD growth of the capacitor. The physical etching causes the formation of facets on the sides of the capacitor. In general, larger facet formation results in larger CD growth and larger CD growth is correlated to larger facet formation. [0113]
  • Etching these materials in general results in re-deposition of noble electrode and other low vapor pressure materials on the walls of the etch chamber. These layers can cause problems such as particle generation or variation in the etch process. Therefore the time between cleans indicates the number of wafers that can be etched before one of these problems becomes severe enough that the chamber has to be cleaned and conditioned for further etching. It has been found that this time between cleans can be impacted by the choice of etch chemistry plus many other factors including preconditioning, choice of wall materials and temperature plus details of the physical design of the etch tool itself for example. [0114]
  • Etching the ferroelectric [0115] 126 requires choosing an etch process that is again compatible with the choice of hard mask. For a PZT ferroelectric material, multiple etch approaches will be discussed.
  • The first exemplary approach uses a modification of the hard mask etch based on a Cl[0116] 2+Fluorine gas+oxidizer (O2 or CO for example) with Ar or N2 possibly added as well. For high temperature etching the fluorine gas prevents chemical undercut of the PZT. It turns out that fluorine gas at an elevated substrate temperature (350° C., for example) can chemically etch at least part of the PZT. Using fluorine containing gases that also contain hydrogen helps reduce this effect, for example CF4>CHF3>CH2F2>CH3F. An exemplary etch condition using this etch approach is Cl2+O2+CH2F2 (75/35/12) at high chuck temperature (350° C.-400° C.) at medium pressure (10 mTorr) at high density plasma (1200 W) and large substrate bias (450 W RF on chuck). These types of processes result in etch rates in the range of 70 nm/min. If the ferroelectric film is rough a large overetch is in general needed.
  • In analyzing the above PZT etch that contain fluorine components, the inventors of the present invention discovered problems with the etched PZT layer. In particular, it was noted that various defects were identified in the PZT layer, for example, void or gaps therein, in some cases as large as 1 μm or more. In addition, some spacing or delamination could periodically be identified between the PZT layer and the underlying bottom electrode layer. Such defects are undesirable because they may result in degraded polarization properties, for example, the switch polarization. It is believed that the cause of such film degradation is the fluorine component(s) within the PZT etch chemistry. More particularly, it is believed that fluorine is selectively chemically attacking elemental portions of the PZT within the film as opposed merely to its exposed sidewall edges of the stack. For example, from a thermodynamics point of view, when fluorine is exposed to zirconium oxide, it reacts to form zirconium fluoride and oxygen since that result is energetically preferred. [0117]
  • Therefore the inventors of the present invention determined that the fluorine component of the PZT etch should be eliminated to avoid the PZT degradation highlighted above. In accordance with one aspect of the present invention, the PZT portion of the capacitor stack etch employs BCl[0118] 3 as opposed to an etch chemistry containing a fluorine component. The use of BCl3 as an etchant for the PZT is not an obvious substitution from the prior art etch chemistry for at least the following reasons. Initially, BCl3 does not appear viable because such an etch provides poor selectivity with respect to the hard mask (e.g., a TiAlN hard mask), thereby resulting in substantial hard mask erosion. One conventional way to improve the selectivity of a layer with respect to the hard mask is to add oxygen, however, BCl3 is extremely reactive; so much so that the boron and chlorine may disassociate due to the oxygen and negatively form gas phase particles. Therefore initially one of ordinary skill in the art would not be motivated to use a BCl3 etch chemistry to etch the PZT.
  • The inventors of the present invention discovered that at low chuck temperatures, if you lower the bias, the hard mask erosion due to the BCl[0119] 3 slows, but the PZT etch rate also slows. Surprisingly, however, it was discovered that while the low bias was maintained, if the chuck temperature was increased, the PZT etch rate increased substantially without any substantial change in the hard mask etch rate. Therefore at a generally low bias of about 100-150 W, a high temperature BCl3 etch will provide an effective etch of PZT with acceptable selectivity to the hard mask without any introduction of oxygen. Generally a temperature of about 150° C. or more is acceptable and preferably a temperature of about 350° C. is employed.
  • In addition, it was found that at lower temperatures, for example, about 150° C., a higher bias was needed to etch the PZT (e.g., about 250 W), however, the lower the temperature, the poorer the selectivity is to the hard mask. As the temperature was increased, the bias could be lowered and higher selectivities were obtained. For example, at a temperature of about 350° C., a bias of about 100-150 W was employed and excellent selectivity to the hard mask was obtained. [0120]
  • Further, it was found by the inventors of the present invention that adding Ar to the BCl[0121] 3 during the PZT etch could be employed to an advantage. For example, at lower temperatures (e.g., around 150° C.), only enough Ar to generate and maintain the plasma is used. For example, the ratio of Ar to BCl3 in such an example is about 20-30% Ar. At higher temperatures, for example, about 350° C., a ratio of about 50/50 facilitates hard mask selectivity.
  • Therefore according to one aspect of the present invention, a PZT etch approach uses a BCl[0122] 3 etch chemistry which may further include Ar. The BCl3 etch chemistry is effective in etching oxide materials because the B reacts with the oxygen in the oxide forming BOx which is etched by Cl. The metals in the oxide can then easily react with Cl2 forming volatile compounds. For Ti containing hard masks, the optimum hard mask for purposes of selectivity is a nitride (e.g., TiAlN) because the B reacts with the nitride to form a BN layer which slows the etch rate.
  • One issue with using this etch chemistry with oxygen containing chemistries in preceding or subsequent etch steps is to ensure that there is a purge step between these steps in order to prevent the oxygen from those etch chemistries from reacting with the BCl[0123] 3. Because of the reactive nature of this etch gas, low substrate biases can be used (100-200 W RF on the chuck) along with possibility of higher pressures (10-30 mTorr). Etch rates of 50-100 nm/min are achievable with these type of conditions. These low biases also result in less physical etching of the hard mask although if a surface layer of oxide is on the Ti hard mask due to reaction from the oxygen in the top electrode etch it will be removed.
  • As discussed above, use of a BCl[0124] 3 etch for the PZT etch results in a capacitor stack etch of, for example, Ir/PZT/Ir using Cl2+O2+N2 for the top/bottom electrodes and BCl3+Ar for the PZT with each etch performed at a relatively high temperature (e.g., about 350° C.). The above etch methodology allows for a quality PZT layer without any substantial gap or void degradation. Upon evaluating the resulting etched FeRAM capacitor stack, however, it was noticed that conductive material in some cases would tend to form on side edges of the ferroelectric PZT layer. It is believed that such conductive material comprises etched iridium that re-deposits on the sidewall of the PZT during the etch of the iridium bottom electrode layer. Further, upon analysis, it was appreciated by the inventors of the present invention that such re-deposition of iridium on the PZT sidewall edges occurs because of the substantially vertical PZT sidewall.
  • The BCl[0125] 3 PZT etch results in a substantially vertical sidewall having an angle of about 89 degrees or more. Generally, such a vertical profile is considered highly desirable in order to minimize the critical dimension (CD) of the FeRAM capacitor. It was discovered, however, that with such a steep profile, when iridium from the etched bottom electrode layer re-deposits on the PZT sidewall, less ion impingement occurs thereon. Therefore due to the steep PZT sidewall profile caused by the BCl3 PZT etch, re-deposited iridium does not tend to be removed by ion impingement during the bottom electrode etch. Since the re-deposited iridium is electrically conductive, such re-deposition disadvantageously results in capacitor leakage and in some cases a “shorting out” of the FeRAM capacitor.
  • It was discovered by the inventors of the present invention that by lowering the etch temperature during the PZT etch, a slightly sloped or non-vertical PZT sidewall edge profile may be obtained. At low etch temperatures (e.g., about 60° C.), however, BCl[0126] 3 does not etch well because of poor selectivity to the hard mask, and because the etch is extremely slow, and in some cases may completely stop.
  • The inventors of the present invention therefore appreciated that a PZT etch that generates an intentionally sloped or non-vertical PZT sidewall profile provides for a resulting stack without substantial leakage or potential shorted capacitor problems. Introducing an intentional sloped PZT sidewall profile in the capacitor stack is counter-intuitive because generally attempts are made to achieve purely vertical sidewalls to minimize the capacitor critical dimension. According to one aspect of the present invention, a sloped PZT profile having a sidewall angle of about 88 degrees or less is generated using a low temperature etch process (e.g., about 60° C.) using a fluorine gas+Cl[0127] 2+an oxidizer, for example. Therefore in accordance with the present example, the capacitor stack process comprises a high temperature etch for the top electrode, a low temperature etch for the PZT, and a high temperature etch for the bottom electrode. Such a process can be employed in a single etch tool using two separate chambers associated therewith, wherein the top and bottom electrode etches are performed in one of the chambers at the high temperature (e.g., about 350° C.), and transferred to and from a second chamber in which the PZT layer etch is performed at a lower temperature (e.g., 60° C.).
  • As discussed above, the BCl[0128] 3 PZT etch does not work well at low temperatures, however, the inventors of the present invention discovered that by using a fluorine gas+Cl2+an oxidizer with Ar or N2 at low temperatures, a sloped PZT profile having an angle of about 88 degrees or less could be achieved. More particularly, in one example, CHF3+Cl2+O2+N2 was used at a temperature of about 60° C. on chuck. Unexpectedly, unlike at high temperatures (as discussed earlier), such an etch chemistry does not cause voids or gaps in the PZT and thus a sloped PZT sidewall profile is obtained without PZT film degradation.
  • In accordance with one aspect of the present invention, a PZT sloped profile has an angle of about 80 degrees or more and about 88 degrees or less. In the above manner, the slope is sufficiently angled to allow ion impingement (e.g., chlorine ions) to remove re-deposited iridium on the PZT sidewall (during bottom electrode etch) at a faster rate than the deposition. Concurrently, by preventing the angle from becoming too small, critical dimension growth is minimized. [0129]
  • After removal of the PZT layer, the bottom electrode layer is patterned. Etching the [0130] bottom electrode 124 typically uses an etch process very similar to the top electrode etch process if the electrode materials are similar as is the situation in this preferred embodiment. Note that with a Ti hard mask the bottom electrode etch will effectively stop on the bottom electrode diffusion barrier 122 (TiAlON, TiAlN or TiON in this example). This is an advantage of this approach because it allows large overetch to be used without the formation of undesirable recesses or notching next to ferro structures because of overetch.
  • After etching the [0131] bottom electrode 124, one option is to perform an oxygen anneal in order to replace any oxygen that might have been removed by the etch process. One way to perform this anneal is an in-situ O2 plasma (1000 W) with minimal (25 W chuck) at 20 mTorr in the high-T etch chamber or possibly run the wafers through a standard ash process (250° C.). The ash process could be O2 or possibly O2+H2O combination.
  • In general, the various etch steps are end pointed using optical emission spectroscopy, substrate bias changes, or some other technique such as RGA or optical techniques that look at the wafer surface. Depending on the details of the etch process, roughness of the layers being etched and the shapes and especially spaces in the structures being etched it is typically necessary to set larger overetch times than might be expected based on endpoint traces. This is because the etch rate in narrow spaces is slower than in tight spaces plus rough films like frequently found with MOCVD PZT need more etch time in order to clear. Another reason to increase the overetch time is to remove “feet” that are present for some processes at the bottom of the ferro stack. [0132]
  • The etch process is a dirty process and hence it is likely that the etch tool and the front side, edge and backside of the wafers will have FeRAM contamination or have etch residues with FeRAM contamination. It is therefore desirable to clean the front side of the wafer and chemically remove etch residues and possibly remove a thin layer of damaged PZT. This post capacitor etch wet clean can with some etch conditions and chemistries, be as simple as a DI water clean (tank soak with or without megasonic followed by a spin rinse dry) or the tank etch might be acid based in order to improve the clean or remove more damage. One exemplary acid solution might be similar to SC1 or SC2 (possibly without peroxide but maybe with ozone), for example (NH[0133] 4F+O3+H2O or NH4F+H2O2+HCl+H2O: 1/1/1/300) in order to also assist in particle removal plus metal contamination removal). The backside and edges of the wafer are likely to be significantly contaminated by re-deposition of FeRAM elements. The contamination is preferably removed prior to process in a shared tool. One method to remove the backside chemistry is to use a specialized tool such as a backside clean tool (e.g., as made by SEZ). Even hard to etch materials such as Ir can be removed if they are sub monolayer coverage by undercutting the etching of the material on the backside. Processes as described previously can be used here.
  • One option is to anneal the ferroelectric stack in order to remove etch damage. The preferred thermal budget of this anneal is ˜600° C. for 2 min. One option for this anneal is to perform the anneal with a Pb overpressure in order to prevent Pb loss that might damage the ferroelectric. Methods to achieve this Pb overpressure include furnace anneal with the capacitor facing Pb compound such as PbO or maybe PbTiO[0134] 3 or even PZT. Another method is to anneal while flowing very low amounts of Pb metalorganic with oxygen such that the Pb forms PbO but does not deposit as such on the wafer because its deposition rate is slower than its evaporation rate.
  • As shown in the method of FIG. 4, once the post-etch clean [0135] 250 has been completed, an insulating sidewall diffusion barrier (typically AlOx) is deposited at 260 in order to protect the FeRAM capacitor from hydrogen contamination, and also to protect other structures from lead contamination if the ferroelectric dielectric is PZT. In prior art solutions, the sidewall diffusion barrier was formed over the capacitor stack after the bottom electrode diffusion barrier 122 was etched to electrically isolate neighboring capacitor stacks from one another. The inventors of the present invention discovered that such a solution was undesirable since some sidewall diffusion barrier layer materials (e.g., AlOx) are not substantially selective with respect to the underlying interlayer dielectric 112, typically SiO2. Therefore, in prior art methods, when subsequently etching the sidewall diffusion barrier, the underlying SiO2 layer would also be attacked. In addition, if either the hard mask (e.g., the etch stop layer 302) or the bottom electrode diffusion barrier layer comprise TiAlON, for example, and have sufficient oxygen content therein, the AlOx etch would also attack such layers and in some cases compromise the top/bottom barrier capability of such layers. Accordingly, the inventors of the present invention, appreciating the problems of the prior art, disclose a method of fabricating an FeRAM by forming the sidewall diffusion barrier layer over the capacitor stack before the etching of the bottom electrode diffusion barrier layer. Such a method results in several advantages could be achieved, as will be discussed in greater detail below.
  • Turning to FIG. 16, a [0136] method 260 of forming the sidewall diffusion barrier layer in accordance with one aspect of the invention is provided. At 262, a sidewall diffusion barrier layer 300, for example, AlOx is formed over the capacitor stack 301 and the exposed portion 304 of the bottom electrode diffusion barrier layer 122, as illustrated in FIG. 17. In one example, the sidewall diffusion barrier 300 comprises AlOx having a thickness of about 8 nm or more and about 120 nm or less. More preferably, the AlOx barrier layer 300 has a thickness of about 10 nm or more and about 20 nm or less. Alternatively, other materials such as Ta2O5, AlN, TiO2, ZrO2, HfO2, or any stack or combination thereof may be employed and are contemplated as falling within the scope of the present invention.
  • In one exemplary aspect of the present invention, the [0137] sidewall barrier 300 comprises a multi-layer with two possible materials, the first material being AlOx or one of the materials highlighted above, and the second layer comprising SiN or AlN. The sidewall diffusion barrier 300 primarily needs to prevent reaction between the PZT ferroelectric layer 126 and the interlayer dielectric (ILD) 112, 134. Another use as envisioned here is as part of the hydrogen diffusion barrier. It is currently planned to have complete protection of the capacitor to hydrogen during subsequent processing by the use of hydrogen diffusion barriers on all sides. For example, the TiAlN or TiAlON used as part of the hard mask 302, 306 and as the bottom electrode diffusion barrier 122 are conductive hydrogen barriers (since the capacitor will need to make electrical contact to and from the top/bottom electrodes) while the AlOx is an insulating hydrogen diffusion barrier (in order to prevent the top and bottom electrodes from shorting out the capacitor). For the exemplary process described herein, the AlOx is used as a Pb and H diffusion barrier while the Si3N4 that is deposited later on in the flow is used as a contact etch stop. In the subsequent text, AlOx will be used, however, it should be understood that other sidewall diffusion barrier materials may instead be used.
  • The primary reason for deposition at this point (prior to etching the bottom electrode diffusion barrier) is to make the AlOx etch process more simple. Other alternatives include, for example: AlOx etch back after PZT deposition which is helpful for physical bottom electrode etch processes, AlOx etch back after etching bottom electrode diffusion barrier which has advantages in limiting CD growth of this layer, but is a harder etch to develop, and no AlOx etch back but instead the AlOx is etched as the last part of the via etch process. In the subsequent discussions it is also assumed that a via etch stop layer (typically SiN but might possibly be SiC, for example) will be deposited prior to the deposition of interlayer dielectric. [0138]
  • In accordance with one exemplary aspect of the present invention, a process for depositing the AlOx sidewall diffusion barrier layer is chemical vapor deposition (CVD) (e.g., MOCVD, CVD or atomic layer deposition), but other approaches such as sputter deposition can also be used. The primary advantage of the CVD approaches is better step coverage along the [0139] sidewalls 308 of the capacitor stack 302, which is desirable to ensure an effective sidewall barrier 300. Since what is important is the thickness after etch-back of the AlOx on the sides 308 of the capacitor, a better step coverage dramatically reduces the planar thickness on top 310 of the capacitor stack and over the exposed portion 304 of the bottom electrode diffusion barrier layer 122 that needs to be deposited and etched. PVD deposition of the sidewall diffusion barrier 300 will work and one such exemplary deposition process is deposition using a pure Al target in an Ar+O2 gas using a pulsed DC or an RF power supply.
  • Returning to FIG. 16, the [0140] sidewall diffusion barrier 300 residing on the top 310 of the capacitor stack 301 and over the exposed portions 304 of the bottom electrode diffusion barrier 122 (between neighboring stacks) is etched at 264, as illustrated in FIG. 18. The AlOx etch back process needs to remove the AlOx from planar surfaces 305, 310, but not from the sidewalls 308 of the capacitors. It is therefore important to minimize the overetch of the AlOx, yet it is still necessary that the AlOx clears over the diffusion barrier layer 122 between neighboring capacitor stacks in order for a complete subsequent etching of the bottom electrode diffusion barrier layer 122, in order to prevent neighboring capacitors from shorting out each other (since the bottom electrode diffusion barrier layer is electrically conductive).
  • A conformal AlOx deposition process makes this much easier to achieve especially for a high aspect ratio ((>1) capacitor height to capacitor-to-capacitor space). According to one exemplary aspect of the present invention, the etch chemistry for etching the AlOx sidewall diffusion barrier is BCl[0141] 3+Ar. The BCl3 is effective in etching the AlOx with a good selectivity to the underlying nitride hard mask 306 on top 310 of the capacitor stack (e.g., TiAlN) and nitride bottom electrode diffusion barrier 122 (e.g., TiAlON with small oxygen content) between 304 the neighboring capacitor stacks. The Ar may be added (as in the above example) to the etch chemistry because the resulting surface (of a top portion of the hard mask and the bottom electrode diffusion barrier) is smoother, but one disadvantage is that it etches AlOx on the sloped sides 308 of the capacitor; that is, the etch is less anisotropic. An exemplary etch process uses a high density plasma etch tool such as a AMAT DPS at near room temperature (˜60° C.) with ˜50% Ar at an intermediate gas pressure (˜10 mTorr) 750 W remote plasma power and low bias (e.g., 150 W RF on chuck). The etch rate is 50 nm/min under this type of etch process.
  • This type of etch process would potentially cause problems if the etch back was performed after etching the TiAlN bottom electrode diffusion barrier because it quickly etches SiO[0142] 2 because this is also an oxide. However, in accordance with the present invention, since the AlOx sidewall diffusion barrier etch is performed prior to the etch of the bottom electrode diffusion barrier 122, no such problem occurs.
  • In addition, the BCl[0143] 3 etch is substantially selective with respect to the underlying nitride layers (the hard mask 306 and the bottom electrode diffusion barrier layers 122). The aluminum-oxygen bonds in the AlOx layer are extremely strong, however, the boron in the BCl3 reacts with oxygen to break the aluminum-oxygen bonds. The chlorine in the BCl3 then reacts with the aluminum to remove the AlOx. After the AlOx is removed, the boron in the BCl3 reacts with nitrogen in the underlying nitrides to form boron nitride, which slows down subsequent etching. Therefore, one can perform a substantial overetch of the AlOx sidewall diffusion barrier layer without substantially impacting the nitrides underneath (e.g., TiAlN or TiAlON (low content O) masking layer 306 or bottom electrode diffusion barrier 122). This is particularly helpful with regard to the bottom electrode diffusion barrier layer 122 since it allows the AlOx to be completely removed thereover, thus ensuring that all of the underlying barrier 122 is exposed for removal in a subsequent etch process, and thus ensuring that the neighboring capacitor stacks 301 are electrically isolated from one another.
  • Using the same chamber the bottom electrode diffusion barrier is now etched at [0144] 266 of FIG. 16, as illustrated in FIG. 19. The chemistry, in one example, is changed to Cl2+Ar which effectively etches TiAlN or TiAlON (low oxygen) (masking layer 306 and barrier 122), but has good etch selectivity to AlOx on the sidewalls 308 and to the TiAlON (high O) hard mask etch stop layer 302. The Ar etch gas component in one exemplary aspect of the present invention, is added to help achieve a smooth post etch surface although the disadvantage is an increase in the AlOx etch rate on the sidewalls of the capacitor stack(s). An example etch process uses a high density plasma etch tool such as a AMAT DPS at near room temperature (˜60° C.) with ˜50% Ar at an intermediate gas pressure (˜10 mTorr) 1000 W remote plasma power and low bias (e.g, 100 W RF on chuck). The etch rate is 100 nm/min under this type of etch process.
  • It is common that the thickest part of the [0145] masking layer 306 is thicker than the bottom electrode diffusion barrier. Therefore the etch time and endpoint traces may be adjusted for this. This situation will therefore show up on the endpoint trace and also will result in reasonably large overetch on the W/TiN and SiO2 under the capacitors. Fortunately the etch chemistry does not attack these materials very fast except for the TiN, and the Ar keeps this attack to a minimum because the TiN is only present as a thin diffusion barrier between the W contacts and SiO2 dielectric. One problem that has been observed to occur during this etch was the undercut of the bottom electrode diffusion barrier 122 if it was TiAlN. By the addition of a small amount of oxygen during the TiAlN deposition process (as discussed surpa), the undercut of the TiAlON barrier 122 was for practical purposes eliminated. Another issue with this etch is that a reasonable amount of overetch is necessary in order to completely remove the TiAlN from above the hard mask etch stop layer 302. The TiAlN layer, when not completely removed, is very rough and will be difficult to make contact to during via formation because of SiN step coverage on the rough TiAlN.
  • In the discussion of the capacitor stack etch, the sidewall diffusion barrier etch and the bottom electrode diffusion barrier etch above, the accompanying figures illustrate such etches as ideally anisotropic, resulting in generally vertical sidewall profiles. Such sidewall illustrations, however, do not occur in typical processing, but are provided for ease of illustration and to illustrate other features of the present invention with simplicity and clarity. Instead, the above etches are not ideally anisotropic, and the actual capacitor stack cross section does not exhibit perfectly vertical sidewalls, but rather exhibits generally sloped stack sidewalls, as illustrated in FIG. 20. In FIG. 20, the capacitor stack ([0146] hard mask 302, 306, top electrode layer 128, 130, ferroelectric dielectric layer 126, and bottom electrode layer 124) has been etched with the bottom electrode diffusion barrier layer 122 remaining and the patterned sidewall diffusion barrier 300 on the sidewalls 308 of the stack.
  • As discussed above, it is desirable to remove the sidewall [0147] diffusion barrier layer 300 off of the top 310 of the capacitor stack and in the areas 304 between the stacks, however, it is desirable for the sidewall diffusion barrier 300 to remain on the stack sidewalls 308 in order to protect the ferroelectric dielectric 126 in the stack from hydrogen contamination. Because the capacitor stack is not perfectly vertical and since the etch thereof has a chemical component, the sidewall diffusion barrier layer 300 on the sidewalls 308 does get etched to some degree, and often it is desirable to analyze the capacitor stack after the sidewall barrier etch to ensure that the barrier 300 still covers the capacitor stack sidewalls 308. Because the remaining sidewall layer 300 may be relatively thin (e.g., about 150-200 Angstroms), analyzing the sidewall layer 300 is difficult, for example, requiring an expensive and laborious TEM (transmission electron microscopy) analysis.
  • The inventors of the present invention discovered that if the thickness of the [0148] masking layer 306 is sufficiently thick, during the capacitor stack etch, although rounding will be experienced at the corners 400 thereof, the sidewall diffusion barrier layer 300 will overlie such corners 400 upon its deposition, as illustrated in FIG. 20. Subsequently, during the etch of the masking layer 306 portion of the hard mask (which will typically be done concurrently with an etch of the bottom electrode diffusion barrier layer 122), a portion 402 of the sidewall diffusion barrier layer 300 overlying a corner portion 404 of the masking layer 306 will protect such portion 404 of the masking layer 306 from being etched, thereby resulting in “ears” 406 being formed on top of the hard mask etch stop layer 302, as illustrated in FIG. 21. Note, however, if a thickness of the sidewall diffusion barrier layer 300 is not sufficiently thick, no portion 402 will overlie the masking layer 306, and consequently no “ears” will form.
  • Therefore by evaluating a capacitor stack after the etching of the [0149] masking layer 306, identification of the “ears” 404 will allow one to quickly ascertain that the sidewall diffusion layer 300 is adequately covering the capacitor stack sidewalls 308. The “ears” 406 are easy to detect (by a standard or tilt scanning electron microscope (SEM), for example), and their presence indicates that the sidewall barrier 300 (e.g., AlOx) is above the height of the hard mask etch stop diffusion barrier 302. A lack of “ears” 406, although not indicative of a lack of sidewall barrier coverage, does indicate that the sidewall barrier may not be sufficiently thick, and may warrant further analysis or a process change.
  • Therefore the thicknesses of the hard mask, AlOx deposition and etch back process and bottom electrode diffusion barrier etch back process are all adjusted to ensure that ears are observed because this easy to detect feature proves that AlOx protection is successfully in place. [0150]
  • Therefore in accordance with one aspect of the present invention, a method of ascertaining whether a sidewall diffusion barrier is sufficiently thick after patterning thereof is disclosed. [0151]
  • It is necessary to protect the ferroelectric material from hydrogen used by the rest of the semiconductor process. Many of the standard semiconductor processing steps contain hydrogen, for example, SiN deposition, HDP SiO[0152] 2 deposition using silane, CVD W deposition, forming gas anneal plus many others. Another problem is that only a few materials are hydrogen barriers, for example, SiO2 is not. Hydrogen barrier materials include many nitrides such as TiN, TiAlN, AlN and SiN and AlOx. Ferroelectric electrode materials such as Ir or Pt are not effective barriers. Pt in particular is known to catalyze reaction of H2 to H that appears to be much worse for ferroelectric properties.
  • Therefore in order to have complete hydrogen protection it is necessary to have complete protection from hydrogen (top, bottom and sides). In this disclosure the TiAlN or TiAlON is used as a hydrogen barrier on the top and bottom while AlOx is used on the sides of the capacitor. Complete protection requires not having any small gaps or seams between the various layers. Additional protection comes from the SiN except that the SiN deposition step frequently contains hydrogen so a hydrogen barrier is typically needed before this process as well. [0153]
  • Although the AlOx etch-back is the primary approach of this disclosure there are other alternatives that also achieves these goals. The alternative approach the TiAlON bottom electrode diffusion barrier is etched immediately after etching the bottom electrode. This etch can either be performed at high T or low T although it is preferred that this be done at low T (<200° C.). The preferred etch chemistry for this utilizes a short BCl[0154] 3 containing (may contain Ar and/or Cl2 as well) etch step followed by Cl2+Ar etch as described previously. After post stack etch clean the AlOx followed immediately by deposition of the interlayer dielectric that may or may not include separate etch stop layer (typically SiN or SiC). One possibility is that the AlOx can be used as an etch stop by appropriate changes in the via etch chemistry.
  • The last step of the via etch is now etching AlOx. The preferred etch chemistry for this process is still BCl[0155] 3+Ar and it is desirable to minimize the amount of overetch that is needed. If a hydrogen diffusion barrier is next deposited in the via such as TaN or TiN as is typical in standard semiconductor processing then it might be possible to simplify the ferroelectric stack. By using this approach it is possible to remove the top and bottom electrode diffusion barrier or else to use materials that are not hydrogen barriers. The reason is that the hydrogen protection from the top comes from the AlOx plus the via barrier. These two layers also protect the capacitor from additional hydrogen from the bottom although it might be necessary to adjust the previous processes to prevent them from supplying hydrogen later on that without a bottom electrode hydrogen barrier degrade the capacitor properties.
  • It is recommended that after this etch step that the wafers be cleaned. Since no ferroelectric elements are deliberately exposed at this point, the primary purpose of this wet clean is to remove halogens (Cl) left on the surface from the etch which otherwise might cause corrosion problems and also to remove any particles left on the surface. The suggested chemistry for this process is therefore a DI H[0156] 2O or a dilute SC1 type of chemistry. For particle removal the use of a megasonic or other such tool can also be used to promote particle removal.
  • At the beginning of the AlOx deposition process the front side of the wafer has exposed FeRAM elements. The AlOx deposition process may or may not result in contamination of the tool (defined to be addition of FeRAM contaminants on subsequent wafers at levels above care about level (˜10[0157] 10 atoms/cm2). If the AlOx deposition process on FeRAM wafers does not result in contamination then it is preferred to wet clean the backside of the wafer prior to depositing this sidewall diffusion barrier. If the AlOx deposition process on FeRAM wafers does result in tool contamination then instead of performing a backside wafer clean the clean after stack etch, the backside clean can be done after AlOx etch back/bottom electrode diffusion barrier etch step. One option is to anneal the capacitor at this point. One reason to do this anneal so soon is that if a low-K layer is chosen then it might not be compatible with the preferred PZT damage recovery anneal condition (600° C. 2 min).
  • The preferred next step is the deposition of a via etch stop material. Example films are silicon nitride, silicon carbide, (SiCNO) or an silicon oxide (preferably a high-density plasma oxide). This etch stop layer is needed primarily because the via etch is reaching two different via depths. The etch stop makes simple means in order to etch two via depths without the large overetch on the thinner via causing a problem. The other advantage the etch stop has is that the SiN etch can be tuned to be selective to underlying materials (W, TiN and SiO[0158] 2). This prevents forming narrow grooves when a via is misaligned to the underlying contact. Forming these grooves is a particular reliability problem by making a thin spot in the via diffusion barrier especially for Cu vias. The etch stop thickness is chosen based on the via etch process.
  • Another advantage of having the etch stop is that it prevents misaligned vias that fall off the capacitor from shorting the capacitor. In a similar manner it allows vias placed close to the capacitor to be misaligned and still not short the capacitor. This behavior allows the FRAM bit cell size to be reduced by allowing a reduced via to capacitor space than if the etch stop was not present. The typical deposition process for the SiN would be PECVD using SiH[0159] 4 and N2 or NH3. It is preferred to use SiH4 and N2 in order to create a low H SiN barrier. If there is a worry that the AlOx sidewall protects all of the PZT, but not the electrode then it is recommended that a no hydrogen SiN process be used such as PECVD using SiCl4 and N2 or alternatively a very low T SiN process be used such as SiH4 and N2 using special low T PECVD such as ECR SiN. Another no hydrogen option is a PVD SiN barrier.
  • The preferred next layer is deposition of gap fill dielectric such as HDP SiO[0160] 2. With the SiN deposited the gaps between capacitor are very narrow and the aspect ratio is quite large. Therefore a gap fill dielectric is recommended. Another example of a gap fill dielectric is spin on glass. Although not desirable small voids between narrow capacitor space might be tolerated but voids where stacked vias for BL connection will be made will cause problems.
  • On top of this layer is the primary interlevel dielectric, as illustrated at [0161] 270 of FIG. 4, and possible material choices are Sio2, FSG, PSG, BPSG, PETEOS, HDP oxide, a silicon nitride, silicon oxynitride, silicon carbide, silicon carbo-oxy-nitride , a low dielectric constant material (preferably SiLK, porous SiLK, teflon, low-K polymer (possibly porous), aerogel, xerogel, BLACK DIAMOND, HSQ, or any other porous glass material), or a combination or stack thereof.
  • After the deposition of the interlayer dielectric it is preferred to planarize the dielectric. The preferred method is chemical mechanical planarization (CMP) although other methods such as spin on dielectrics or deposition and etch back. CMP is preferred as it results in global and not just local planarization. The CMP planarization will thin the dielectric to a workable thickness above the capacitor. Preferred values are between 300 nm to 500 nm. The surface after CMP needs to be as planar as possible. [0162]
  • Vias now need to be formed to make electrical connection to the top electrode and to contacts. Standard semiconductor processing techniques are used for this process. The via etch needs to etch through all of the dielectrics to the etch stop without etching through the etch stop because the vias have two different heights. Next the etch stop needs to be etched without etching a significant amount of the underlying material (W, TiN and SiO[0163] 2 at the contacts) and hard mask etch stop layer (TiAlON or TiON) on top of the capacitors under a few preferred embodiments. SiN etch processes with these characteristics have been developed and the etch selectivity to TiAlON has been documented to be very good. This result is useful since the SiN etch rate on the capacitor is expected to faster and the SiN is also expected to be thinner compared to at the contacts which is deeper.
  • After the via etch, it is recommended that an anneal of the instant invention is performed, as illustrated at [0164] 280 of FIG. 4, so as to remove damage introduced by the capacitor stack processing (such as the ferroelectric material etch, encapsulation, and contact etch) into the capacitor dielectric and to improve the electrical properties of these features. If this anneal is not done at this point (i.e. if the anneal is done with the PZT stack exposed on its sidewalls), then it may result in the loss of Pb near the perimeter of each capacitor. This loss in Pb in the PZT film will result in the degradation of the electrical properties of small capacitors (capacitors with large perimeter to area ratios) after the capacitor integration.
  • The anneal of the instant invention is, preferably, performed after the interlevel dielectric is formed and the via holes patterned and etch, but prior to the filling of the vias with the conductive material. The anneal conditions, for example, are: around 400 to 800° C. (more preferably around 500 to 700° C.—most preferably around 600° C.) for a duration of around 30 seconds to 5 minutes (more preferably for around 1 to 4 minutes—most preferably around 2 minutes) in an inert gas (N[0165] 2, Ar) atmosphere or vacuum. After this anneal is performed, the via diffusion barrier (liner) and conductor are formed using standard semiconductor processing techniques. The conductor is either W with TiN diffusion barrier or more preferably Cu with TaN, TaSiN, Ta, TiN, WN, or TiSiN diffusion barrier deposited by enhanced sputter deposition or more preferably CVD. The Cu is deposited by first depositing a Cu seed by enhanced sputter deposition or CVD preferably followed by Cu electroplating in order to fill the via. A standard semiconductor processing approach after the deposition of the metal in the via is to remove the metal on the top surface by etch back (W) or CMP (W and Cu).
  • Another alternative is that metal layer above the via is formed using dual damascene process along with the via. The disadvantage of this approach is substantial increase in process complexity. [0166]
  • Although the invention has been shown and described with respect to a certain aspect or various aspects, it is obvious that equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In particular regard to the various functions performed by the above described components (assemblies, devices, circuits, etc.), the terms (including a reference to a “means”) used to describe such components are intended to correspond, unless otherwise indicated, to any component which performs the specified function of the described component (i.e., that is functionally equivalent), even though not structurally equivalent to the disclosed structure which performs the function in the herein illustrated exemplary embodiments of the invention. In addition, while a particular feature of the invention may have been disclosed with respect to only one of several aspects of the invention, such feature may be combined with one or more other features of the other aspects as may be desired and advantageous for any given or particular application. Furthermore, to the extent that the term “includes” is used in either the detailed description or the claims, such term is intended to be inclusive in a manner similar to the term “comprising.”[0167]

Claims (22)

What is claimed is:
1. A method of etching a capacitor stack associated with a ferroelectric memory cell, comprising:
forming a bottom electrode layer, a PZT ferroelectric layer, a top electrode layer, and a hard mask layer over a substrate;
patterning the hard mask layer;
patterning the top electrode layer in accordance with the patterned hard mask;
patterning the PZT ferroelectric layer using a BCl3 etch at a substantially high temperature in accordance with the patterned hard mask; and
patterning the bottom electrode layer in accordance with the patterned hard mask.
2. The method of claim 1, wherein patterning the PZT ferroelectric layer comprises using a BCl3 etch at a temperature of at least 150° C.
3. The method of claim 2, wherein patterning the PZT ferroelectric layer comprises using a BCl3 etch at a temperature of about 350° C., wherein the patterning of the PZT layer is substantially selective with respect to the patterned hard mask.
4. The method of claim 3, further comprising adding Ar to the BCl3 etch of the PZT ferroelectric layer, wherein a ratio of BCl3 to Ar comprises about 1:1.
5. The method of claim 2, further comprising adding Ar to the BCl3 etch of the PZT ferroelectric layer, wherein a ratio of BCl3 to Ar comprises about 20% Ar or more and about 30% Ar or less.
6. A method of forming a capacitor stack in a ferroelectric memory cell, comprising:
forming a bottom electrode layer, a PZT ferroelectric layer, a top electrode layer, and a hard mask layer over a substrate;
patterning the hard mask layer;
patterning the top electrode layer using a Cl2+O2 or a Cl2+CO etch in accordance with the patterned hard mask;
patterning the PZT ferroelectric layer using a BCl3+Ar etch at a temperature of about 150° C. or more in accordance with the patterned hard mask; and
patterning the bottom electrode layer using a Cl2+O2 or a Cl2+CO etch in accordance with the patterned hard mask.
7. The method of claim 6, wherein patterning the top electrode layer, the PZT ferroelectric layer and the bottom electrode layer is performed at a temperature of about 350° C. or more.
8. The method of claim 6, wherein the hard mask layer comprises TiAlN, and wherein an oxygen content in the Cl2+O2 or the Cl2+CO etch of the top and bottom electrode layers is at least about 5%, thereby providing a substantial etch selectivity of the capacitor stack with respect to the patterned TiAlN hard mask.
9. The method of claim 8, wherein the temperature of the BCl3+Ar PZT ferroelectric layer etch is about 350° C., thereby providing a substantial etch selectivity of the PZT ferroelectric layer with respect to the patterned TiAlN hard mask.
10. The method of claim 9, wherein a ratio of BCl3 to Ar in the PZT ferroelectric layer etch comprises about 1:1.
11. The method of claim 6, wherein a ratio of BCl3 to Ar in the PZT ferroelectric layer etch comprises about 20% Ar or more and about 30% Ar or less.
12. A method of forming a capacitor stack in a ferroelectric memory cell, comprising:
forming an iridium bottom electrode layer, a PZT ferroelectric layer, an iridium top electrode layer, and a TiAlN hard mask layer over a substrate;
patterning the TiAlN hard mask layer using a BCl3 etch;
patterning the iridium top electrode layer using a Cl2+O2 or a Cl2+CO etch in accordance with the patterned hard mask, wherein an oxygen content in the iridium top electrode layer etch is at least about 5%, thereby providing a substantial etch selectivity with respect to the TiAlN hard mask;
patterning the PZT ferroelectric layer using a BCl3+Ar etch at a temperature of about 150° C. or more in accordance with the patterned hard mask, wherein the temperature of about 150° C. or more provides for an etch of the PZT ferroelectric dielectric layer that is substantially selective with respect to the TiAlN hard mask; and
patterning the bottom electrode layer using a Cl2+O2 or a Cl2+CO etch in accordance with the patterned hard mask, wherein an oxygen content in the iridium bottom electrode layer etch is at least about 5%, thereby providing a substantial etch selectivity with respect to the TiAlN hard mask.
13. The method of claim 12, wherein patterning the PZT ferroelectric layer comprises using the BCl3+Ar etch at a temperature of at about 350° C.
14. The method of claim 13, wherein a ratio of BCl3 to Ar comprises about 1:1.
15. The method of claim 12, wherein the temperature of the PZT ferroelectric layer etch is about 150° C., and wherein a ratio of BCl3 to Ar comprises about 20% Ar or more and about 30% Ar or less.
16. A method of etching a capacitor stack associated with a ferroelectric memory cell, comprising:
forming a bottom electrode layer, a PZT ferroelectric layer, a top electrode layer, and a hard mask layer over a substrate;
patterning the hard mask layer;
patterning the top electrode layer in accordance with the patterned hard mask;
patterning the PZT ferroelectric layer, wherein a resulting PZT ferroelectric sidewall edge has a profile having an angle of less than about 88 degrees; and
patterning the bottom electrode layer in accordance with the patterned hard mask, wherein the PZT profile angle of less than about 88 degrees causes a re-deposition rate of bottom electrode material on the PZT sidewall edge during the bottom electrode layer patterning to be less than a removal rate thereof due to ion impingement, thereby preventing bottom electrode material from forming on the PZT ferroelectric layer sidewall during the capacitor stack etch.
17. The method of claim 16, wherein patterning the PZT ferroelectric layer comprises etching using a fluorine gas+Cl2+an oxidizer at a relatively low temperature.
18. The method of claim 17, wherein the temperature of the PZT ferroelectric layer etch is about 60° C.
19. The method of claim 17, wherein etching the PZT ferroelectric layer comprises using a CHF3+Cl2+O2+N2 at a temperature of about 60° C.
20. The method of claim 16, wherein patterning the top and bottom electrode layers comprise etching with a Cl2+O2 or a Cl2+CO at substantially high temperature, and wherein patterning the PZT ferroelectric layer comprises etching using a fluorine gas+Cl2+an oxidizer at a relatively low temperature.
21. The method of claim 20, wherein the substantially high temperature comprises about 350° C., and the relatively low temperature comprises about 60° C.
22. The method of claim 16, wherein the sidewall edge profile angle of the PZT ferroelectric layer is about 80 degrees or more.
US10/282,621 2002-01-31 2002-10-29 FeRAM capacitor stack etch Abandoned US20030143853A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/282,621 US20030143853A1 (en) 2002-01-31 2002-10-29 FeRAM capacitor stack etch
EP03100194A EP1333471A3 (en) 2002-01-31 2003-01-30 FeRam capacitor stack etch
JP2003062470A JP2003318371A (en) 2002-01-31 2003-01-31 Method of etching capacitor stack associated with ferroelectric memory cell
US10/968,721 US7029925B2 (en) 2002-01-31 2004-10-19 FeRAM capacitor stack etch

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35353502P 2002-01-31 2002-01-31
US10/282,621 US20030143853A1 (en) 2002-01-31 2002-10-29 FeRAM capacitor stack etch

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/968,721 Division US7029925B2 (en) 2002-01-31 2004-10-19 FeRAM capacitor stack etch

Publications (1)

Publication Number Publication Date
US20030143853A1 true US20030143853A1 (en) 2003-07-31

Family

ID=26961561

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/282,621 Abandoned US20030143853A1 (en) 2002-01-31 2002-10-29 FeRAM capacitor stack etch
US10/968,721 Expired - Lifetime US7029925B2 (en) 2002-01-31 2004-10-19 FeRAM capacitor stack etch

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/968,721 Expired - Lifetime US7029925B2 (en) 2002-01-31 2004-10-19 FeRAM capacitor stack etch

Country Status (3)

Country Link
US (2) US20030143853A1 (en)
EP (1) EP1333471A3 (en)
JP (1) JP2003318371A (en)

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030235944A1 (en) * 2002-06-20 2003-12-25 Fujitsu Limited Semiconductor device manufacturing method
US20040072442A1 (en) * 2002-10-15 2004-04-15 Francis Gabriel Celii Low-bias bottom electrode etch for patterning ferroelectric memory elements
US20040157459A1 (en) * 2003-02-11 2004-08-12 Applied Materials, Inc. Method of etching ferroelectric layers
US20040209471A1 (en) * 2003-04-17 2004-10-21 Chih-Chung Chuang Method for fabricating liquid crystal display panel array
US20050006768A1 (en) * 2003-02-27 2005-01-13 Mukundan Narasimhan Dielectric barrier layer films
US20050019960A1 (en) * 2003-07-25 2005-01-27 Moon-Sook Lee Method and apparatus for forming a ferroelectric layer
US20050255663A1 (en) * 2004-05-13 2005-11-17 Katsuaki Natori Semiconductor device and method of manufacturing the same
US20060046315A1 (en) * 2004-07-28 2006-03-02 Toshio Ito Method of producing ferroelectric capacitor
US20060057304A1 (en) * 2002-03-16 2006-03-16 Symmorphix, Inc. Biased pulse DC reactive sputtering of oxide films
US20060108624A1 (en) * 2004-11-22 2006-05-25 Hiroshi Itokawa Semiconductor device
US20060281316A1 (en) * 2005-06-09 2006-12-14 Fujitsu Limited Semiconductor device and method of manufacturing the same
US20080061345A1 (en) * 2006-09-11 2008-03-13 Fujitsu Limited Semiconductor device and method for manufacturing the same
US20080111241A1 (en) * 2006-11-14 2008-05-15 Fujitsu Limited Semiconductor device and manufacturing method thereof
US20080142915A1 (en) * 2005-09-01 2008-06-19 Fujitsu Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US20090302362A1 (en) * 2007-03-14 2009-12-10 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20100090655A1 (en) * 2008-10-08 2010-04-15 Keating Joseph A Environmentally-Powered Wireless Sensor Module
US20100190313A1 (en) * 2008-05-08 2010-07-29 Yoshio Kawashima Method for manufacturing nonvolatile storage element and method for manufacturing nonvolatile storage device
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8076005B2 (en) 2003-05-23 2011-12-13 Springworks, Llc Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8260203B2 (en) 2008-09-12 2012-09-04 Infinite Power Solutions, Inc. Energy device with integral conductive surface for data communication via electromagnetic energy and method thereof
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
US8350519B2 (en) 2008-04-02 2013-01-08 Infinite Power Solutions, Inc Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8518581B2 (en) 2008-01-11 2013-08-27 Inifinite Power Solutions, Inc. Thin film encapsulation for thin film batteries and other devices
US8599572B2 (en) 2009-09-01 2013-12-03 Infinite Power Solutions, Inc. Printed circuit board with integrated thin film battery
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US8652917B2 (en) * 2012-05-23 2014-02-18 GlobalFoundries, Inc. Superior stability of characteristics of transistors having an early formed high-K metal gate
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
US20150072443A1 (en) * 2013-09-12 2015-03-12 Texas Instruments Incorporated Method of Etching Ferroelectric Capacitor Stack
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
US9634296B2 (en) 2002-08-09 2017-04-25 Sapurast Research Llc Thin film battery on an integrated circuit or circuit board and method thereof
US20200035505A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. 3D NAND Etch
US10680277B2 (en) 2010-06-07 2020-06-09 Sapurast Research Llc Rechargeable, high-density electrochemical device
TWI702598B (en) * 2018-08-13 2020-08-21 大陸商無錫拍字節科技有限公司 Method for writing or reading a ferroelectric memory cell

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4218350B2 (en) * 2002-02-01 2009-02-04 パナソニック株式会社 Ferroelectric thin film element and manufacturing method thereof, thin film capacitor and piezoelectric actuator using the same
US7250349B2 (en) * 2003-03-06 2007-07-31 Texas Instruments Incorporated Method for forming ferroelectric memory capacitor
KR101027189B1 (en) * 2003-05-27 2011-04-06 파나소닉 주식회사 Semiconductor device and method for fabricating the same
JP4015068B2 (en) * 2003-06-17 2007-11-28 株式会社東芝 Manufacturing method of semiconductor device
US6867053B2 (en) * 2003-07-28 2005-03-15 Infineon Technologies Ag Fabrication of a FeRAM capacitor using a noble metal hardmask
JP4041785B2 (en) * 2003-09-26 2008-01-30 松下電器産業株式会社 Manufacturing method of semiconductor device
DE10345455A1 (en) * 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
US7119021B2 (en) * 2003-11-07 2006-10-10 Infineon Technologies Ag Ferroelectric capacitor devices and a method for compensating for damage to a capacitor caused by etching
WO2005067051A1 (en) * 2003-12-26 2005-07-21 Fujitsu Limited Semiconductor device and method for manufacturing semiconductor device
JP4308691B2 (en) * 2004-03-19 2009-08-05 富士通マイクロエレクトロニクス株式会社 Semiconductor substrate and method for manufacturing semiconductor substrate
JP4551725B2 (en) * 2004-09-13 2010-09-29 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US7220600B2 (en) * 2004-12-17 2007-05-22 Texas Instruments Incorporated Ferroelectric capacitor stack etch cleaning methods
JP4422644B2 (en) * 2005-03-30 2010-02-24 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4756915B2 (en) * 2005-05-31 2011-08-24 Okiセミコンダクタ株式会社 Ferroelectric memory device and manufacturing method thereof
JP4554461B2 (en) 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device
JP2007095898A (en) * 2005-09-28 2007-04-12 Toshiba Corp Semiconductor storage device and method of manufacturing same
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7687370B2 (en) * 2006-01-27 2010-03-30 Freescale Semiconductor, Inc. Method of forming a semiconductor isolation trench
US7378339B2 (en) * 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
KR100761361B1 (en) * 2006-05-02 2007-09-27 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same
JP2008028197A (en) * 2006-07-21 2008-02-07 Matsushita Electric Ind Co Ltd Ferroelectric film and manufacturing method thereof, ferroelectric capacitor, ferroelectric memory, and manufacturing method thereof
JP2008078416A (en) * 2006-09-21 2008-04-03 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2008078417A (en) * 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory device and manufacturing method thereof
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
KR20080076173A (en) * 2007-02-15 2008-08-20 삼성전자주식회사 Method of forming a metal oxide layer pattern and method of forming a semiconductor device using the same
US7592273B2 (en) * 2007-04-19 2009-09-22 Freescale Semiconductor, Inc. Semiconductor device with hydrogen barrier and method therefor
JP2008294194A (en) * 2007-05-24 2008-12-04 Seiko Epson Corp Method for manufacturing ferroelectric capacitor, and the ferroelectric capacitor
KR101390341B1 (en) 2007-11-15 2014-04-30 삼성전자주식회사 Phase-changeable memory devices
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US8034655B2 (en) 2008-04-08 2011-10-11 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
JP2009259903A (en) * 2008-04-14 2009-11-05 Toshiba Corp Semiconductor device and its manufacturing method
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
US8134137B2 (en) 2008-06-18 2012-03-13 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9343665B2 (en) * 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
JP5347381B2 (en) 2008-08-28 2013-11-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP2010157560A (en) * 2008-12-26 2010-07-15 Toshiba Corp Semiconductor memory device and method of manufacturing same
US8907446B2 (en) * 2009-05-19 2014-12-09 Texas Instruments Incorporated Integrated circuit structure with capacitor and resistor and method for forming
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8427859B2 (en) 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8289763B2 (en) 2010-06-07 2012-10-16 Micron Technology, Inc. Memory arrays
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US20120086101A1 (en) * 2010-10-06 2012-04-12 International Business Machines Corporation Integrated circuit and interconnect, and method of fabricating same
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
US8526213B2 (en) 2010-11-01 2013-09-03 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US8796661B2 (en) 2010-11-01 2014-08-05 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cell
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8488365B2 (en) 2011-02-24 2013-07-16 Micron Technology, Inc. Memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
FR2993705B1 (en) * 2012-07-20 2015-05-29 Thales Sa DEVICE COMPRISING A PLURALITY OF THIN LAYERS
KR101994820B1 (en) * 2012-07-26 2019-07-02 에스케이하이닉스 주식회사 Semiconductor with stacked silicon containing layer and metal containing layer and method for fabricating the same
US9111944B2 (en) * 2013-09-09 2015-08-18 Cypress Semiconductor Corporation Method of fabricating a ferroelectric capacitor
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10003022B2 (en) 2014-03-04 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM cell structure with conductive etch-stop layer
US10008559B2 (en) * 2016-03-24 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process control in forming MIM capacitor
US9911847B1 (en) * 2017-07-12 2018-03-06 United Microelectronics Corp. Non-volatile memory device and manufacturing method thereof
US11476260B2 (en) 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
US10847201B2 (en) 2019-02-27 2020-11-24 Kepler Computing Inc. High-density low voltage non-volatile differential memory bit-cell with shared plate line
WO2020210263A1 (en) 2019-04-08 2020-10-15 Kepler Computing Inc. Doped polar layers and semiconductor device incorporating same
US20210066214A1 (en) * 2019-08-27 2021-03-04 Texas Instruments Incorporated Integrated circuit devices with capacitors
US11430861B2 (en) 2019-12-27 2022-08-30 Kepler Computing Inc. Ferroelectric capacitor and method of patterning such
US11482528B2 (en) 2019-12-27 2022-10-25 Kepler Computing Inc. Pillar capacitor and method of fabricating such
US11289497B2 (en) 2019-12-27 2022-03-29 Kepler Computing Inc. Integration method of ferroelectric memory array
US11744081B1 (en) 2021-05-07 2023-08-29 Kepler Computing Inc. Ferroelectric device film stacks with texturing layer which is part of a bottom electrode, and method of forming such
US11527277B1 (en) 2021-06-04 2022-12-13 Kepler Computing Inc. High-density low voltage ferroelectric memory bit-cell
US11765909B1 (en) 2021-06-11 2023-09-19 Kepler Computing Inc. Process integration flow for embedded memory enabled by decoupling processing of a memory area from a non-memory area
US11910618B1 (en) 2022-03-07 2024-02-20 Kepler Computing Inc. Multi-element ferroelectric gain memory bit-cell having stacked and folded non-planar capacitors
US11741428B1 (en) 2022-12-23 2023-08-29 Kepler Computing Inc. Iterative monetization of process development of non-linear polar material and devices

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6674A (en) * 1849-08-28 Bedstead-fastening
US34106A (en) * 1862-01-07 Improvement in compositions for lining tobacco-pipes
US5658820A (en) * 1995-03-20 1997-08-19 Samsung Electronics Co., Ltd. Method for manufacturing ferroelectric thin-film capacitor
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
US6265318B1 (en) * 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
US6284654B1 (en) * 1998-04-16 2001-09-04 Advanced Technology Materials, Inc. Chemical vapor deposition process for fabrication of hybrid electrodes
US6316797B1 (en) * 1999-02-19 2001-11-13 Advanced Technology Materials, Inc. Scalable lead zirconium titanate(PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
US20020006674A1 (en) * 1999-12-22 2002-01-17 Shawming Ma Hydrogen-free contact etch for ferroelectric capacitor formation
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
US6458516B1 (en) * 1997-12-12 2002-10-01 Applied Materials Inc. Method of etching dielectric layers using a removable hardmask
US6528328B1 (en) * 2001-12-21 2003-03-04 Texas Instruments Incorporated Methods of preventing reduction of irox during PZT formation by metalorganic chemical vapor deposition or other processing
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19728472A1 (en) * 1997-07-03 1999-01-07 Siemens Ag Structuring process
US6320213B1 (en) 1997-12-19 2001-11-20 Advanced Technology Materials, Inc. Diffusion barriers between noble metal electrodes and metallization layers, and integrated circuit and semiconductor devices comprising same
SG79292A1 (en) * 1998-12-11 2001-03-20 Hitachi Ltd Semiconductor integrated circuit and its manufacturing method
US6656748B2 (en) * 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34106A (en) * 1862-01-07 Improvement in compositions for lining tobacco-pipes
US6674A (en) * 1849-08-28 Bedstead-fastening
US5658820A (en) * 1995-03-20 1997-08-19 Samsung Electronics Co., Ltd. Method for manufacturing ferroelectric thin-film capacitor
US6458516B1 (en) * 1997-12-12 2002-10-01 Applied Materials Inc. Method of etching dielectric layers using a removable hardmask
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6265318B1 (en) * 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
US6284654B1 (en) * 1998-04-16 2001-09-04 Advanced Technology Materials, Inc. Chemical vapor deposition process for fabrication of hybrid electrodes
US6211035B1 (en) * 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6316797B1 (en) * 1999-02-19 2001-11-13 Advanced Technology Materials, Inc. Scalable lead zirconium titanate(PZT) thin film material and deposition method, and ferroelectric memory device structures comprising such thin film material
US20020006674A1 (en) * 1999-12-22 2002-01-17 Shawming Ma Hydrogen-free contact etch for ferroelectric capacitor formation
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
US6436838B1 (en) * 2000-04-21 2002-08-20 Applied Materials, Inc. Method of patterning lead zirconium titanate and barium strontium titanate
US6528328B1 (en) * 2001-12-21 2003-03-04 Texas Instruments Incorporated Methods of preventing reduction of irox during PZT formation by metalorganic chemical vapor deposition or other processing

Cited By (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060057304A1 (en) * 2002-03-16 2006-03-16 Symmorphix, Inc. Biased pulse DC reactive sputtering of oxide films
US8105466B2 (en) 2002-03-16 2012-01-31 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US20030235944A1 (en) * 2002-06-20 2003-12-25 Fujitsu Limited Semiconductor device manufacturing method
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US9634296B2 (en) 2002-08-09 2017-04-25 Sapurast Research Llc Thin film battery on an integrated circuit or circuit board and method thereof
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8535396B2 (en) 2002-08-09 2013-09-17 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US20040072442A1 (en) * 2002-10-15 2004-04-15 Francis Gabriel Celii Low-bias bottom electrode etch for patterning ferroelectric memory elements
US6943039B2 (en) * 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US20040157459A1 (en) * 2003-02-11 2004-08-12 Applied Materials, Inc. Method of etching ferroelectric layers
US20060071592A1 (en) * 2003-02-27 2006-04-06 Symmorphix, Inc. Dielectric barrier layer films
US7205662B2 (en) * 2003-02-27 2007-04-17 Symmorphix, Inc. Dielectric barrier layer films
US20050006768A1 (en) * 2003-02-27 2005-01-13 Mukundan Narasimhan Dielectric barrier layer films
US20040209471A1 (en) * 2003-04-17 2004-10-21 Chih-Chung Chuang Method for fabricating liquid crystal display panel array
US7922921B2 (en) * 2003-04-17 2011-04-12 Au Optronics Corp. Method for fabricating liquid crystal display panel array
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US8076005B2 (en) 2003-05-23 2011-12-13 Springworks, Llc Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US20050019960A1 (en) * 2003-07-25 2005-01-27 Moon-Sook Lee Method and apparatus for forming a ferroelectric layer
US20050255663A1 (en) * 2004-05-13 2005-11-17 Katsuaki Natori Semiconductor device and method of manufacturing the same
US7157288B2 (en) 2004-07-28 2007-01-02 Oki Electric Industry Co., Ltd. Method of producing ferroelectric capacitor
US20060046315A1 (en) * 2004-07-28 2006-03-02 Toshio Ito Method of producing ferroelectric capacitor
US20060108624A1 (en) * 2004-11-22 2006-05-25 Hiroshi Itokawa Semiconductor device
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US20060281316A1 (en) * 2005-06-09 2006-12-14 Fujitsu Limited Semiconductor device and method of manufacturing the same
US7550392B2 (en) * 2005-06-09 2009-06-23 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20080142915A1 (en) * 2005-09-01 2008-06-19 Fujitsu Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US7884404B2 (en) * 2005-09-01 2011-02-08 Fujitsu Semiconductor Limited Ferroelectric memory device and fabrication process thereof, fabrication process of a semiconductor device
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US20080061345A1 (en) * 2006-09-11 2008-03-13 Fujitsu Limited Semiconductor device and method for manufacturing the same
US9373676B2 (en) 2006-09-11 2016-06-21 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US8373212B2 (en) 2006-09-11 2013-02-12 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US7763921B2 (en) 2006-11-14 2010-07-27 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US20080111241A1 (en) * 2006-11-14 2008-05-15 Fujitsu Limited Semiconductor device and manufacturing method thereof
US8367428B2 (en) 2006-11-14 2013-02-05 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US8110411B2 (en) 2006-11-14 2012-02-07 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US20100255611A1 (en) * 2006-11-14 2010-10-07 Fujitsu Semiconductor Limited Semiconductor device and manufacturing method thereof
US8956881B2 (en) 2007-03-14 2015-02-17 Fujitsu Semiconductor Limited Method of manufacturing a FeRAM device
US20090302362A1 (en) * 2007-03-14 2009-12-10 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
US9786873B2 (en) 2008-01-11 2017-10-10 Sapurast Research Llc Thin film encapsulation for thin film batteries and other devices
US8518581B2 (en) 2008-01-11 2013-08-27 Inifinite Power Solutions, Inc. Thin film encapsulation for thin film batteries and other devices
US8350519B2 (en) 2008-04-02 2013-01-08 Infinite Power Solutions, Inc Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US20100190313A1 (en) * 2008-05-08 2010-07-29 Yoshio Kawashima Method for manufacturing nonvolatile storage element and method for manufacturing nonvolatile storage device
US7981760B2 (en) * 2008-05-08 2011-07-19 Panasonic Corporation Method for manufacturing nonvolatile storage element and method for manufacturing nonvolatile storage device
US8906523B2 (en) 2008-08-11 2014-12-09 Infinite Power Solutions, Inc. Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
US8260203B2 (en) 2008-09-12 2012-09-04 Infinite Power Solutions, Inc. Energy device with integral conductive surface for data communication via electromagnetic energy and method thereof
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US20100090655A1 (en) * 2008-10-08 2010-04-15 Keating Joseph A Environmentally-Powered Wireless Sensor Module
US8599572B2 (en) 2009-09-01 2013-12-03 Infinite Power Solutions, Inc. Printed circuit board with integrated thin film battery
US9532453B2 (en) 2009-09-01 2016-12-27 Sapurast Research Llc Printed circuit board with integrated thin film battery
US10680277B2 (en) 2010-06-07 2020-06-09 Sapurast Research Llc Rechargeable, high-density electrochemical device
US8652917B2 (en) * 2012-05-23 2014-02-18 GlobalFoundries, Inc. Superior stability of characteristics of transistors having an early formed high-K metal gate
US9224592B2 (en) * 2013-09-12 2015-12-29 Texas Intruments Incorporated Method of etching ferroelectric capacitor stack
US20150072443A1 (en) * 2013-09-12 2015-03-12 Texas Instruments Incorporated Method of Etching Ferroelectric Capacitor Stack
US20200035505A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. 3D NAND Etch
US10886140B2 (en) * 2018-07-27 2021-01-05 Applied Materials, Inc. 3D NAND etch
US11515170B2 (en) 2018-07-27 2022-11-29 Applied Materials, Inc. 3D NAND etch
TWI702598B (en) * 2018-08-13 2020-08-21 大陸商無錫拍字節科技有限公司 Method for writing or reading a ferroelectric memory cell

Also Published As

Publication number Publication date
JP2003318371A (en) 2003-11-07
EP1333471A2 (en) 2003-08-06
US20050054122A1 (en) 2005-03-10
EP1333471A3 (en) 2004-07-28
US7029925B2 (en) 2006-04-18

Similar Documents

Publication Publication Date Title
US7029925B2 (en) FeRAM capacitor stack etch
US6656748B2 (en) FeRAM capacitor post stack etch clean/repair
US6828161B2 (en) Method of forming an FeRAM having a multi-layer hard mask and patterning thereof
US6713342B2 (en) FeRAM sidewall diffusion barrier etch
US6773930B2 (en) Method of forming an FeRAM capacitor having a bottom electrode diffusion barrier
US6528386B1 (en) Protection of tungsten alignment mark for FeRAM processing
US6767750B2 (en) Detection of AIOx ears for process control in FeRAM processing
US6635498B2 (en) Method of patterning a FeRAM capacitor with a sidewall during bottom electrode etch
US6635528B2 (en) Method of planarizing a conductive plug situated under a ferroelectric capacitor
US6548343B1 (en) Method of fabricating a ferroelectric memory cell
US6576546B2 (en) Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6492222B1 (en) Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6534809B2 (en) Hardmask designs for dry etching FeRAM capacitor stacks
US6485988B2 (en) Hydrogen-free contact etch for ferroelectric capacitor formation
US6500678B1 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6211035B1 (en) Integrated circuit and method
US7361599B2 (en) Integrated circuit and method
US6596547B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6635497B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
US6686236B2 (en) Methods of preventing reduction of IrOx during PZT formation by metalorganic chemical vapor deposition or other processing
JP2001210798A (en) Use of insulating and conductive barrier for protecting capacitor structure
US6528328B1 (en) Methods of preventing reduction of irox during PZT formation by metalorganic chemical vapor deposition or other processing
US7592657B2 (en) Semiconductor device and method of manufacturing the same
US20080076191A1 (en) GCIB smoothing of the contact level to improve PZT films
US20050255663A1 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CELII, FRANCIS G.;SUMMERFELT, SCOTT R.;THAKRE, MAHESH;REEL/FRAME:013441/0581

Effective date: 20021022

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION