US20030151020A1 - Global planarization method - Google Patents

Global planarization method Download PDF

Info

Publication number
US20030151020A1
US20030151020A1 US10/193,589 US19358902A US2003151020A1 US 20030151020 A1 US20030151020 A1 US 20030151020A1 US 19358902 A US19358902 A US 19358902A US 2003151020 A1 US2003151020 A1 US 2003151020A1
Authority
US
United States
Prior art keywords
material layer
etch resistant
substrate
resistant material
photosensitive etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/193,589
Other versions
US6884729B2 (en
Inventor
Jui-Kun Lee
Chris Yu
David Mikolas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Priority to US10/193,589 priority Critical patent/US6884729B2/en
Assigned to CABOT MICROELECTRONICS CORPORATION reassignment CABOT MICROELECTRONICS CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MIKOLAS, DAVID G., YU, CHRIS C., LEE, JUI-KUN
Publication of US20030151020A1 publication Critical patent/US20030151020A1/en
Application granted granted Critical
Publication of US6884729B2 publication Critical patent/US6884729B2/en
Assigned to BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT reassignment BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT NOTICE OF SECURITY INTEREST IN PATENTS Assignors: CABOT MICROELECTRONICS CORPORATION
Assigned to CABOT MICROELECTRONICS CORPORATION reassignment CABOT MICROELECTRONICS CORPORATION RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: BANK OF AMERICA, N.A.
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00611Processes for the planarisation of structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0118Processes for the planarization of structures
    • B81C2201/0123Selective removal

Definitions

  • This invention concerns methods for manufacturing substrates with large or difficult to planarize features such as large step height features, large width features or features of varying pattern densities.
  • Such difficult to polish features are found in substrates such as photonic light circuits (PLC's) and micro-electro-mechanical systems (MEM's) which are also known as microelectromechanisms.
  • PLC's photonic light circuits
  • MEM's micro-electro-mechanical systems
  • CMP chemical mechanical polishing
  • Integrated circuits are typically formed on a substrate by the sequential deposition of conductive, semiconductive or insulating layers onto a silicon wafer. After deposition, each layer can be etched to create circuitry features. Integrated circuit fabrication processes generally require a subsequent layer to be deposited upon a planar surface of a previous layer. Chemical mechanical planarization (CMP) is used to planarize an integrated circuit layer in order to prepare it for the deposition of a subsequent layer.
  • CMP Chemical mechanical planarization
  • FIGS. 1 A- 1 D are cross-section views of embodiments of electronic devices that include features that are currently difficult to planarized by CMP.
  • FIG. 1A is an electronic substrate cross-section that includes a large width feature 100 that is associated with a plurality of features 102 having very small widths. The substrate is covered by a deposited material 104 that fills large width feature 100 and small width features 102 and is to be planarized by CMP.
  • FIG. 1B depicts a substrate 100 including material 104 located above-large width feature 100 is dished to a greater extent thereby leaving the substrate with an unacceptable deviation from planarity.
  • Dishing of the large density of small width features also occurs and usually results in dissimilar trench depths.
  • the dishing occurs primarily because material 104 applied over large width feature 100 typically has a thickness H 1 that is less than the thickness H 2 of material 104 above the plurality of narrow features 102 .
  • polishing material 104 until it is planar with the surface of substrate 101 often causes the corners 105 associated of large width feature 100 to become rounded. Such rounded corners are undesirable for most electronic substrates including photonic light circuits which require features with sharp edges to minimize undesirable features in subsequently applied layers and to improve the efficiency of subsequent lithography steps.
  • FIG. 1C shows an electronic substrate including a large step height feature 110 .
  • Large step height feature 110 will typically have a height of from 2 to about 50 microns.
  • the step height H 3 the peak to valley height
  • Using CMP material 111 to planarize it with the surface 112 of substrate 109 is very difficult because using CMP to polish a thick layer of material causes dishing 113 and undesirably rounded corners 114 at the junction of the large step height feature 110 and substrate surface 112 .
  • this invention includes methods for adding at least one feature to a substrate.
  • the method begins with a substrate having a base material layer and a first material layer applied on top of the base material layer wherein the first material layer has a thickness of at least 2 microns and wherein the first material layer has an exposed surface.
  • a first photosensitive etch resistant material layer is deposited onto the exposed surface of the first material layer.
  • a first mask is placed on first photosensitive etch resistant material layer surface wherein the first mask covers portions of the first photosensitive etch resistant material layer to form covered portions of the photosensitive etch resistant material layer and wherein the first mask leaves portions of the first photosensitive etch resistant material layer exposed to form exposed portions of the first photosensitive etch resistant material layer.
  • the exposed portions of the photosensitive etch resistant material layer is activated to give activated portions and non-activated portions of the first photosensitive etch resistant material layer.
  • a portion of the first photosensitive etch resistant material layer is removed to expose the underlying first material layer.
  • the exposed first material layer is etched to a predetermined thickness to form a feature.
  • the remaining first photosensitive etch resistant material layer is removed from the substrate to expose the entire first material layer surface.
  • a second material layer is deposited onto the surface of the first material layer in an amount that is sufficient to fill the first material layer feature.
  • a second photosensitive etch resistant material layer is deposited onto the exposed surface of the second material layer.
  • a second mask is placed over the second photosensitive etch resistant material layer to form exposed portions of second photosensitive etch resistant material layer and unexposed portions of second photosensitive etch resistant material layer.
  • the exposed portions of the second photosensitive etch resistant material layer are activated to form activated and non-activated portions of the second photosensitive etch resistant material layer.
  • the portion of the second photosensitive etch resistant material layer that does not lie above the feature to expose portions of the second material layer is removed, a portion of the exposed second material layer is stripped from the substrate to the optimized height, and the exposed surface of the substrate is planarized by chemical mechanical polishing.
  • FIGS. 1 A- 1 D are cross section views of electronic substrates showing the problems that are encountered when CMP is used to polish electronic substrates having difficult to polish features;
  • FIGS. 2 A- 2 H are steps in an embodiment of process of this invention for adding difficult to polish features to a substrate using reverse mask etching and CMP techniques;
  • FIGS. 3 A- 3 K are steps in an alternative embodiments of process of this invention for adding difficult to polish features to a substrate using reverse mask etching and CMP techniques;
  • FIGS. 4 A- 4 M are steps in another alternative process embodiment of this invention.
  • the present invention concerns methods for manufacturing substrates having features that create planarization difficulties.
  • Such features include large step-height features, large width features, a low density of features in proximity to a high density of features, planarization of photonic light circuits that require good wall sharpness and combinations thereof.
  • Substrates that can be manufactured by the processes of this invention include, but are not limited to, photonic light circuits (PLC's) and microelectromechanisms (MEM's).
  • FIGS. 2 A- 2 H are steps in one embodiment of processes of this invention for manufacturing substrates with large step-height features.
  • a substrate 10 is provided that includes a base material layer 12 and a first material layer 14 applied to the top of base material layer 12 .
  • First material layer 14 further includes an exposed surface 16 .
  • a feature such as a large step height feature, a large width feature, a photonic light circuit feature or a MEM feature will be applied to first material layer 14 .
  • a first photosensitive etch resistant material 18 is applied to exposed surface 16 of first material layer 14 in FIG. 2B.
  • the first photosensitive etch resistant material 18 may be any positive or negative photosensitive material or any other material that is used in integrated circuit manufacturing to apply a resist pattern to a substrate surface.
  • the photosensitive etch resistant material should be resistant to the materials that are used to etch first material layer 14 which lies below etch resistant material layer 18 .
  • the thickness of first photosensitive etch resistant material layer 18 will depend upon a number of factors including, but not limited to, the etch material used and the expected etch time. The deeper the feature that is being etched into first material layer 14 , the thicker the photoresist layer 18 must be to protect the covered areas from being etched. If photoresist layer 18 is too thick problems arise in applying an etch resistant material layer of uniform thickness. It becomes difficult to form sharp edges in the underlying material that is being etched.
  • FIG. 2C shows substrate 10 after the lithography step, i.e., after a mask has been applied to first photosensitive etch resistant material layer 18 to form patterned openings 19 in etch resistant material layer 18 . Openings 19 exposes or protects areas of first material layer surface 20 which are to be subsequently removed or protected from removal.
  • This lithography step can be performed using a reversed mask of the underlying structure or by using the identical mask of the underlying structure and changing from a positive to a negative photoresist material and vice versa.
  • the masked surface is activated by exposure to light, heat or any other form of energy that is necessary to interact with the photosensitive etch resistant material layer 18 in a positive or negative matter. After activation, the activated or non-activated portions of first photosensitive etch resistant material layer 18 are removed from first material layer 14 to form openings 19 that expose a portion of first material layer 20 .
  • first material layer portions 20 of FIG. 2C are etched down a predetermined thickness to form a trench 22 .
  • the remaining first photosensitive etch resistant material layer 18 can be removed from substrate 10 .
  • first material layer 14 is selected from a matter that has photosensitive characteristics, then the etch resistant material layer is not required and the mask described above will be applied directly to the surface of first material layer 14 .
  • a mask is applied to the surface of first material layer 14 , the mask substrate is processed by the lithography step described above to form activated and non-activated portions of first material layer 14 after which the activated or non-activated portions are removed to form trench 22 .
  • a first material 14 that exhibits photosensitive characteristics are polyimides. Photosensitive materials such as polyimides can be applied to first material layer 14 by conformal layer application processes such as by a spin coating.
  • Trench 22 may be formed by any method known in the art for controllably and uniformly removing a portion of a material layer from an integrated circuit or other substrate.
  • Useful methods for selectively and controllably removing a material layer from a substrate include, for example, reactive ion etching, wet etching and plasma etching electrochemical etching and deep reactive ion etching.
  • a preferred method to remove a portion of first material layer 14 to form trench 22 is reactive ion etching.
  • a second material layer 24 is deposited onto the surface of first material layer 14 in FIG. 2E.
  • Second material layer 24 is a conformal layer meaning here that the exposed surface of second material layer 24 is non planar because it follows the general shape the surface that it is covering.
  • Second material layer 24 is applied to exposed surface 16 of first material layer 14 in an amount sufficient to fill trench 22 to a depth that exceeds the height of surface 16 of first material layer 14 .
  • a second material layer 24 may be applied to the surface of substrate 10 by any means known in the art for applying a conformal layer to a substrate. Non limiting examples of such methods include spin coating, jet coating, chemical vapor deposition, physical vapor deposition, electroplating and so forth. If second material layer 24 is a malleable material, then the substrate can undergo a reflow process to reduce magnitude of the peaks and valleys after application of second material layer 24 to substrate 10 .
  • a second photosensitive etch resistant material layer 26 is applied to exposed surface 25 of second material layer 24 and processed by the lithographic techniques described above in a manner that protects the second material layer 24 located above trench 22 from being removed by etching.
  • FIG. 2F shows substrate 10 following lithography and includes a second photosensitive etch resistant material portion 26 located above trench 22 .
  • a second mask is used to image second photosensitive etch resistant material layer 26 .
  • the second mask may have essentially the same geometry as the first mask used to image first photosensitive etch resistant material layer 18 or it may be a negative of the first mask depending upon the type of etch resistant material used.
  • the first and second photosensitive etch resistant materials will be the same material.
  • the second mask used to image a second photosensitive etch resistant material layer will be essentially the reversed version of the first mask except that some overlay or underlay may be present to accommodate local effects due to pattern density and immediate effects due to feature sizes, and also to accommodate photobias of photosensitive materials.
  • unprotected portions 27 of second material layer 24 i.e., those portions of second material layer 24 that are not covered by the second photosensitive etch resistant material layer are removed from substrate 10 until second material layer surface 24 is removed to a predetermined level that is essentially level with the center of trench 20 .
  • second material layer surface 24 is removed to a predetermined level that is essentially level with the center of trench 20 .
  • a small amount of second material layer 24 can remain associated with surface 16 of first material layer 14 that do not define a trench or some other feature.
  • Unprotected portions 27 of second material layer 24 are typically removed by etching techniques. They may be alternatively removed by CMPs techniques if the selected photosenstive etch resistant material portion 26 is extremely hard and resistant to CMP. Otherwise, etching is the preferred method of removing unprotected portion 27 of second material layer 24 from substrate 10 .
  • the exposed surface of substrate 10 shown in FIG. 2G is polished using chemical mechanical planarization techniques until second material layer 24 becomes coplanar with first material layer 14 to create feature 28 .
  • the portion of second photosensitive etch resistant material layer 26 remaining on the surface of second material layer 24 shown in FIG. 2G can be stripped from second material layer 24 prior to chemical mechanical planarization or it may be removed as part of the chemical mechanical planarization procedure.
  • FIGS. 3 A- 3 K are an alternative embodiment for the process steps shown in FIGS. 2 A- 2 H.
  • the process begins in FIG. 3A with the same substrate used in FIG. 2A.
  • an etch and/or polishing stop material layer 40 is applied to the surface of substrate 10 .
  • a photosensitive etch resistant material layer 42 applied to the surface of stop material layer 40 .
  • the photosensitive etch resistant material layer 42 is exposed and developed to form an opening 44 that exposes the underlying stop layer material 40 .
  • FIG. 3E the exposed portion of stop layer 40 and the underlying first material layer 14 are etched to a predetermined level to create feature 46 .
  • the remaining photosensitive etch resistant material layer 42 can be removed from substrate 10 to form the substrate shown in FIG. 3F, or the processing of substrate 10 can continue.
  • stop materials include, but are not limited to polyimides, nitrides such as silicon nitride, polysilicon, silicon oxynitride (SiOn), silicon carbide (SiC), Al 2 O 3 , W, Ti, TiN, Ta, TaN, TiW, Mo or any other material that exhibits the desired stop material properties of etch and/or polishing resistance.
  • the depth of stop layer 30 will depend upon the thickness and/or width of the feature being polished. Stop layer 30 may range from about 100 ⁇ to about 1 micron in thickness. Preferably, stop layer 30 will have a thickness of from about 500 ⁇ to about 5000 ⁇ .
  • FIGS. 3 G- 3 K are essentially identical to those shown in FIGS. 2 E- 2 H. The only difference is that second material layer 24 is planarized by CMP until it is planar with stop layer material 34 .
  • the photoresist layer portion 26 shown in FIG. 31 can be selected from a hard stop material layer that is imaged and etched to protect the underlying feature 22 .
  • FIGS. 4 A- 4 M are steps in alternative process of this invention for adding a feature to a substrate.
  • the process depicted in FIGS. 4 A- 4 M differs from the process depicted in FIGS. 2 A- 2 H primarily in steps 4 E through 4 G in which a stop layer 30 is selectively applied to portions 32 of first material layer 14 in order to protect the exposed portions of first material layer 14 from being removed during the selective etching or CMP of second material layer 24 from substrate 10 in step 4 K.
  • Stop layer 30 may be any material that inhibits etching, that inhibits polishing, or both.
  • stop layer 30 may be chosen from a material that prevents first material layer 14 from being removed during selective etching of first or second material layer.
  • Stop layer 30 may also be a polishing stop layer which protects first material layer from being overpolished during the CMP steps of the process of this invention.
  • stop material 30 is applied as a layer to exposed surface 16 of first material layer 14 .
  • a third photosensitive etch resistant material layer 33 is applied to the surface of etch stop material layer 30 .
  • a mask is placed over third photosensitive etch resistant layer 33 as shown in FIG. 4G and the photosensitive material is activated as in the lithographic techniques described above in a manner that causes stop layer 30 associated with exposed surface 16 of first material layer to remain while allowing for the removal of stop layer material 30 located in trench 22 .
  • the resulting substrate 10 includes a stop material layer portion 34 associated with first material layer 14 is shown in FIG. 4H.
  • the process steps, shown in FIGS. 4G and 4H, of removing the portions of third photosensitive etch resistant layer 32 that remain after the lithography step is complete can be omitted.
  • the remaining third photosensitive etch resistant material layer portions 34 will remain in place until they are removed by etching CMP techniques and/or following deposition of second material layer 24 .
  • the processes of this invention are useful for manufacturing substrates including features with large step heights.
  • the term “large step-height feature” refers to substrate features having a thickness of from about 2 to about 50 microns and more preferably from about 5 to about 15 microns.
  • the processes of this invention are also useful for manufacturing substrates including large width features.
  • the term “large width feature” refers to substrate features having width of from about 2 microns to about 30,000 microns and more preferably from about 10 to about 15,000 microns.
  • the process of this invention are useful for manufacturing substrates that include an area of low feature density adjacent to an area of high feature density.
  • PLC's photonic light circuits
  • MEM's microelctromechanisms
  • the first and second materials will generally be a transparent organic or inorganic material such as silicon, silicon oxynitride, polysilicon, polyimides, polymethylmethacrylate, perfluorinated polymers and polyacrylics, or silicon dioxide (SiO 2 ), doped oxide such as Ge, Er, ZnO, ZrO 2 , InP, GaN, GaAIN, and mixtures thereof.
  • the second material will have a different refractive index than the first material.
  • the first material may be selected from a sacrificial materials such as, GaAs, polysilicon, SiN, polyimide, photoresists, SiO 2 , Cu, Al, AlGa, As and mixtures thereof while the second structural material will be selected from a metal or an alloy such as copper, aluminum, tungsten, polysilicon, SiH, GaAs, diamond, nickel and nickel compounds and so forth and alloys thereof.
  • a sacrificial materials such as, GaAs, polysilicon, SiN, polyimide, photoresists, SiO 2 , Cu, Al, AlGa, As and mixtures thereof
  • the second structural material will be selected from a metal or an alloy such as copper, aluminum, tungsten, polysilicon, SiH, GaAs, diamond, nickel and nickel compounds and so forth and alloys thereof.
  • the processes of this invention all use chemical mechanical polishing techniques to planarize the second material layer.
  • CMP chemical mechanical planarization
  • the substrate surface that is being polished is placed into contact with a rotating polishing pad.
  • a carrier applies pressure against the backside of the substrate.
  • the pad and table are rotated while a downward force is maintained against the substrate back.
  • a polishing composition is applied to the interface between the polishing pad and the substrate surface being polished.
  • the polishing composition can be applied to the interface by applying the polishing composition to the polishing pad surface, to the substrate surface being polished or both.
  • the polishing composition can be applied to the interface either intermittently or continuously and the application of the polishing composition can begin prior to or after the polishing pad is brought into contact with the substrate surface being polished.
  • applying a polishing composition as it used in the specification and claims is not time limited and refers to the application of a polishing composition either before or after a polishing substrate is moved into contact with the surface being polished.
  • the polishing process further requires an abrasive material to assist in removing a portion of the substrate surface that has been softened by a reaction between the polishing composition and the substrate surface material.
  • the abrasive may be incorporated into the polishing pad such as polishing pads disclosed in U.S. Pat. No. 6,121,143 which is incorporated herein by reference, it may be incorporated into the polishing composition, or both.
  • Ingredients in the polishing composition or slurry initiate the polishing process by chemically reacting with the material on the surface of the substrate that is being polished. The polishing process is facilitated by the movement of the pad relative to the substrate as the chemically reactive polishing composition or slurry is provided to the substrate/pad interface. Polishing is continued in this manner until the desired film or amount of film on the substrate surface is removed.
  • the movement of the polishing pad in relationship to the substrate can vary depending upon the desired polishing end results. Often, the polishing pad substrate is rotated while the substrate being polished remains stationary. Alternatively, the polishing pad and the substrate being polished can both move with respect to one another.
  • the polishing substrates and in particular the polishing pads of this invention can be moved in a linear manner, they can move in a orbital or a rotational manner or they can move in a combination of the directions.
  • the polishing composition is formulated to include chemicals that react with and soften the surface of the material being polished.
  • the choice of polishing composition or slurry is an important factor in the CMP step.
  • the polishing slurry can be tailored to provide effective polishing of the substrate layer(s) at desired polishing rates while minimizing surface imperfections, defects and corrosion and erosion.
  • the polishing composition may be selected to provide controlled polishing selectivities to other thin-film materials used in substrate manufacturing.
  • CMP polishing compositions and slurries are disclosed, in U.S. Pat. Nos. 6,068,787, 6,063,306, 6,033,596, 6,039,891, 6,015,506, 5,954,997, 5,993,686, 5,783,489, 5,244,523, 5,209,816, 5,340,370, 4,789,648, 5,391,258, 5,476,606, 5,527,423, 5,354,490, 5,157,876, 5,137,544, 4,956,313, the specifications of each of which are incorporated herein by reference.

Abstract

Methods for manufacturing substrates with difficult to polish features using reverse mask etching and chemical mechanical planarization techniques.

Description

    BACKGROUND OF THE INVENTION
  • (1) Field of the Invention [0001]
  • This invention concerns methods for manufacturing substrates with large or difficult to planarize features such as large step height features, large width features or features of varying pattern densities. Such difficult to polish features are found in substrates such as photonic light circuits (PLC's) and micro-electro-mechanical systems (MEM's) which are also known as microelectromechanisms. The methods of this invention employ reverse mask etching and chemical mechanical polishing (CMP) techniques to planarize the difficult to polish feature with little dishing and with little edge loss. [0002]
  • (2) Description of the Art [0003]
  • Manufacturing processes for integrated circuits are well known in the art. Integrated circuits are typically formed on a substrate by the sequential deposition of conductive, semiconductive or insulating layers onto a silicon wafer. After deposition, each layer can be etched to create circuitry features. Integrated circuit fabrication processes generally require a subsequent layer to be deposited upon a planar surface of a previous layer. Chemical mechanical planarization (CMP) is used to planarize an integrated circuit layer in order to prepare it for the deposition of a subsequent layer. [0004]
  • The procedures used to manufacture integrated circuits are only starting to be applied to the manufacture of other electronic devices such as photonic light circuits (PLC's) and microelectronic mechanism (MEM's). However, the size (width and depth) and densities of features applied to such substrates are very different that features applied to IC's. This makes it impossible to use chemical mechanical polishing to planarize certain substrate layers without introducing undesirable effects and features such as dishing. During CMP of MEM's and PLC's, localized differences in polish pressure cause small features to polish faster than wider gaps, and oxide layers applied to narrow features polish slower than oxide applied to larger features in the same deposition step. Due to the high feature precision requirements of substrates such as PLC's and MEM's, undesirable features caused by CMP are a major and unsolved problem. [0005]
  • FIGS. [0006] 1A-1D are cross-section views of embodiments of electronic devices that include features that are currently difficult to planarized by CMP. FIG. 1A is an electronic substrate cross-section that includes a large width feature 100 that is associated with a plurality of features 102 having very small widths. The substrate is covered by a deposited material 104 that fills large width feature 100 and small width features 102 and is to be planarized by CMP. The typical result of CMP of the substrate of FIG. 1A is shown in FIG. 1B. FIG. 1B depicts a substrate 100 including material 104 located above-large width feature 100 is dished to a greater extent thereby leaving the substrate with an unacceptable deviation from planarity. Dishing of the large density of small width features also occurs and usually results in dissimilar trench depths. The dishing occurs primarily because material 104 applied over large width feature 100 typically has a thickness H1 that is less than the thickness H2 of material 104 above the plurality of narrow features 102. Furthermore, polishing material 104 until it is planar with the surface of substrate 101 often causes the corners 105 associated of large width feature 100 to become rounded. Such rounded corners are undesirable for most electronic substrates including photonic light circuits which require features with sharp edges to minimize undesirable features in subsequently applied layers and to improve the efficiency of subsequent lithography steps.
  • Problems also occur when applying CMP techniques to add a large step height features to electronic substrates. FIG. 1C shows an electronic substrate including a large [0007] step height feature 110. Large step height feature 110 will typically have a height of from 2 to about 50 microns. In order to deposit a layer of material 111 into large step height feature 110, the step height H3 (the peak to valley height) of the top surface of material applied to the surface of the substrate and into large step height feature 110 can be quite large. Using CMP material 111 to planarize it with the surface 112 of substrate 109 is very difficult because using CMP to polish a thick layer of material causes dishing 113 and undesirably rounded corners 114 at the junction of the large step height feature 110 and substrate surface 112.
  • As integrated circuit manufacturing techniques are applied to larger and more diverse electronic substrates such as PLC's and MEM's, there remains a need for processes and techniques for planarizing the surfaces of such substrates without substantial deviation from planarity. [0008]
  • SUMMARY OF THE INVENTION
  • In one aspect, this invention includes methods for adding at least one feature to a substrate. The method begins with a substrate having a base material layer and a first material layer applied on top of the base material layer wherein the first material layer has a thickness of at least 2 microns and wherein the first material layer has an exposed surface. A first photosensitive etch resistant material layer is deposited onto the exposed surface of the first material layer. A first mask is placed on first photosensitive etch resistant material layer surface wherein the first mask covers portions of the first photosensitive etch resistant material layer to form covered portions of the photosensitive etch resistant material layer and wherein the first mask leaves portions of the first photosensitive etch resistant material layer exposed to form exposed portions of the first photosensitive etch resistant material layer. The exposed portions of the photosensitive etch resistant material layer is activated to give activated portions and non-activated portions of the first photosensitive etch resistant material layer. A portion of the first photosensitive etch resistant material layer is removed to expose the underlying first material layer. Next, the exposed first material layer is etched to a predetermined thickness to form a feature. The remaining first photosensitive etch resistant material layer is removed from the substrate to expose the entire first material layer surface. Then a second material layer is deposited onto the surface of the first material layer in an amount that is sufficient to fill the first material layer feature. A second photosensitive etch resistant material layer is deposited onto the exposed surface of the second material layer. A second mask is placed over the second photosensitive etch resistant material layer to form exposed portions of second photosensitive etch resistant material layer and unexposed portions of second photosensitive etch resistant material layer. The exposed portions of the second photosensitive etch resistant material layer are activated to form activated and non-activated portions of the second photosensitive etch resistant material layer. The portion of the second photosensitive etch resistant material layer that does not lie above the feature to expose portions of the second material layer is removed, a portion of the exposed second material layer is stripped from the substrate to the optimized height, and the exposed surface of the substrate is planarized by chemical mechanical polishing. [0009]
  • DESCRIPTION OF THE FIGURES
  • FIGS. [0010] 1A-1D are cross section views of electronic substrates showing the problems that are encountered when CMP is used to polish electronic substrates having difficult to polish features;
  • FIGS. [0011] 2A-2H are steps in an embodiment of process of this invention for adding difficult to polish features to a substrate using reverse mask etching and CMP techniques;
  • FIGS. [0012] 3A-3K are steps in an alternative embodiments of process of this invention for adding difficult to polish features to a substrate using reverse mask etching and CMP techniques; and
  • FIGS. [0013] 4A-4M are steps in another alternative process embodiment of this invention.
  • DESCRIPTION OF THE CURRENT EMBODIMENT
  • The present invention concerns methods for manufacturing substrates having features that create planarization difficulties. Such features include large step-height features, large width features, a low density of features in proximity to a high density of features, planarization of photonic light circuits that require good wall sharpness and combinations thereof. Substrates that can be manufactured by the processes of this invention include, but are not limited to, photonic light circuits (PLC's) and microelectromechanisms (MEM's). [0014]
  • FIGS. [0015] 2A-2H are steps in one embodiment of processes of this invention for manufacturing substrates with large step-height features. In FIG. 2A, a substrate 10 is provided that includes a base material layer 12 and a first material layer 14 applied to the top of base material layer 12. First material layer 14 further includes an exposed surface 16. Typically, a feature, such as a large step height feature, a large width feature, a photonic light circuit feature or a MEM feature will be applied to first material layer 14.
  • A first photosensitive etch [0016] resistant material 18 is applied to exposed surface 16 of first material layer 14 in FIG. 2B. The first photosensitive etch resistant material 18 may be any positive or negative photosensitive material or any other material that is used in integrated circuit manufacturing to apply a resist pattern to a substrate surface. The photosensitive etch resistant material should be resistant to the materials that are used to etch first material layer 14 which lies below etch resistant material layer 18. The thickness of first photosensitive etch resistant material layer 18 will depend upon a number of factors including, but not limited to, the etch material used and the expected etch time. The deeper the feature that is being etched into first material layer 14, the thicker the photoresist layer 18 must be to protect the covered areas from being etched. If photoresist layer 18 is too thick problems arise in applying an etch resistant material layer of uniform thickness. It becomes difficult to form sharp edges in the underlying material that is being etched.
  • FIG. 2C shows [0017] substrate 10 after the lithography step, i.e., after a mask has been applied to first photosensitive etch resistant material layer 18 to form patterned openings 19 in etch resistant material layer 18. Openings 19 exposes or protects areas of first material layer surface 20 which are to be subsequently removed or protected from removal. This lithography step can be performed using a reversed mask of the underlying structure or by using the identical mask of the underlying structure and changing from a positive to a negative photoresist material and vice versa. In the lithography step, the masked surface is activated by exposure to light, heat or any other form of energy that is necessary to interact with the photosensitive etch resistant material layer 18 in a positive or negative matter. After activation, the activated or non-activated portions of first photosensitive etch resistant material layer 18 are removed from first material layer 14 to form openings 19 that expose a portion of first material layer 20.
  • In FIG. 2D, exposed first [0018] material layer portions 20 of FIG. 2C are etched down a predetermined thickness to form a trench 22. After trench 22 is formed, the remaining first photosensitive etch resistant material layer 18 can be removed from substrate 10. If first material layer 14 is selected from a matter that has photosensitive characteristics, then the etch resistant material layer is not required and the mask described above will be applied directly to the surface of first material layer 14. In this embodiment a mask is applied to the surface of first material layer 14, the mask substrate is processed by the lithography step described above to form activated and non-activated portions of first material layer 14 after which the activated or non-activated portions are removed to form trench 22. One example of a first material 14 that exhibits photosensitive characteristics are polyimides. Photosensitive materials such as polyimides can be applied to first material layer 14 by conformal layer application processes such as by a spin coating.
  • [0019] Trench 22 may be formed by any method known in the art for controllably and uniformly removing a portion of a material layer from an integrated circuit or other substrate. Useful methods for selectively and controllably removing a material layer from a substrate include, for example, reactive ion etching, wet etching and plasma etching electrochemical etching and deep reactive ion etching. A preferred method to remove a portion of first material layer 14 to form trench 22 is reactive ion etching.
  • A [0020] second material layer 24 is deposited onto the surface of first material layer 14 in FIG. 2E. Second material layer 24 is a conformal layer meaning here that the exposed surface of second material layer 24 is non planar because it follows the general shape the surface that it is covering. Second material layer 24 is applied to exposed surface 16 of first material layer 14 in an amount sufficient to fill trench 22 to a depth that exceeds the height of surface 16 of first material layer 14. A second material layer 24 may be applied to the surface of substrate 10 by any means known in the art for applying a conformal layer to a substrate. Non limiting examples of such methods include spin coating, jet coating, chemical vapor deposition, physical vapor deposition, electroplating and so forth. If second material layer 24 is a malleable material, then the substrate can undergo a reflow process to reduce magnitude of the peaks and valleys after application of second material layer 24 to substrate 10.
  • A second photosensitive etch [0021] resistant material layer 26 is applied to exposed surface 25 of second material layer 24 and processed by the lithographic techniques described above in a manner that protects the second material layer 24 located above trench 22 from being removed by etching. FIG. 2F shows substrate 10 following lithography and includes a second photosensitive etch resistant material portion 26 located above trench 22. In this lithography step, a second mask is used to image second photosensitive etch resistant material layer 26. The second mask may have essentially the same geometry as the first mask used to image first photosensitive etch resistant material layer 18 or it may be a negative of the first mask depending upon the type of etch resistant material used.
  • In order to reduce the complexity of the substrate manufacturing process the first and second photosensitive etch resistant materials will be the same material. In this preferred embodiment, the second mask used to image a second photosensitive etch resistant material layer will be essentially the reversed version of the first mask except that some overlay or underlay may be present to accommodate local effects due to pattern density and immediate effects due to feature sizes, and also to accommodate photobias of photosensitive materials. [0022]
  • In FIG. 2G, [0023] unprotected portions 27 of second material layer 24, i.e., those portions of second material layer 24 that are not covered by the second photosensitive etch resistant material layer are removed from substrate 10 until second material layer surface 24 is removed to a predetermined level that is essentially level with the center of trench 20. As a result, a small amount of second material layer 24 can remain associated with surface 16 of first material layer 14 that do not define a trench or some other feature.
  • [0024] Unprotected portions 27 of second material layer 24 are typically removed by etching techniques. They may be alternatively removed by CMPs techniques if the selected photosenstive etch resistant material portion 26 is extremely hard and resistant to CMP. Otherwise, etching is the preferred method of removing unprotected portion 27 of second material layer 24 from substrate 10.
  • Finally, the exposed surface of [0025] substrate 10 shown in FIG. 2G is polished using chemical mechanical planarization techniques until second material layer 24 becomes coplanar with first material layer 14 to create feature 28. The portion of second photosensitive etch resistant material layer 26 remaining on the surface of second material layer 24 shown in FIG. 2G can be stripped from second material layer 24 prior to chemical mechanical planarization or it may be removed as part of the chemical mechanical planarization procedure.
  • FIGS. [0026] 3A-3K are an alternative embodiment for the process steps shown in FIGS. 2A-2H. The process begins in FIG. 3A with the same substrate used in FIG. 2A. In the step shown in FIG. 3B, an etch and/or polishing stop material layer 40 is applied to the surface of substrate 10. In FIG. 3C, a photosensitive etch resistant material layer 42 applied to the surface of stop material layer 40. In FIG. 3D, the photosensitive etch resistant material layer 42, is exposed and developed to form an opening 44 that exposes the underlying stop layer material 40. In FIG. 3E, the exposed portion of stop layer 40 and the underlying first material layer 14 are etched to a predetermined level to create feature 46. At this point, the remaining photosensitive etch resistant material layer 42 can be removed from substrate 10 to form the substrate shown in FIG. 3F, or the processing of substrate 10 can continue.
  • Examples of useful stop materials include, but are not limited to polyimides, nitrides such as silicon nitride, polysilicon, silicon oxynitride (SiOn), silicon carbide (SiC), Al[0027] 2O3, W, Ti, TiN, Ta, TaN, TiW, Mo or any other material that exhibits the desired stop material properties of etch and/or polishing resistance. The depth of stop layer 30 will depend upon the thickness and/or width of the feature being polished. Stop layer 30 may range from about 100 Å to about 1 micron in thickness. Preferably, stop layer 30 will have a thickness of from about 500 Å to about 5000 Å.
  • The remaining processing steps shown in FIGS. [0028] 3G-3K are essentially identical to those shown in FIGS. 2E-2H. The only difference is that second material layer 24 is planarized by CMP until it is planar with stop layer material 34. In an alternative embodiment, the photoresist layer portion 26 shown in FIG. 31 can be selected from a hard stop material layer that is imaged and etched to protect the underlying feature 22.
  • FIGS. [0029] 4A-4M are steps in alternative process of this invention for adding a feature to a substrate. The process depicted in FIGS. 4A-4M differs from the process depicted in FIGS. 2A-2H primarily in steps 4E through 4G in which a stop layer 30 is selectively applied to portions 32 of first material layer 14 in order to protect the exposed portions of first material layer 14 from being removed during the selective etching or CMP of second material layer 24 from substrate 10 in step 4K. Stop layer 30 may be any material that inhibits etching, that inhibits polishing, or both. For example, stop layer 30 may be chosen from a material that prevents first material layer 14 from being removed during selective etching of first or second material layer. Stop layer 30 may also be a polishing stop layer which protects first material layer from being overpolished during the CMP steps of the process of this invention.
  • According to FIG. 4E, stop [0030] material 30 is applied as a layer to exposed surface 16 of first material layer 14. In FIG. 4F, a third photosensitive etch resistant material layer 33 is applied to the surface of etch stop material layer 30. A mask is placed over third photosensitive etch resistant layer 33 as shown in FIG. 4G and the photosensitive material is activated as in the lithographic techniques described above in a manner that causes stop layer 30 associated with exposed surface 16 of first material layer to remain while allowing for the removal of stop layer material 30 located in trench 22. The resulting substrate 10 includes a stop material layer portion 34 associated with first material layer 14 is shown in FIG. 4H.
  • In a further optional embodiment of this invention, the process steps, shown in FIGS. 4G and 4H, of removing the portions of third photosensitive etch [0031] resistant layer 32 that remain after the lithography step is complete can be omitted. In this optional process embodiment, the remaining third photosensitive etch resistant material layer portions 34 will remain in place until they are removed by etching CMP techniques and/or following deposition of second material layer 24.
  • The processes of this invention are useful for manufacturing substrates including features with large step heights. The term “large step-height feature” refers to substrate features having a thickness of from about 2 to about 50 microns and more preferably from about 5 to about 15 microns. The processes of this invention are also useful for manufacturing substrates including large width features. The term “large width feature” refers to substrate features having width of from about 2 microns to about 30,000 microns and more preferably from about 10 to about 15,000 microns. In yet another embodiment, the process of this invention are useful for manufacturing substrates that include an area of low feature density adjacent to an area of high feature density. [0032]
  • Many useful electronic devices can be manufactured using the processes of this invention. The choice of device will largely determine the choice of materials used to manufacture the substrates. The processes of this invention are especially useful for manufacturing photonic light circuits (PLC's) and microelctromechanisms (MEM's). PLC's are typically manufactured using materials that are light transparent or semi-transparent. When the processes of this invention are used to manufacture PLC's, the first and second materials will generally be a transparent organic or inorganic material such as silicon, silicon oxynitride, polysilicon, polyimides, polymethylmethacrylate, perfluorinated polymers and polyacrylics, or silicon dioxide (SiO[0033] 2), doped oxide such as Ge, Er, ZnO, ZrO2, InP, GaN, GaAIN, and mixtures thereof. The second material will have a different refractive index than the first material.
  • With MEM's, the first material may be selected from a sacrificial materials such as, GaAs, polysilicon, SiN, polyimide, photoresists, SiO[0034] 2, Cu, Al, AlGa, As and mixtures thereof while the second structural material will be selected from a metal or an alloy such as copper, aluminum, tungsten, polysilicon, SiH, GaAs, diamond, nickel and nickel compounds and so forth and alloys thereof.
  • The processes of this invention all use chemical mechanical polishing techniques to planarize the second material layer. In a typical chemical mechanical planarization (CMP) process, the substrate surface that is being polished is placed into contact with a rotating polishing pad. A carrier applies pressure against the backside of the substrate. During the polishing process, the pad and table are rotated while a downward force is maintained against the substrate back. A polishing composition is applied to the interface between the polishing pad and the substrate surface being polished. The polishing composition can be applied to the interface by applying the polishing composition to the polishing pad surface, to the substrate surface being polished or both. The polishing composition can be applied to the interface either intermittently or continuously and the application of the polishing composition can begin prior to or after the polishing pad is brought into contact with the substrate surface being polished. Finally, the term “applying a polishing composition” as it used in the specification and claims is not time limited and refers to the application of a polishing composition either before or after a polishing substrate is moved into contact with the surface being polished. [0035]
  • The polishing process further requires an abrasive material to assist in removing a portion of the substrate surface that has been softened by a reaction between the polishing composition and the substrate surface material. The abrasive may be incorporated into the polishing pad such as polishing pads disclosed in U.S. Pat. No. 6,121,143 which is incorporated herein by reference, it may be incorporated into the polishing composition, or both. Ingredients in the polishing composition or slurry initiate the polishing process by chemically reacting with the material on the surface of the substrate that is being polished. The polishing process is facilitated by the movement of the pad relative to the substrate as the chemically reactive polishing composition or slurry is provided to the substrate/pad interface. Polishing is continued in this manner until the desired film or amount of film on the substrate surface is removed. [0036]
  • The movement of the polishing pad in relationship to the substrate can vary depending upon the desired polishing end results. Often, the polishing pad substrate is rotated while the substrate being polished remains stationary. Alternatively, the polishing pad and the substrate being polished can both move with respect to one another. The polishing substrates and in particular the polishing pads of this invention can be moved in a linear manner, they can move in a orbital or a rotational manner or they can move in a combination of the directions. [0037]
  • The polishing composition is formulated to include chemicals that react with and soften the surface of the material being polished. The choice of polishing composition or slurry is an important factor in the CMP step. Depending on the choice of ingredients such as oxidizing agents, film forming agents, acids, bases, surfactants, complexing agents, abrasives, and other useful additives, the polishing slurry can be tailored to provide effective polishing of the substrate layer(s) at desired polishing rates while minimizing surface imperfections, defects and corrosion and erosion. Furthermore, the polishing composition may be selected to provide controlled polishing selectivities to other thin-film materials used in substrate manufacturing. [0038]
  • Examples of CMP polishing compositions and slurries are disclosed, in U.S. Pat. Nos. 6,068,787, 6,063,306, 6,033,596, 6,039,891, 6,015,506, 5,954,997, 5,993,686, 5,783,489, 5,244,523, 5,209,816, 5,340,370, 4,789,648, 5,391,258, 5,476,606, 5,527,423, 5,354,490, 5,157,876, 5,137,544, 4,956,313, the specifications of each of which are incorporated herein by reference. [0039]
  • The present invention has been described by means of specific embodiments, that would be understood that modifications may be made without departing from the spirit of the invention. The scope of the invention is not to be considered as limited by the description of the invention as set forth in the specification and examples, but rather as defined by the following claims. [0040]

Claims (30)

What is claimed is:
1. A method for adding a feature to a substrate comprising the steps of:
a. providing a substrate having a base material layer and a first material layer applied on top of the base material layer wherein the first material layer has a thickness of at least about 2 microns wherein the first material layer has an exposed surface and;
b. forming a feature having a predetermined depth in first material layer;
c. depositing a second material layer onto the surface of the first material layer in an amount that is sufficient to fill the first material layer feature;
d. depositing a photosensitive etch resistant material layer onto the exposed surface of the first material layer;
e. placing a mask over the second photosensitive etch resistant material layer to form exposed portions of the photosensitive etch resistant material layer and unexposed portions of the photosensitive etch resistant material layer;
f. imaging the exposed portions of the photosensitive etch resistant material layer to form activated portions and non-activated portions of the photosensitive etch resistant material layer; and
g. planarizing the surface of the substrate by chemical mechanical polishing.
2. The method of claim 1 wherein the photosensitive etch resistant material is a stop material selected from an etch stop material and a polishing stop material.
3. The method of claim 1 wherein a feature is formed in first material layer by the further steps comprising:
i. depositing a photosensitive etch resistant material layer onto the exposed surface of the first material layer;
ii. placing a mask on the photosensitive etch resistant material layer wherein the mask covers portions of the photosensitive etch resistant material layer to form covered portions of the photosensitive etch resistant material layer and wherein the mask leaves portions of the photosensitive etch resistant material layer exposed to form exposed portions of the photosensitive etch resistant material layer;
iii. imaging the exposed portions of the photosensitive etch resistant material to give activated portions and non-activated portions of the photosensitive etch resistant material layer;
iv. removing a portion of the photosensitive etch resistant material layer to expose the first material layer; and
v. etching the exposed first material layer to a predetermined thickness to form a feature.
4. The method of claim 3 wherein the photosensitive etch resistant material layer associated with the first material layer is removed from the substrate to expose the first material layer surface before depositing a second material layer on the substrate.
5. The method of claim 1 wherein the photosensitive etch resistant material layer is removed following step (f) by a etching, by chemical mechanical planarization or by a combination thereof.
6. The method of claim 1 wherein the mask applied in step (e) includes underlayed portions, overlayed portions or both underlayed and overlayed portions.
7. The method of claim 1 wherein a portion of the photosensitive etch resistant material layer is removed to expose at least a portion of the second material layer.
8. The method of claim 7 wherein at least a portion of the exposed second material layer is removed from the substrate prior to planarization step (g).
9. The method of claim 1 wherein the photosensitive material layer that lies above the feature is removed from the substrate prior to planarizing step (g).
10. The method of claim 1 wherein the substrate is planarized in step (g) until the first material surface is essentially co-planar with the surface of the second material located in the feature.
11. The method of claim 3 wherein the mask applied to the second material layer is essentially a negative of the mask applied to the first material layer.
12. The method of claim 11 wherein the photosensitive etch resistant materials are both positive acting or they are both negative acting.
13. The method of claim 1 wherein the feature is selected from a large step-size feature, a large width feature, an area of a substrate surface having a high density of features adjacent to a low density of features and combinations thereof.
14. The method of claim 1 wherein the feature is a large step-height feature.
15. The method of claim 1 wherein the feature is a large width feature.
16. The method of claim 1 wherein a stop material layer is applied to the substrate following step (a) by the further steps comprising:
(i) applying a stop material layer to the substrate surface;
(ii) depositing a third photosensitive etch resistant material layer onto the surface of the stop material layer;
(iii) forming a mask over the third photosensitive etch resistant material layer wherein the mask covers portions of the third photosensitive etch resistant material layer and wherein the mask exposes portions of the third photosensitive etch resistant material layer;
(iv) imaging the exposed portions of the third photosensitive etch resistant material layer to form activated and non-activated portions of the third photosensitive etch resistant material layer;
(v) removing the portion of the third photosensitive etch resistant material layer that lies in the feature to expose the stop material layer associated with the feature; and
(vi) removing the exposed stop material layer from the substrate.
17. The method of claim 3 wherein a stop material layer is applied to the substrate either prior to step (i) or following step (v).
18. The method of claim 1 wherein the substrate is a photonic light circuit.
19. The method of claim 15 wherein the second material is a light transparent material.
20. The method of claim 19 wherein the second material has a refractive index that is different from the refractive index of the first material.
21. The method of claim 1 wherein the substrate is a microelectromechanism.
22. The method of claim 20 wherein the first layer of material and second layer of material are each a material selected from the group consisting of a sacrificial material or a structural material wherein the first layer or material and second layer of material are not selected from the same material.
23. The method of claim 1 wherein chemical mechanical polishing step (1) comprises the further steps of:
(i) applying a polishing composition to the second material layer; and
(ii) removing at least a portion of second material layer from the substrate by bringing a polishing substrate into contact with the second material layer surface and thereafter moving the polishing substrate in relation to the substrate.
24. The method of claim 23 wherein the polishing substrate is a fixed polishing pad.
25. The method of claim 24 wherein the polishing pad is a fixed abrasive polishing pad.
26. The method of claim 23 wherein the polishing composition includes abrasive particles.
27. The method of claim 23 wherein the polishing composition selectively polishes the second material layer.
28. The method of claim 1 where the feature applied to the substrate is a large step height feature having a height of from about 2 to about 50 microns.
29. A method for introducing a feature into an electronic substrate during its manufacture comprising the steps of:
a. providing a substrate having a base material layer and a first material layer applied on top of the base material layer wherein the first material layer has a thickness of at least 2 microns and wherein the first material layer has an exposed surface;
b. depositing a first photosensitive etch resistant material layer onto the exposed surface of the first material layer;
c. forming a first mask over the first photosensitive etch resistant material layer wherein the mask covers portions of the first photosensitive etch resistant material layer to form covered portions of the photosensitive etch resistant material layer and wherein the mask leaves portions of the first photosensitive etch resistant material layer exposed to form exposed portions of the first photosensitive etch resistant material layer;
d. imaging the exposed portions of the photosensitive etch resistant material to give activated portions and non-activated portions of the first photosensitive etch resistant material layer;
e. removing a portion of the first photosensitive etch resistant material layer to expose the first material layer;
f. etching the exposed first material layer to a predetermined thickness to form a feature;
g. removing the first photosensitive etch resistant material layer from the substrate to expose the first material layer surface;
h. applying a stop material layer to the first material layer surface;
i. depositing a third photosensitive etch resistant material layer onto the surface of the stop material layer;
j. forming a mask over the third photosensitive etch resistant material layer wherein the mask covers portions of the third photosensitive etch resistant material layer and wherein the mask exposes portions of the third photosensitive etch resistant material layer;
k. imaging the exposed portions of the third photosensitive etch resistant material layer to form activated and non-activated portions of the third photosensitive etch resistant material layer;
l. removing the portion of the third photosensitive etch resistant material layer that lies in the feature to expose the stop material layer associated with the feature; and
m. removing the exposed stop material layer from the substrate.
n. depositing a second material layer onto the exposed substrate surface in an amount sufficient to fill the first material layer feature;
o. depositing a second photosensitive etch resistant material layer onto the exposed surface of the first material layer;
p. forming a second mask over the second photosensitive etch resistant material layer to form exposed portions of second photosensitive etch resistant material layer and unexposed portions of second photosensitive etch resistant material layer;
q. imaging the exposed portions of the second photosensitive etch resistant material layer to form activated portions and non-activated portions of the second photosensitive etch resistant material layer;
r. removing the portion of the second photosensitive etch resistant material layer that does not lie above the feature to expose portions of the second material layer;
s. stripping at least a portion of the exposed second material layer from the substrate; and
t. planarizing the surface of the substrate by chemical mechanical polishing.
30. The method of claim 29 wherein the chemical mechanical polishing step (t) comprises the further steps of:
(i) applying a polishing composition to the second material layer; and
(ii) removing at least a portion of second material layer from the substrate by bringing a polishing substrate into contact with the second material layer surface and thereafter moving the polishing substrate in relation to the substrate.
US10/193,589 2002-02-11 2002-07-11 Global planarization method Expired - Fee Related US6884729B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/193,589 US6884729B2 (en) 2002-02-11 2002-07-11 Global planarization method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35626902P 2002-02-11 2002-02-11
US10/193,589 US6884729B2 (en) 2002-02-11 2002-07-11 Global planarization method

Publications (2)

Publication Number Publication Date
US20030151020A1 true US20030151020A1 (en) 2003-08-14
US6884729B2 US6884729B2 (en) 2005-04-26

Family

ID=27668270

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/193,589 Expired - Fee Related US6884729B2 (en) 2002-02-11 2002-07-11 Global planarization method

Country Status (1)

Country Link
US (1) US6884729B2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060115938A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved T-shaped gate structure
US7192531B1 (en) * 2003-06-24 2007-03-20 Lam Research Corporation In-situ plug fill
US20070197005A1 (en) * 2006-02-21 2007-08-23 Yuh-Hwa Chang Delamination resistant semiconductor film and method for forming the same
EP1934133A1 (en) * 2005-10-10 2008-06-25 Silverbrook Research Pty. Ltd Method of fabricating suspended beam in a mems process
US20090098734A1 (en) * 2007-10-16 2009-04-16 United Microelectronics Corp. Method of forming shallow trench isolation structure and method of polishing semiconductor structure
WO2009111197A1 (en) * 2008-03-04 2009-09-11 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
US20100219493A1 (en) * 2008-03-07 2010-09-02 Qualcomm Incorporated Method of Forming a Magnetic Tunnel Junction Device
US20110044096A1 (en) * 2009-08-24 2011-02-24 Qualcomm Incorporated Magnetic Tunnel Junction Structure
CN102347212A (en) * 2010-07-28 2012-02-08 台湾积体电路制造股份有限公司 Method of forming a layer on a semiconductor substrate having a plurality of trenches
US8119531B1 (en) * 2011-01-26 2012-02-21 International Business Machines Corporation Mask and etch process for pattern assembly
US20150172794A1 (en) * 2012-09-27 2015-06-18 Furukawa Electric Co., Ltd. Switch device
US20160104629A1 (en) * 2012-02-03 2016-04-14 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
CN106672892A (en) * 2016-12-21 2017-05-17 中国电子科技集团公司第五十五研究所 Method for reducing depressed deformation of sacrificial layer in three-dimensional stacking in chemical mechanical polishing
CN107871662A (en) * 2016-09-22 2018-04-03 英飞凌科技股份有限公司 Make the method for surface plane
US10074721B2 (en) 2016-09-22 2018-09-11 Infineon Technologies Ag Method of fabricating a semiconductor wafer that includes producing a planarised surface having both a mesa surface and an insulating layer surface
US10329146B2 (en) * 2015-02-17 2019-06-25 Memjet Technology Limited Process for filling etched holes using photoimageable thermoplastic polymer

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7029591B2 (en) * 2003-04-23 2006-04-18 Lsi Logic Corporation Planarization with reduced dishing
KR100590203B1 (en) * 2003-10-22 2006-06-15 삼성전자주식회사 Method Of Forming Metal Pattern For Semiconductor Device
CN100459100C (en) * 2006-09-30 2009-02-04 中芯国际集成电路制造(上海)有限公司 Planarization method and method for forming isolation structure of top metal layer
US8409986B2 (en) * 2011-01-11 2013-04-02 Institute of Microelectronics, Chinese Academy of Sciences Method for improving within die uniformity of metal plug chemical mechanical planarization process in gate last route
US10665582B2 (en) * 2017-11-01 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor package structure

Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US5137544A (en) * 1990-04-10 1992-08-11 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5157876A (en) * 1990-04-10 1992-10-27 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5244523A (en) * 1990-02-07 1993-09-14 Tollini Dennis R Bandage for replaceable dressing and method of fabrication thereof
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5354490A (en) * 1992-06-04 1994-10-11 Micron Technology, Inc. Slurries for chemical mechanically polishing copper containing metal layers
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5529954A (en) * 1993-01-05 1996-06-25 Kabushiki Kaisha Toshiba Method of diffusing a metal through a silver electrode to form a protective film on the surface of the electrode
US5540811A (en) * 1992-12-22 1996-07-30 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5663107A (en) * 1994-12-22 1997-09-02 Siemens Aktiengesellschaft Global planarization using self aligned polishing or spacer technique and isotropic etch process
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5792707A (en) * 1997-01-27 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Global planarization method for inter level dielectric layers of integrated circuits
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6004653A (en) * 1997-02-18 1999-12-21 Winbond Electronics Corp. Planarization process by applying a polish-differentiating technique utilizing an ultraviolet-light sensitive organic oxide layer
US6015755A (en) * 1998-05-11 2000-01-18 United Microelectronics Corp. Method of fabricating a trench isolation structure using a reverse mask
US6015506A (en) * 1996-11-26 2000-01-18 Cabot Corporation Composition and method for polishing rigid disks
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6063702A (en) * 1997-01-27 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Global planarization method for inter level dielectric layers using IDL blocks
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
US6103581A (en) * 1998-11-27 2000-08-15 Taiwan Semiconductor Manufacturing Company Method for producing shallow trench isolation structure
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US6319837B1 (en) * 2000-06-29 2001-11-20 Agere Systems Guardian Corp. Technique for reducing dishing in Cu-based interconnects
US6366500B1 (en) * 1999-05-17 2002-04-02 Halo Lsi Device & Design Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic flash memory
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization

Patent Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US5244523A (en) * 1990-02-07 1993-09-14 Tollini Dennis R Bandage for replaceable dressing and method of fabrication thereof
US5137544A (en) * 1990-04-10 1992-08-11 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5157876A (en) * 1990-04-10 1992-10-27 Rockwell International Corporation Stress-free chemo-mechanical polishing agent for II-VI compound semiconductor single crystals and method of polishing
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5354490A (en) * 1992-06-04 1994-10-11 Micron Technology, Inc. Slurries for chemical mechanically polishing copper containing metal layers
US5540811A (en) * 1992-12-22 1996-07-30 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5529954A (en) * 1993-01-05 1996-06-25 Kabushiki Kaisha Toshiba Method of diffusing a metal through a silver electrode to form a protective film on the surface of the electrode
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5476606A (en) * 1993-05-26 1995-12-19 Rodel, Inc. Compositions and methods for polishing
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5663107A (en) * 1994-12-22 1997-09-02 Siemens Aktiengesellschaft Global planarization using self aligned polishing or spacer technique and isotropic etch process
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6015506A (en) * 1996-11-26 2000-01-18 Cabot Corporation Composition and method for polishing rigid disks
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6063702A (en) * 1997-01-27 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Global planarization method for inter level dielectric layers using IDL blocks
US5792707A (en) * 1997-01-27 1998-08-11 Chartered Semiconductor Manufacturing Ltd. Global planarization method for inter level dielectric layers of integrated circuits
US6004653A (en) * 1997-02-18 1999-12-21 Winbond Electronics Corp. Planarization process by applying a polish-differentiating technique utilizing an ultraviolet-light sensitive organic oxide layer
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
US6015755A (en) * 1998-05-11 2000-01-18 United Microelectronics Corp. Method of fabricating a trench isolation structure using a reverse mask
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6103581A (en) * 1998-11-27 2000-08-15 Taiwan Semiconductor Manufacturing Company Method for producing shallow trench isolation structure
US6366500B1 (en) * 1999-05-17 2002-04-02 Halo Lsi Device & Design Technology, Inc. Process for making and programming and operating a dual-bit multi-level ballistic flash memory
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
US6319837B1 (en) * 2000-06-29 2001-11-20 Agere Systems Guardian Corp. Technique for reducing dishing in Cu-based interconnects

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7192531B1 (en) * 2003-06-24 2007-03-20 Lam Research Corporation In-situ plug fill
US7749911B2 (en) * 2004-11-30 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved T-shaped gate structure
US20060115938A1 (en) * 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved T-shaped gate structure
EP1934133A1 (en) * 2005-10-10 2008-06-25 Silverbrook Research Pty. Ltd Method of fabricating suspended beam in a mems process
EP1934133A4 (en) * 2005-10-10 2012-09-26 Silverbrook Res Pty Ltd Method of fabricating suspended beam in a mems process
US20070197005A1 (en) * 2006-02-21 2007-08-23 Yuh-Hwa Chang Delamination resistant semiconductor film and method for forming the same
US8846149B2 (en) * 2006-02-21 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Delamination resistant semiconductor film and method for forming the same
US20090098734A1 (en) * 2007-10-16 2009-04-16 United Microelectronics Corp. Method of forming shallow trench isolation structure and method of polishing semiconductor structure
TWI383526B (en) * 2008-03-04 2013-01-21 Qualcomm Inc Method of forming a magnetic tunnel junction structure
WO2009111197A1 (en) * 2008-03-04 2009-09-11 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
US9105670B2 (en) 2008-03-04 2015-08-11 Qualcomm Incorporated Magnetic tunnel junction structure
US9029170B2 (en) 2008-03-04 2015-05-12 Qualcomm Incorporated Magnetic tunnel junction structure
US8680592B2 (en) * 2008-03-07 2014-03-25 Qualcomm Incorporated Method of forming a magnetic tunnel junction device
US20100219493A1 (en) * 2008-03-07 2010-09-02 Qualcomm Incorporated Method of Forming a Magnetic Tunnel Junction Device
US9368718B2 (en) 2008-03-07 2016-06-14 Qualcomm Incorporated Method of forming a magnetic tunnel junction device
US8766384B2 (en) 2008-03-07 2014-07-01 Qualcomm Incorporated Method of forming a magnetic tunnel junction device
US20110044096A1 (en) * 2009-08-24 2011-02-24 Qualcomm Incorporated Magnetic Tunnel Junction Structure
US8634231B2 (en) 2009-08-24 2014-01-21 Qualcomm Incorporated Magnetic tunnel junction structure
CN102347212A (en) * 2010-07-28 2012-02-08 台湾积体电路制造股份有限公司 Method of forming a layer on a semiconductor substrate having a plurality of trenches
US8119531B1 (en) * 2011-01-26 2012-02-21 International Business Machines Corporation Mask and etch process for pattern assembly
US9721801B2 (en) * 2012-02-03 2017-08-01 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
US20160104629A1 (en) * 2012-02-03 2016-04-14 Samsung Electronics Co., Ltd. Apparatus and a method for treating a substrate
US20150172794A1 (en) * 2012-09-27 2015-06-18 Furukawa Electric Co., Ltd. Switch device
US10329146B2 (en) * 2015-02-17 2019-06-25 Memjet Technology Limited Process for filling etched holes using photoimageable thermoplastic polymer
US20190263657A1 (en) * 2015-02-17 2019-08-29 Memjet Technology Limited Process for filling etched holes using first and second polymers
US10597290B2 (en) * 2015-02-17 2020-03-24 Memjet Technology Limited Process for filling etched holes using first and second polymers
CN107871662A (en) * 2016-09-22 2018-04-03 英飞凌科技股份有限公司 Make the method for surface plane
US10074721B2 (en) 2016-09-22 2018-09-11 Infineon Technologies Ag Method of fabricating a semiconductor wafer that includes producing a planarised surface having both a mesa surface and an insulating layer surface
US10134603B2 (en) * 2016-09-22 2018-11-20 Infineon Technologies Ag Method of planarising a surface
US10403724B2 (en) 2016-09-22 2019-09-03 Infineon Technologies Ag Semiconductor wafer
CN106672892A (en) * 2016-12-21 2017-05-17 中国电子科技集团公司第五十五研究所 Method for reducing depressed deformation of sacrificial layer in three-dimensional stacking in chemical mechanical polishing

Also Published As

Publication number Publication date
US6884729B2 (en) 2005-04-26

Similar Documents

Publication Publication Date Title
US6884729B2 (en) Global planarization method
EP0637071B1 (en) Planarization process for IC trench isolation using oxidised polysilicon filler
KR100226752B1 (en) Method for forming multi-metal interconnection layer of semiconductor device
US6080636A (en) Photolitography alignment mark manufacuturing process in tungsten CMP metallization
US5786260A (en) Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
US6143662A (en) Chemical mechanical polishing composition and method of polishing a substrate
US5923993A (en) Method for fabricating dishing free shallow isolation trenches
TW478128B (en) A method to optimize copper chemical-mechanical polishing in a copper damascene inter connect process for integrated circuit applications
US5512163A (en) Method for forming a planarization etch stop
US5923996A (en) Method to protect alignment mark in CMP process
EP1125327A1 (en) High-performance dual-damascene interconnect structures
US6017803A (en) Method to prevent dishing in chemical mechanical polishing
WO2000063963A9 (en) Non-abrasive conditioning for polishing pads
US6020249A (en) Method for photo alignment after CMP planarization
JP4202826B2 (en) Chemical mechanical polishing method of organic film and manufacturing method of semiconductor device
US6251788B1 (en) Method of integrated circuit polishing without dishing effects
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
WO1999046081A1 (en) Multi-step chemical mechanical polishing process and device
KR20030044205A (en) Method and apparatus for fabricating semiconductor
WO2000002235A1 (en) Method of planarizing integrated circuits
JP3880929B2 (en) Method for marking an alignment mark on a semiconductor wafer
EP0791954A2 (en) Method for planarizing a semiconductor layer
KR100414731B1 (en) A method for forming a contact plug of a semiconductor device
US6221560B1 (en) Method to enhance global planarization of silicon oxide surface for IC device fabrication
KR100347533B1 (en) Method of forming a metal wiring in a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: CABOT MICROELECTRONICS CORPORATION, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, JUI-KUN;YU, CHRIS C.;MIKOLAS, DAVID G.;REEL/FRAME:013163/0767;SIGNING DATES FROM 20020313 TO 20020628

FEPP Fee payment procedure

Free format text: PAT HOLDER NO LONGER CLAIMS SMALL ENTITY STATUS, ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: STOL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS ADMINISTRATIVE AGENT, IL

Free format text: NOTICE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:CABOT MICROELECTRONICS CORPORATION;REEL/FRAME:027727/0275

Effective date: 20120213

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20170426

AS Assignment

Owner name: CABOT MICROELECTRONICS CORPORATION, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:BANK OF AMERICA, N.A.;REEL/FRAME:047587/0119

Effective date: 20181115