US20030159921A1 - Apparatus with processing stations for manually and automatically processing microelectronic workpieces - Google Patents

Apparatus with processing stations for manually and automatically processing microelectronic workpieces Download PDF

Info

Publication number
US20030159921A1
US20030159921A1 US10/080,915 US8091502A US2003159921A1 US 20030159921 A1 US20030159921 A1 US 20030159921A1 US 8091502 A US8091502 A US 8091502A US 2003159921 A1 US2003159921 A1 US 2003159921A1
Authority
US
United States
Prior art keywords
microelectronic
station
processing
workpiece
support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/080,915
Inventor
Randy Harris
Daniel Woodruff
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semitool Inc
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semitool Inc filed Critical Semitool Inc
Priority to US10/080,915 priority Critical patent/US20030159921A1/en
Assigned to SEMITOOL, INC. reassignment SEMITOOL, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HARRIS, RANDY, WOODRUFF, DANIEL J.
Priority to PCT/US2003/005063 priority patent/WO2003072853A2/en
Priority to AU2003213148A priority patent/AU2003213148A1/en
Priority to TW92103777A priority patent/TW200306611A/en
Publication of US20030159921A1 publication Critical patent/US20030159921A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Definitions

  • the present invention is directed generally to methods and apparatuses for manually and automatically processing microelectronic workpieces.
  • Microelectronic devices such as semiconductor devices and field emission displays, are generally fabricated on and/or in microelectronic workpieces using several different types of machines (“tools”). Many such processing machines have a single processing station that performs one or more procedures on the workpieces.
  • An example of one such tool is a single-chamber Equinox tool available from Semitool, Inc. of Kalispell, Mont. This tool can have a shelf on which a container of microelectronic workpieces is positioned, and a single processing chamber for electrochemically processing the workpieces. A user can manually load the workpieces one by one into the chamber, for example, to test or demonstrate processing recipes or other processing parameters.
  • LT210C and Spectrum tools also available from Semitool, Inc., provide automated processing in a batch and single-wafer environment, respectively. These tools include robots that automatically remove the microelectronic workpieces from a container, move the microelectronic workpieces among the relevant processing stations, and return the microelectronic workpieces to the container after processing. While such tools are very effective for processing large volumes of microelectronic workpieces, they may not be cost-effective or practical when used in an engineering, test or demonstration environment.
  • the present invention is directed toward apparatuses and methods for processing microelectronic workpieces.
  • One aspect of several embodiments of the invention is a single processing tool that can be suitable for both manual and automatic operation. By combining these functions in a single tool, limited clean room area can be more fully utilized to perform on a wide variety of functions on the microelectronic workpieces. Furthermore, by integrating some or all of the tool with a gray room environment, the space occupied by the tool in a clean room can be reduced. Therefore, tools in accordance with several embodiments of the invention can increase the utilization of valuable clean room space by providing both engineering and production level processing capabilities in a single, low-footprint device.
  • the tool can include stations configured to perform a wide variety of functions on the microelectronic workpieces.
  • the tool can include a metrology station for assessing characteristics of the microelectronic workpieces, a material application station for applying materials, such as seed layer enhancement materials or blanket layers. These materials can be applied with stations having a single electrode (cathode or anode), a multi-electrode arrangement, or an electroless arrangement.
  • the tool can include material removal stations, such as spray stations configured to direct a spray of fluid toward the microelectronic workpieces, or capsule stations, configured to direct two different fluids toward opposite surfaces of the microelectronic workpieces.
  • the tool can include one or more thermal processing stations, such as an annealing station configured to anneal metallic materials applied to the microelectronic workpieces.
  • the processing stations can be accessed by an automatic robot (for automatically transferring microelectronic workpieces to and/or from the processing station) and by a user (for manually transferring the microelectronic workpieces).
  • the manual operation can occur sequentially or simultaneously with the automatic operation.
  • the tool can include a shield positioned proximate to the robot to at least restrict access to the robot by the user when the user manually accesses the processing stations.
  • a support that carries the microelectronic workpieces into the processing station can be positioned above the shield for manual operation and below the shield for automatic operation.
  • one or more of the processing stations can include a vessel, and a support movably positioned proximate to the vessel and configured to carry the microelectronic workpiece.
  • the support can be movable between a first transferring position, and a second transferring position spaced apart from the first transferring position.
  • the support is oriented to receive the microelectronic workpiece from a transfer device when in the first transferring position.
  • the support is configured to receive the microelectronic workpiece manually from the user when in the second transferring position.
  • FIG. 1 is a partially cutaway, isometric view of an apparatus configured to both manually and automatically process microelectronic workpieces in accordance with an embodiment of the invention.
  • FIG. 2 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIG. 1 with a support positioned to manually receive a microelectronic workpiece in accordance with an embodiment of the invention.
  • FIG. 3 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIGS. 1 and 2 with the support positioned to receive microelectronic workpieces from an automated transfer device in accordance with an embodiment of the invention.
  • FIG. 4 is an isometric view of a portion of an automated transfer device in accordance with an embodiment of the invention.
  • FIG. 5 is a partially schematic, cross-sectional side view of the automated transfer device shown in FIG. 4 taken substantially along line 5 - 5 .
  • FIG. 6 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIG. 1 with the support movable toward and away from a processing station in accordance with an embodiment of the invention.
  • FIG. 7 is a partially schematic, cross-sectional side view of a portion of a support supported by a guide structure in accordance with an embodiment of the invention.
  • FIG. 8 is a partially schematic rear view of a portion of the support shown in FIG. 7 supported from the guide structure in accordance with an embodiment of the invention.
  • FIG. 9 is a schematic illustration of a metrology station in accordance with an embodiment of the invention.
  • FIGS. 10 A-E are partially schematic illustrations of portions of microelectronic workpieces having conductive material disposed thereon in accordance with embodiments of the invention.
  • FIG. 11 is a partially schematic illustration of an electrochemical processing station in accordance with an embodiment of the invention.
  • FIG. 12 is a partially schematic illustration of an electrochemical processing station in accordance with another embodiment of the invention.
  • FIG. 13 is a partially schematic illustration of an electrochemical processing station having multiple electrodes in accordance with another embodiment of the invention.
  • FIG. 14 is a partially schematic illustration of an electrochemical processing station having multiple electrodes and flow paths in accordance with another embodiment of the invention.
  • FIG. 15 is a partially schematic illustration of an electroless processing station in accordance with an embodiment of the invention.
  • FIGS. 16 A-B are partially schematic illustrations of a station configured to remove material from a microelectronic workpiece in accordance with an embodiment of the invention.
  • FIG. 17 is a partially schematic, isometric cutaway view of a station for spraying microelectronic workpieces in accordance with an embodiment of the invention.
  • FIG. 18 is a partially schematic, cross-sectional view of a station for thermally processing microelectronic workpieces in accordance with still another embodiment of the invention.
  • microelectronic workpiece is used throughout to include a workpiece formed from a substrate upon which and/or in which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are fabricated. It will be appreciated that several of the details set forth below are provided to describe the following embodiments in a manner sufficient to enable a person skilled in the relevant art to make and use the disclosed embodiments. Several of the details and advantages described below, however, may not be necessary to practice certain embodiments of the invention. Additionally, the invention can include other embodiments that are within the scope of the claims but are not described in detail with respect to FIGS. 1 - 18 .
  • FIGS. 1 - 8 and the associated discussion below relate generally to apparatuses suitable for both manually and automatically processing microelectronic workpieces in accordance with several embodiments of the invention. More specifically, FIG. 1 is an overall view of one such apparatus and FIGS. 2 - 3 illustrate arrangements for manually and automatically transferring, microelectronic workpieces to a support that positions the workpieces at a processing station of the apparatus. FIGS. 4 - 5 illustrate automatic workpiece transfer devices (such as robots), and FIGS. 6 - 8 illustrate arrangements for removably carrying the support from above the processing station.
  • FIGS. 9 - 18 and the associated discussion below relate to processing stations that can be included in the apparatuses, and processes that can be completed at the stations.
  • microelectronic workpieces can be evaluated at a metrology station (FIG. 9). Material can be applied to the workpieces and/or enhanced and/or repaired at an electrochemical deposition station (FIGS. 11 - 14 ) or an electroless deposition deposition station (FIG. 15) to produce layers such as those shown in FIG. 10.
  • the workpieces can be bevel etched and cleaned at a capsule station (FIGS. 16 A-B) and/or rinsed at a spray station (FIG. 17), and annealed in a thermal processing station (FIG. 18).
  • the apparatus can perform other processes and/or other process sequences.
  • FIG. 1 is a partially cutaway isometric view of an apparatus 100 configured to both manually and automatically process microelectronic workpieces in accordance with an embodiment of the invention.
  • the apparatus 100 can be used in both a research and development setting and a production setting.
  • individual microelectronic workpieces can be moved manually into and out of the apparatus 100 for experimenting with and demonstrating new methods and processes.
  • the same apparatus 100 can be used in a production setting in which large numbers of microelectronic workpieces are automatically and efficiently moved into and out of the apparatus in a routine manner for processing.
  • the manual and automated processes can occur sequentially or simultaneously using a single apparatus 100 .
  • the apparatus 100 can include a plurality of processing stations, each configured to perform one or more processes on the microelectronic workpieces.
  • Some processing stations include a vessel configured to provide a fluid in contact with the workpieces.
  • a support carries the workpieces in contact with the fluid during processing. The workpieces can be loaded onto the support either manually or with an automatic transfer device.
  • the apparatus 100 can include a chassis 101 carrying a plurality of processing stations 150 .
  • the chassis 101 can carry eight processing stations 150 as shown in FIG. 1, or alternatively, the chassis 101 can carry other numbers of processing stations 150 in other embodiments.
  • the processing stations 150 can be aligned along a station axis 151 which, in one embodiment, can be generally straight. In alternate embodiments, the station axis 151 can be curved (for example, in a horseshoe shape) or can have other shapes.
  • microelectronic workpieces 114 can be loaded manually and/or automatically into the processing stations 150 depending upon the requirements for a particular station 150 at a particular point in time.
  • the apparatus 100 can include an enclosure 102 disposed around some or all of the chassis 101 and the processing stations 150 .
  • the enclosure 102 can have a plurality of surfaces, such as a front surface 103 a , a rear surface 103 b facing opposite from the front surface 103 a , and two side surfaces 103 c between the front surface 103 a and the rear surface 103 b .
  • the front surface 103 a can be configured to face the user (not shown) and can include a graphical user interface 107 coupled to a processor 106 to control the operation of electrical units 105 .
  • the electrical units 105 in turn power and control the components of the apparatus 100 .
  • the front surface 103 a can also include an input/output station 110 configured to support the microelectronic workpieces 114 for automatic transfer into and out of the processing stations 150 .
  • the input/output station can include two tilting platforms 111 , each configured to support a container 113 that removably carries a plurality of the microelectronic workpieces 114 .
  • the tilting platforms 111 can be moved from an outwardly tilted position (for receiving the containers 113 ) to an upright position (shown in FIG. 1). When the containers 113 are in the upright position, the microelectronic workpieces 114 within the containers 113 are accessible to an automated transfer device 130 through container access openings 112 in the enclosure 102 .
  • the automated transfer device 130 can include a robot 131 supported by a transfer device support 132 .
  • the robot 131 can move along a transfer device guide path 133 to remove the microelectronic workpieces 114 from the containers 113 and move the microelectronic workpieces 114 through the container access opening 112 , into the enclosure 102 and to the processing stations 150 .
  • the transfer device 130 can then return the microelectronic workpieces 114 to the same or a different container 113 through the same or a different access opening 112 .
  • the front surface 103 a can also include a support surface 115 on which the containers 113 can be positioned for manual loading and unloading.
  • the support surface 115 can have a removable section 116 that allows for service access to the transfer device 130 .
  • the transfer device 130 can be accessed from other portions of the apparatus 100 .
  • the user can place the containers 113 on the support surface 115 and then individually remove the microelectronic workpieces 114 from the container 113 and move them into the enclosure 102 through a manual access opening 104 .
  • the manual access opening 104 can be large enough to allow the user to manually access any and all of the processing stations 150 .
  • the manual access opening 104 can be a single, contiguous opening.
  • the manual access opening 104 can include a plurality of smaller adjacent openings separated, for example, by vertical dividers that still allow the user to access all the processing stations 150 .
  • the manual access opening 104 can be positioned on a single side (such as the front side) of the apparatus 100 so that the user can manually access all the processing stations 150 from one side of the apparatus 100 .
  • the manual access opening 104 can be permanent.
  • the apparatus 100 can include a panel that can be positioned over the manual access opening 104 to fully enclose the processing stations 150 and the transfer device 130 , for example, during extended periods of exclusively automated processing.
  • the enclosure 102 can define a “mini” clean room environment.
  • a shield 134 can be positioned adjacent to the transfer device 130 to prevent interference between the transfer device 130 and the user when the user manually accesses the processing stations 150 , as described in greater detail below with reference to FIG. 2.
  • the rear surface 103 b of the enclosure 102 faces opposite the front surface 103 a and can provide service access to the components within the enclosure 102 .
  • the processing stations 150 and associated electrical, mechanical, and chemical systems can be accessed from service access openings (not visible in FIG. 1) in the rear surface 103 b .
  • service access to the apparatus 100 can be provided exclusively via the front surface 103 a and the rear surface 103 b .
  • the side surfaces 103 c need not have service access panels and need not be accessible for service after the apparatus 100 is installed.
  • One feature of this embodiment is that the effective footprint of the apparatus 100 can be reduced compared with some conventional tools because, for example, the apparatus 100 does not require access aisles along the side surfaces 103 c of the enclosure 102 .
  • the apparatus 100 can be positioned in a clean room environment 120 that is adjacent to a gray room environment 121 .
  • the gray room environment 121 can include a room separated from the clean room environment 120 by a wall 122 and can define a generally clean environment that need not meet clean room standards.
  • the wall 122 can include an aperture 123
  • the rear surface 103 b of the enclosure 102 can be placed adjacent to the aperture 123 with the front surface 103 a and the side surfaces 103 c projecting into the clean room environment 120 .
  • a seal 124 can be disposed around the periphery of the enclosure 102 at the aperture 123 to maintain the separation between the clean room environment 120 and the gray room environment 121 . This arrangement can have several advantages.
  • the effective footprint of the apparatus 100 can be reduced when compared with conventional arrangements because an aisle need not be provided in the clean room environment 120 adjacent to the rear surface 103 b .
  • the apparatus 100 When service is performed on the apparatus 100 , most of the internal components of the apparatus 100 can be accessed from the gray room environment 121 through the access openings in the rear surface 103 b . Accordingly, many service functions can be provided without requiring service personnel to enter the clean room environment 120 , which entails the time consuming process of “suiting up” in clean room suits prior to entry.
  • the apparatus 100 can have other positional arrangements that also reduce the effective footprint of the apparatus 100 .
  • the apparatus 100 can be moved rearwardly through the aperture 123 into the gray room environment 121 with at least a portion of (and optionally, the entirety of) the side surfaces 103 c received in the gray room environment 121 . Accordingly, the amount of clean room floor space required by the apparatus 100 can be less than for other tools having a similar number of processing stations.
  • the side surfaces 103 c need not be accessible to operate or service the apparatus 100 . Accordingly, the side surfaces 103 c need not include access panels configured for regular access. Alternatively, the apparatus 100 can be configured to be placed end-to-end with another processing tool, and at least one of the side surfaces 103 c can have an opening configured to allow the microelectronic workpieces 114 to be automatically transferred from the apparatus 100 to the adjoining processing tool.
  • FIG. 2 is a cross-sectional side view of the apparatus 100 described above with reference to FIG. 1 in accordance with an embodiment of the invention.
  • at least one of the processing stations 150 can include a vessel 152 configured to provide a processing fluid, such as an electrolytic or electroless processing fluid for metal deposition.
  • a support 160 can be positioned proximate to the vessel 152 and can be configured to receive single microelectronic workpieces 114 and carry the microelectronic workpieces 114 in contact with the processing fluid.
  • the support 160 can be configured to simultaneously carry a plurality of microelectronic workpieces 114 .
  • the processing station 150 can have other configurations and can carry out other functions, such as rinsing, drying, etching, spraying, measuring, annealing or coating the microelectronic workpieces 114 .
  • Other arrangements for processing stations 150 and supports 160 are included in U.S. Pat. Nos. 6,136,163 and 6,139,712, both incorporated herein by reference.
  • the processing stations 150 do not include vessels, and accordingly, the support 160 can carry the microelectronic workpiece 114 relative to other portions of the processing stations 150 .
  • the support 160 can include a head 161 having a receiving surface 162 (such as an annular ring) positioned to receive the microelectronic workpiece 114 .
  • the head 161 can be supported by a carrier 164 and can rotate relative to the carrier 164 about a head rotation axis 166 (as indicated by arrow A) between a manual transfer position (shown in FIG. 2) and a process position.
  • the receiving surface 162 can face upwardly to allow a user to manually place the microelectronic workpiece 114 on the head 161 , or remove the microelectronic workpiece 114 from the head 161 .
  • the user can manipulate a wand 108 having a vacuum end effector to place and remove the microelectronic workpiece 114 .
  • the user can place and remove the microelectronic workpiece 114 directly by hand or the user can operate other manual implements.
  • the head 161 can rotate 180 degrees about the head rotation axis 166 from the manual transfer position to the process position, and can be lowered into the vessel 152 for processing the microelectronic workpiece 114 .
  • the support 160 can include a support holder 180 that supports the carrier 164 from a position located above the processing station 150 .
  • the carrier 164 can be movable relative to the support holder 180 , as described in greater detail below with reference to FIG. 6.
  • the carrier 164 can be fixedly supported from above.
  • the carrier 164 can include an elevator 165 that moves the head 161 upwardly and downwardly as indicated by arrow B. Accordingly, the head 161 can move along a guide path upwardly to the manual transfer position, then rotationally and downwardly to the process position.
  • the shield 134 can extend proximate to the support 160 to at least restrict contact between the user and the transfer device 130 while the user manually accesses the head 161 .
  • the shield 134 can include an upright portion 135 that extends upwardly to a position above the transfer device 130 .
  • the shield 134 can further include a transverse portion 136 that extends transversely over the transfer device 130 toward the support 160 . Accordingly, the shield 134 can at least restrict the user's access to the transfer device 130 , while allowing the user to access the head 161 when the head 161 is in the manual transfer position.
  • the shield 134 can be transparent to allow observation of the transfer device 130 .
  • the user can place a container 113 on the support surface 115 adjacent to the upright portion 135 of the shield 134 .
  • the user can then remove one of the microelectronic workpieces 114 from the container 113 in preparation for transferring the microelectronic workpiece 114 to the support 160 .
  • the support 160 can move upwardly to the manual transfer position.
  • the head 161 of the support 160 can move upwardly until the receiving surface 162 is at or above the elevation of the transverse portion 136 of the shield 134 .
  • the user can then reach over the shield 134 to load (or unload) the microelectronic workpiece 114 while the shield 134 protects the user from inadvertent contact with the transfer device 130 .
  • the transfer device 130 attempts to access the support 160 while the support 160 is in the manual transfer position, the head 161 will interfere with the motion of the transfer device 130 , providing additional protection for the user accessing the support 160 manually.
  • the user can alternate between dedicating the apparatus 100 to manual processing and dedicating the apparatus 100 to automatic processing.
  • the apparatus 100 can automatically process some microelectronic workpieces 114 in one or more of the processing stations 150 while the user simultaneously processes other microelectronic workpieces 114 manually in processing stations not concurrently occupied by automatically processed microelectronic workpieces 114 .
  • the user can enter the appropriate commands at the user interface 107 to allow manual operation and/or initiate and direct automatic operation.
  • the same apparatus 100 can automatically process microelectronic workpieces 114 in a manner described below with reference to FIG. 3.
  • FIG. 3 is a cross-sectional side view of the apparatus 100 described above with reference to FIGS. 1 and 2 showing the support 160 located in an automatic transfer position to transfer the microelectronic workpiece 114 to and/or from the transfer device 130 .
  • the automatic transfer position can be located in a plane beneath the plane of the manual transfer position (FIG. 2) and beneath the transverse portion 136 of the shield 134 .
  • the transfer device 130 can access the receiving surface 162 of the head 161 .
  • the transfer device 130 can include a base 137 supported by the transfer device support 132 for movement transverse to the plane of FIG. 3.
  • the base 137 can support a lift 138 connected to an arm 139 to move the arm 139 upwardly and downwardly relative to the base 137 .
  • Two end effectors 140 (shown as an upper end effector 140 a and a lower end effector 140 b ) can be pivotally attached to the arm 139 to rotate relative to the arm 139 , as described in greater detail below with reference to FIG. 4.
  • Each end effector 140 can carry a microelectronic workpiece 114 , for example, with a vacuum grip or another releasable gripping mechanism, and can move toward and away from the head 161 .
  • the elevator 165 of the support 160 can move the head 161 to the automatic transfer position to receive a microelectronic workpiece 114 from the transfer device 130 .
  • the elevator 165 can elevate the head 161 slightly to provide vertical clearance between the head 161 and the vessel 152 .
  • the head 161 can then rotate 180 degrees about the head rotation axis 166 (as described above with reference to FIG. 2) and the elevator 165 can lower the head 161 to the process position.
  • the foregoing steps can be reversed after processing to remove the microelectronic workpiece 114 from the head 161 .
  • the support 160 can selectively stop at two different positions for receiving the microelectronic workpiece 114 : a manual transfer position for manually placing and removing the microelectronic workpieces, and an automatic transfer position for automatically placing and removing the microelectronic workpieces 114 .
  • the use of different positions for manually and automatically transferring the microelectronic workpieces 114 can provide several advantages. For example, when the support 160 is in the manual transfer position, the user can be shielded from contact with the automatic transfer device 130 , and when the support 160 is in the automatic transfer position, the transfer device 130 can access the support 160 .
  • the different positions can also provide a visual cue to the user to indicate to the user when it is appropriate to manually access the support 160 .
  • the user can see that when the head 161 is positioned above the transverse portion 136 of the shield 134 , the receiving surface 162 is accessible for manual loading and/or unloading. Conversely, when the head 161 is positioned below the transverse portion 136 of the shield 134 , the user can see that the head is positioned for automatic transfer and is not available for manually receiving or discharging the microelectronic workpiece 114 .
  • the support 160 can move upwardly and downwardly between the manual transfer position and the automatic transfer position, and can rotate between the transfer positions and the process position. In other embodiments, the support 160 can move along different axes and/or in different manners between any of these three positions. In still a further embodiment, the manual transfer position and the automatic transfer position can be identical. In one aspect of this embodiment, the user can be protected from inadvertent contact with the transfer device 130 by other arrangements, for example, a moving shield 134 .
  • FIG. 4 is an isometric view of a transfer device 130 in accordance with an embodiment of the invention.
  • the transfer device 130 can include a robot 131 supported by the transfer device support 132 (FIG. 3) to move linearly as indicated by arrow C. Accordingly, the robot 131 can be automatically moved into alignment with the input/output station 110 (FIG. 1) and any of the processing stations 150 (FIG. 1).
  • the base 137 of the transfer device 130 can support the lift 138 for upward and downward motion, as indicated by arrow D.
  • the lift 138 can support the arm 139 for rotational motion, as indicated by arrow E.
  • the arm 139 can have a single eccentric projection 146 that extends away from the axis along which the lift 138 moves, and that supports the end effectors 140 .
  • the arm 139 can have multiple projections.
  • the single eccentric projection 146 can be less likely than multiple projection arrangements to interfere with surrounding components when the arm 139 rotates.
  • the end effectors 140 can rotate independently relative to the arm 139 about a common axis, as indicated by arrow F.
  • the robot 131 can be coupled to a control unit 141 with a flexible cable 142 (such as a ribbon cable).
  • the robot can move linearly as indicated by arrow C without restriction from the cable 142 .
  • a significant portion of the control and power circuitry required to operate the robot 131 can be positioned in the control unit 141 rather than on the robot 131 itself.
  • An advantage of this arrangement is that the robot 131 can be made smaller and can accordingly require less space in which to move.
  • FIG. 5 is a partially schematic, cross-sectional side view of the robot 131 in accordance with an embodiment of the invention.
  • the upper end effector 140 a is supported on an inner shaft 144 a and the lower end effector 140 b is supported on an outer shaft 144 b disposed outwardly from the inner shaft 144 a .
  • the outer shaft 144 b can be driven by an outer shaft belt 145 b (extending out of the plane of FIG. 5) which can in turn be driven by an outer shaft pulley (not visible in FIG. 5).
  • the inner shaft 144 a can be coupled to an inner shaft belt 145 a which can in turn be powered by an inner shaft pulley 143 a .
  • each end effector 140 can move without interfering with the movement of the other.
  • each end effector 140 can move independently of the other. For example, one of the end effectors 140 can position a microelectronic workpiece 114 on the support 160 (FIG. 3) while the other end effector 140 retains a microelectronic workpiece 114 for delivery to a different support 160 or to the input/output device 110 (FIG. 1).
  • the transfer device 130 can have other configurations.
  • the transfer device 130 can carry a single microelectronic workpiece 114 or more than two microelectronic workpieces 114 .
  • the motion of each microelectronic workpiece 114 can be at least partially independent, as described above with reference to FIGS. 4 and 5.
  • the transfer device 130 can be configured to automatically move a plurality of microelectronic substrates 114 together in a batch arrangement, for example, with or without a separate carrier or container configured for use within the enclosure 102 . Further details of aspects of transfer devices in accordance with other embodiments of the invention are included in U.S.
  • FIG. 6 is a cross-sectional side view of the apparatus 100 with the support 160 arranged to be movable relative to the support holder 180 in accordance with an embodiment of the invention.
  • the carrier 164 of the support 160 can be suspended from the support holder 180 , with the support holder 180 positioned above the head 161 and the vessel 152 of the processing station 150 .
  • the support holder 180 can include a support guide path 181 along which the support 160 can move between an operational position (shown in solid lines in FIG. 6) and an access position (shown in phantom lines in FIG. 6). In the operational position, the head 161 can be positioned to have microelectronic workpieces 114 automatically or manually transferred to it or from it, as described above.
  • the head 161 In the access position, the head 161 can be moved transversely away from the processing station 150 to allow access to the vessel 152 . Accordingly, the user can access the vessel 152 from the front surface 103 a of the enclosure 102 (for example, for maintenance) while the support 160 is in the access position.
  • the support guide path 181 can be generally straight and transverse to the vertical motion of the head 161 as it moves relative to the vessel 152 .
  • the support guide path 181 can have other configurations.
  • the support guide path 181 can be curved.
  • the entire support 160 can be disconnected from the apparatus 100 and removed through the rear surface 103 b of the enclosure 102 by moving and/or removing an access panel 184 at the rear surface 103 b . Accordingly, the support 160 can be serviced remotely from the apparatus 100 , and the user can have additional access to the chamber 150 and the vessel 152 through the rear surface 103 b of the enclosure 102 .
  • the support holder 180 can include an arrangement of rails for linear movement of the support 160 , as described in greater detail below with reference to FIGS. 7 and 8.
  • the support holder 180 can have other configurations for moving the support 160 relative to the chamber 150 and/or relative to the apparatus 100 .
  • the support 160 can pivot about one or more axes to allow access to the chamber 150 .
  • FIGS. 7 and 8 are partially schematic illustrations of a support 160 configured for installation and removal in accordance with an embodiment of the invention.
  • the support holder 180 can include a pair of guide rails 182 (one of which is visible in FIG. 7), each having a linear channel 183 aligned along the support guide path 181 .
  • the carrier 164 of the support 160 can include guide members 174 slidably received in the channels 183 . Accordingly, the carrier 164 can be suspended or at least partially suspended from the guide rails 182 .
  • the carrier 164 can include features that allow it to be aligned and positioned within the apparatus 100 .
  • the carrier 164 can include a plurality of locator balls 170 , shown as an upper locator ball 170 a and a pair of lower locator balls 170 b (one of which is visible in FIG. 7).
  • the apparatus 100 can include corresponding features positioned to receive and align the locator balls 170 .
  • the apparatus 100 can include an upper support beam 168 a having an upper support bracket 169 configured to receive the upper locator ball 170 a .
  • the apparatus 100 can further include a lower support beam 168 b having two lower support brackets 175 , including a left lower support bracket 175 a and a right lower support bracket 175 b (not visible in FIG. 7), positioned to receive the lower locator balls 170 b.
  • the upper support bracket 169 can have a positioning surface 171 arranged to contact the upper locator ball 170 a .
  • the upper support bracket 169 can be adjustable forward and aft, as indicated by arrow G. Upon installation, the forward and aft position of the upper support bracket 169 can be adjusted until the carrier 164 is vertically aligned. Once the carrier 164 is properly aligned, a locking mechanism 172 having a latch 173 can be actuated to secure the upper locator ball 170 a against the positioning surface 171 .
  • the locking mechanism 172 is released, allowing the carrier 164 to pivot rearwardly about the lower locator ball 170 b , as indicated by arrow H and as shown in dashed lines in FIG. 7.
  • the lower locator balls 170 b can be removed from the lower support brackets 175 and the carrier 164 can be moved further aft to the access position, and/or removed from the apparatus 100 , as described above with reference to FIG. 6.
  • FIG. 8 is a partially schematic, partially broken rear view of a portion of the support 160 supported in the apparatus 100 at least in part by the guide members 174 .
  • the guide members 174 can be slidably received in the guide rails 182 .
  • the guide members 174 can include wheels that roll along the guide rail 182 , and in other embodiments, the apparatus 100 can include other arrangements that allow for relative movement between the support 160 and the support holder 180 .
  • the support carrier 164 can include an electrical terminal 163 configured to releasably receive an electrical cable (not shown) and a pneumatic terminal 167 configured to releasably receive a pneumatic conduit (not shown). The electrical cable and the pneumatic conduit can be detached from the carrier 164 prior to removing the support 160 from the apparatus 100 .
  • the lower support brackets 175 can be configured to accommodate transverse alignment of the carrier 164 .
  • the left support bracket 175 a can include a generally flat receiving surface 176 along which a corresponding one of the lower locator balls 170 b can slide.
  • the right support bracket 175 b can include a receiving cradle 177 configured to receive the other lower locator ball 170 b and at least restrict movement of the other lower locator ball 170 b to provide additional support for the carrier 164 . Accordingly, the receiving cradle 177 can be adjusted laterally as indicated by arrow I using adjustment screws 178 .
  • One feature of an embodiment of the apparatus 100 described above with reference to FIGS. 6 - 8 is that the support 160 can be supported by a support holder 180 that allows for movement of the support 160 while the weight of the support 160 is still borne by the apparatus 100 . Accordingly, it can be easier to reposition the support 160 while accessing the processing station 150 below. Another advantage of this feature is that it can be easier to remove the support entirely from the apparatus 100 through the rear surface 103 b of the apparatus 100 .
  • Another feature of an embodiment of the support 160 is that it is carried from a position located above the processing station 150 .
  • the support 160 need not occupy space below the head 161 and adjacent to the processing station 150 . Instead, this space can be left free (for example, to access the processing station 150 ) or can be occupied by additional support equipment for the processing station 150 .
  • Another advantage of this arrangement is that the electrical cable between the support 160 and the electrical units 105 that provide power and control signals to the support 160 can be shorter because the support 160 is positioned closer to the electrical units 105 .
  • Still another advantage of this arrangement is that the electrical cable can be positioned entirely above the processing station 150 when it is coupled to the support carrier 164 . Accordingly, the likelihood for contact between the electrical cable and the chemicals contained in the processing station 150 can be reduced and/or eliminated.
  • FIGS. 9 - 18 illustrate several details of processing stations that can be included in tools generally similar to those described with reference to FIGS. 1 - 8 .
  • a wide variety of microelectronic workpiece processing techniques may be performed simultaneously and/or sequentially using various combinations of processing stations such as those described below.
  • the processing stations can have characteristics in addition to or in lieu of those described below for providing different and/or additional functions.
  • microelectronic workpieces can be evaluated at a metrology station (FIG. 9). Material can be applied to the workpieces and/or enhanced and/or repaired at an electrochemical deposition station (FIGS. 11 - 14 ) or an electroless deposition deposition station (FIG. 15) to produce layers such as those shown in FIG. 10.
  • the workpieces can be bevel etched and cleaned at a capsule station (FIGS. 16 A-B) and/or rinsed at a spray station (FIG. 17), and annealed in a thermal processing station (FIG. 18).
  • the apparatus can perform other processes and/or other process sequences.
  • FIG. 9 is a schematic illustration of a metrology station 900 that can be included as one or more of the processing stations 150 described above with reference to FIGS. 1 - 8 .
  • the metrology station 900 can include a base 910 having one or more supports 920 configured to removably support the microelectronic workpiece 114 .
  • a detector 930 can be operatively coupled to the microelectronic workpiece 114 while the microelectronic workpiece 114 is carried by the supports 920 .
  • the detector 930 can be configured to detect characteristics of portions of the microelectronic workpiece 114 .
  • the detector 930 can be configured to detect a uniformity of a conductive layer (such as a seed layer or a blanket layer) or other conductive feature on the microelectronic workpiece 114 .
  • the detector 930 can use sheet resistance or capacitance to determine the thickness of the conductive layer.
  • the detector 930 can use optical or thermal techniques to determine selected characteristics of the microelectronic workpiece 114 .
  • the detector 930 can include a laser based, non-constant metrology system in which an emitted laser induces an acoustic response in the layer of the microelectronic workpiece 114 . The acoustic response is then correlated to the thickness of the layer.
  • impulsive stimulated thermal scattering This is known as an impulsive stimulated thermal scattering (ISTS) system.
  • One such system is manufactured by Phillips Analytical, Inc. of Natick, Massachusetts under the model name “Impulse” or “Emerald.”
  • Another suitable metrology unit is manufactured by Rudolph, Inc. of Flanders, New Jersey, under the model name “Metapulse.”
  • the detector 930 can be operatively coupled to an analyzer 940 to analyze and/or otherwise process the data received by the detector 930 .
  • the analyzer 940 can be coupled to a controller 950 which can in turn be coupled with a link 960 to one or more of the other processing stations 150 of the tool 100 (FIG. 1). Accordingly, data obtained at the metrology station 900 can be used to influence processes conducted at other processing stations, as described in greater detail below.
  • the metrology station 900 can be used in a “feedforward” mode. Accordingly, the results obtained at the metrology station 900 can be used to influence and/or control subsequent processes performed on the microelectronic workpiece 114 in a manner that accounts for the unique characteristics of that particular microelectronic workpiece 114 .
  • the metrology station 900 can be used in a “feed-back” mode. In this mode, the microelectronic workpiece 114 can be analyzed after a selected process is performed on the microelectronic workpiece 114 , and the results can be used to influence the manner in which that same process is performed on other microelectronic workpieces. Examples of feed-forward and feed-back processes are described in greater detail below.
  • the recipe for one or more downstream processes can be modified based on the results obtained at the metrology station 900 .
  • the sequence with which subsequent processes are carried out can be modified based on the metrology results. For example, if the thickness or uniformity of a seed layer of the microelectronic workpiece 114 is outside acceptable limits, the microelectronic workpiece 114 can be delivered to a seed layer enhancement station (described in greater detail below with reference to FIGS. 10 A- 15 ) before being delivered to an electroplating station (also described in greater detail below with reference to FIGS. 10 A- 15 ).
  • the microelectronic workpiece 114 can be delivered to a material removal station (described in greater detail below with reference to FIGS. 16 A- 17 ) in which the microelectronic workpiece 114 can be etched.
  • the microelectronic workpiece 114 can then be returned to a seed layer application station, such as a physical vapor deposition (PVD) apparatus external to the apparatus 100 .
  • PVD physical vapor deposition
  • the results obtained at the metrology station 900 can be used to influence not only where the microelectronic workpiece 114 goes after leaving the metrology station 900 , but also aspects of the process performed on the microelectronic workpiece 114 at the next processing station.
  • the process parameters used during deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers can be established, altered, adjusted, or otherwise controlled based on the results obtained at the metrology station 900 .
  • an intentional variation in one parameter e.g., film thickness
  • another non-uniformity e.g., line width
  • the user can assess the results obtained at the metrology station 900 and decide to stop subsequent processes until issues associated with the prior processes are resolved. For example, a blanket layer electroplating process can be stopped when seed layer thicknesses are found to be below acceptable tolerances.
  • the user can continue the subsequent processing (e.g., the electroplating process) and adjust the subsequent process steps or process parameters based upon the output from the metrology station 900 .
  • the user can go forward with the electroplating process, but automatically adjust the process recipe to achieve acceptable plating uniformity and thicknesses, again based on the results obtained at the metrology station 900 .
  • the metrology station 900 can be used to alter processes normally conducted before the microelectronic workpiece 114 arrives at the processing station 900 .
  • the microelectronic workpiece 114 can be placed in the metrology station 900 after an electrochemical deposition (ECD) process to assess the uniformity or other characteristic of the material applied during the ECD process. If the uniformity or other characteristic of the applied material is found to be out of tolerance, the process recipe conducted at the ECD station can be adjusted prior to processing other microelectronic workpieces 114 having similar initial characteristics.
  • ECD electrochemical deposition
  • the controller 950 can automatically direct the ECD station to use process 2 when other microelectronic workpieces 114 having similar initial seed layers are to be processed.
  • the metrology station 900 can have other arrangements. Examples of other embodiments for the processing station 900 are included in International Application PCT/US01/21579 (Attorney Docket No. 29195.8117WO), filed Jul. 9, 2001 and incorporated herein in its entirety by reference.
  • the controller 950 can be used to control processes conducted at any of the stations within the tool 100 (FIG. 1), or alternatively, the controller 950 can be operatively coupled to devices external to the tool 100 to control processes conducted therein. For example, material may be removed from the microelectronic workpiece 114 in a chemical-mechanical planarization (CMP) apparatus that is positioned external to the tool 100 .
  • CMP chemical-mechanical planarization
  • FIGS. 10 A- 15 illustrate stations suitable for applying materials to the microelectronic workpiece 114 .
  • These stations include electrochemical deposition (ECD) stations, which can apply materials in an electrochemical process, and electroless stations, which can apply materials in a non-electrical, chemical process.
  • ECD stations (described below with reference to FIGS. 11 - 14 ) can be used to apply conductive materials, such as copper, aluminum, platinum, solder or gold.
  • the conductive materials can be applied as a new blanket layer or to enhance or repair an existing seed layer. Accordingly, any of these stations can function as a seed layer repair/enhancement station. Examples of processes and solutions suitable for carrying out such functions are included in International Application No. PCT/US99/06306, filed Mar.
  • these stations can be used to apply insulative materials, in an electrophoretic process.
  • the electroless stations (described below with reference to FIG. 15) can also be used to apply conductive materials, such as blanket layers or seed layer repair/enhancement materials, without the application of electrical currents.
  • FIGS. 10 A- 10 E illustrate sample processes that can be conducted with material application stations in accordance with embodiments of the invention.
  • the microelectronic substrate 114 can include a feature such as a trench 1012 that is to be filled with a metal or other conductive material.
  • a thin barrier layer 1010 can be deposited on the microelectronic substrate 114 and in the trench 1012 .
  • the barrier layer 1010 can be deposited over a dielectric material, such as silicon dioxide.
  • the barrier layer 1010 can include titanium nitride, tantalum nitride, or another material that can act to prevent a conductive material subsequently disposed in the trench 1012 from migrating into other features of the microelectronic substrate 114 .
  • the barrier layer 1012 can be deposited using known techniques, such as chemical vapor deposition (CVD) or physical vapor deposition (PVD).
  • an ultra-thin metallic seed layer 1011 can be disposed on the barrier layer 1010 .
  • the ultra-thin seed layer 1011 can include copper, and in other embodiments, the ultra-thin seed layer 1011 can include other materials. In either embodiment, the ultra-thin seed layer 1011 can be deposited using CVD, PVD or other techniques.
  • the ultra-thin seed layer 1011 can be deposited to a thickness of only about 50 angstroms to about 500 angstroms. Accordingly, voids 1013 or other nonuniformities may remain in the seed layer 1011 after it is formed. As shown in FIG. 10C, the seed layer 1011 can be enhanced by electrochemically applying additional conductive enhancement material 1014 on the ultra-thin seed layer 1011 to fill in the voids 1013 (FIG. 10B). Accordingly, the barrier layer 1010 can be completely or nearly completely covered with conductive material.
  • a blanket layer 1015 can be deposited electrochemically onto the enhancement material 1014 and the ultra-thin seed layer 1011 to fill in the trench 1012 .
  • the portions of the barrier layer 1010 , the ultra-thin seed layer 1011 , the enhancement material 1014 , and the blanket layer 1015 located above the trench 1012 can be removed, leaving a line or via 1066 , as shown in FIG. 10E.
  • the foregoing process steps can be repeated to build layer upon layer of conductive structures in the microelectronic substrate 114 .
  • FIG. 11 illustrates an embodiment of an electrochemical-processing station 1100 having a support 1160 and a vessel assembly 1152 configured for disposing enhancement material and/or blanket layers as described above with reference to FIGS. 10 A- 10 E.
  • the support 1160 can include a spin motor 1161 , a rotor 1162 coupled to the spin motor 1161 , and a contact assembly 1170 carried by the rotor 1162 .
  • the contact assembly 1170 can be configured to make electrical contact with either the front side or the back side of the microelectronic workpiece 114 .
  • the rotor 1162 can have a backing plate 1163 and a seal 1164 .
  • the backing plate 1163 can move transverse to the microelectronic workpiece 114 (arrow T) between a first position in which the backing plate 1163 contacts a backside of the workpiece 114 (shown in solid lines in FIG. 11) and a second position (shown in broken lines in FIG. 11) in which it is spaced apart from the backside of the workpiece 114 .
  • the contact assembly 1170 can have a support ring 1172 , a plurality of contacts 1173 carried by the support ring 1172 , and a plurality of shafts 1171 extending between the support ring 1172 and the rotor 1162 .
  • the contacts 1173 can be ring-type spring contacts or other types of contacts that are configured to engage a portion of the seed-layer on the workpiece 114 .
  • Commercially available support 1160 and contact assemblies 1170 can be used in the station 1100 . Particular suitable support 1160 and contact assemblies 1170 are disclosed in U.S. Pat. Nos. 6,228,232 and 6,080,691; and U.S. application Ser. Nos. 09/385,784; 09/386,803; 09/386,610; 09/386,197; 09/501,002; 09/733,608; and 09/804,696, all of which are herein incorporated by reference.
  • the vessel assembly 1152 can include an outer vessel 1153 (shown schematically in FIG. 11) and an inner vessel 1154 (also shown schematically in FIG. 11) positioned within the outer vessel 1153 .
  • the inner vessel 1154 carries at least one electrode (not shown in FIG. 11) and directs a flow of electroprocessing solution to the workpiece 114 .
  • the electroprocessing solution for example, can flow over a weir (arrow W) and into the outer vessel 1153 , which captures the electroprocessing solution and sends it back to a tank.
  • Several embodiments of inner vessels 1154 are shown and described in detail with reference to FIGS. 12 - 14 .
  • the support 1160 holds the workpiece 114 at a workpiece-processing site of the inner vessel 1154 so that at least a plating surface of the workpiece 114 engages the electroprocessing solution.
  • An electrical field is established in the solution by applying an electrical potential between the plating surface of the workpiece 114 (via the contact assembly 1170 ) and one or more electrodes in the inner vessel 1154 .
  • the contact assembly 1170 can be biased with a negative potential relative to the electrode(s) in the inner vessel 1154 to plate materials onto the workpiece.
  • the contact assembly 1170 can be biased with a positive potential relative to the electrode(s) in the inner vessel 1154 to (a) de-plate or electropolish plated material from the workpiece 114 or (b) deposit other materials (e.g., electrophoretic resist).
  • materials can be deposited on or removed from the workpiece 114 with the workpiece 114 acting as a cathode or an anode depending upon the particular type of material used in the electrochemical process.
  • FIG. 12 is a cross-sectional side view of a vessel assembly 1252 having an inner vessel 1254 disposed within an outer vessel 1253 in accordance with an embodiment of the invention.
  • the inner vessel 1254 has a single electrode 1230 for electrochemically processing the microelectronic workpiece 114 (shown without the support 1160 in FIG. 12).
  • the vessel assembly 1252 can include multiple electrodes, as described below in greater detail with reference to FIGS. 13 - 14 .
  • the outer vessel 1253 can carry an exhaust ring 1220 which in turn carries the inner vessel 1254 disposed annularly inwardly from the exhaust ring 1220 .
  • the inner vessel 1254 can include an inner sidewall 1256 connected to an outer sidewall 1255 with a web 1257 .
  • the outer sidewall 1255 can include exhaust ports 1221 which allow gases generated within the inner vessel 1254 to pass radially outwardly.
  • One or more exhaust exits 1222 in the exhaust ring 1220 collect the gas and remove it from the vessel assembly 1252 .
  • the inner vessel 1254 can be coupled to a fluid delivery conduit 1232 through which an electrolytic processing fluid enters, as indicated by arrows J.
  • the electrolytic fluid can pass upwardly through the fluid delivery conduit into the inner vessel 1254 and over a weir 1259 . Accordingly, the weir 1259 can establish the level of the electrolytic fluid in the vessel assembly 1252 for contacting the microelectronic workpiece 114 .
  • the electrolytic fluid can pass over the weir 1259 and through openings 1258 in the web 1257 into a region between the inner vessel 1254 and the outer vessel 1253 .
  • Skirts 1240 separated by slots 1241 can guide the fluid downwardly toward a process outlet 1242 , while reducing the tendency for the fluid to splash, which can create undesirable bubbles in the fluid.
  • the fluid can be replaced or recycled after passing through the process outlet 1242 .
  • An overflow outlet 1243 provides protection against an overflow of the electrolytic fluid.
  • the electrode 1230 is positioned within the inner vessel 1254 and can be supported on the fluid delivery conduit, for example, with one or more bayonet-type fittings.
  • the electrode 1230 can be attached to an electrode shield 1231 which protects the lower surface of the electrode 1230 and which attaches to the fluid delivery conduit 1232 with a first bayonet-type fitting.
  • the electrode shield 1231 can in turn support a diffuser 1235 with a second bayonet-type fitting.
  • the diffuser 1235 can condition the flow of the electrolytic fluid as it approaches the microelectronic workpiece 114 .
  • electrical current can be supplied to the electrode 1230 by a cable 1233 housed in a sleeve 1234 that passes through the fluid delivery conduit 1232 .
  • the electrode 1230 can operate as either an anode (e.g., for plating the microelectronic workpiece 114 ) or a cathode (e.g., for deplating the microelectronic workpiece 114 ).
  • the vessel assembly 1252 can further include an auxiliary electrode 1230 a which can be configured to “thieve” conductive material that might otherwise plate onto the contact assembly 1170 (FIG. 11). Further details of the foregoing and other embodiments of the vessel assembly are included in U.S. Pat. Nos. 6,228,232, 6,270,647, and 6,080,291, all incorporated herein in their entireties by reference.
  • FIGS. 13 and 14 schematically illustrate multi-electrode processing stations for electrochemically and/or electrophoretically depositing and/or removing materials onto microelectronic workpieces in accordance with further embodiments of the invention.
  • One feature of the multi-electrode stations is that the electrical current applied to each electrode can be individually controlled to more accurately control the deposition or removal process occurring at the microelectronic workpiece 114 .
  • the current applied to these electrodes can be adjusted to account for initial non-uniformities in the microelectronic workpiece 114 , or can be tailored to deliberately form a non-uniform deposited layer.
  • a vessel assembly 1352 can include an inner vessel 1354 disposed annularly within an outer vessel 1353 .
  • the inner vessel 1354 can be supplied with an electrolytic processing fluid through a fluid inlet 1332 .
  • the fluid can flow through the inner vessel 1354 in a manner described in greater detail below, and can exit the inner vessel 1354 over a weir 1359 .
  • the fluid can then proceed through a helical drain channel 1340 positioned between the inner vessel 1354 and the outer vessel 1353 to an outlet 1342 , from which the fluid can be disposed of or recycled.
  • Fluid entering the fluid inlet 1332 can proceed radially outwardly through a disk-shaped acceleration channel 1331 , then upwardly through a generally U-shaped fluid flow region 1332 positioned annularly within the inner vessel 1354 .
  • the U-shaped fluid flow region 1332 forms an antechamber 1344 through which the fluid flows before entering a main fluid flow chamber 1345 at the center of the inner vessel 1354 .
  • a gas channel 1343 at the top of the U-shaped fluid flow region 1332 can collect gas bubbles in the entering fluid and direct the gas bubbles radially outwardly to the region between the inner vessel 1354 and the outer vessel 1353 .
  • the fluid proceeds downwardly through the U-shaped fluid flow region 1332 to a high pressure region 1333 , then through a diffuser 1335 to a nozzle assembly 1334 .
  • the nozzle assembly 1334 can include a plurality of nozzles 1336 positioned to direct the fluid in a generally uniform manner into the main fluid flow chamber 1345 .
  • the main fluid flow chamber 1345 can be defined in part by a contoured sidewall 1338 that extends upwardly away from the nozzle assembly 1334 .
  • the contoured sidewall 1338 can be shaped to smoothly transition the flow exiting the nozzle assembly 1334 from being directed generally radially inwardly to being directed generally axially upwardly.
  • the contoured sidewall 1338 can transition either abruptly or smoothly to a slanted sidewall 1339 that directs at least a portion of the fluid flow radially outwardly.
  • the resulting velocity distribution can produce a free surface that is initially dome-shaped before the microelectronic workpiece 114 is brought into contact with the fluid.
  • microelectronic workpiece 114 can force any air between the free surface and the microelectronic workpiece 114 radially outwardly where it is less likely to form bubbles at the process surface of the microelectronic workpiece 114 .
  • the inner vessel 1354 can include a plurality of electrodes 1330 (shown in FIG. 13 as electrodes 1330 a - e ).
  • the electrodes 1330 can include a central anode 1330 e positioned in the main fluid flow chamber 1345 .
  • the central anode 1330 e can be positioned proximate to a plurality of venturi flow paths 1341 which connect with the acceleration channel 1331 .
  • Fluid flow passing through the acceleration channel 1331 can draw fluid downwardly away from the central anode 1330 e and can sweep gas bubbles away from the surface of the central anode 1330 e .
  • the flow passing through the venturi flow path can also control the uniformity of the flow passing upwardly through the main fluid flow chamber 1345 and can accordingly control the uniformity of the flow impinging on the central portion of the microelectronic workpiece 114 .
  • the inner vessel 1354 can further include a plurality of generally annularly shaped electrodes (four are shown in FIG. 13 as electrodes 1330 a - d ). If the microelectronic workpiece 114 is small and does not extend radially outwardly beyond the contoured sidewall 1338 , no power need be applied to the annular anodes 1330 a - d . If the microelectronic workpiece 114 extends radially beyond the contoured sidewall 1338 , one or more of the annular electrodes 1330 a - d can be powered to provide plating at the outer portions of the microelectronic workpiece 114 .
  • each electrode 1330 a - d can receive a different power depending upon the initial characteristics of the microelectronic workpiece 114 and/or the result to be obtained by the electrochemical deposition process.
  • the electrochemical deposition rate can also be controlled by the weir 1359 , which can include a transverse portion 1358 extending radially inwardly over a portion of some or all of the annular electrodes 1330 a - d .
  • the weir 1359 along with the transverse portion 1358 , can be easily removed from the rest of the inner vessel 1354 to tailor the extent to which the transverse portion 1358 shields the microelectronic workpiece 114 .
  • the vessel assembly 1352 can have other arrangements. Further details of the arrangement shown in FIG. 13, as well as alternate arrangements, are included in International Application No. PCT/US00/10210, filed Apr. 13, 2000 and incorporated herein in its entirety by reference.
  • FIG. 14 is a schematic illustration of a multi-electrode vessel assembly 1452 in accordance with another embodiment of the invention.
  • the vessel assembly 1452 can include an inner vessel 1454 disposed annularly inwardly within an outer vessel 1453 .
  • a helical drain channel 1440 between the inner vessel 1454 and the outer vessel 1453 can receive fluid overflowing the inner vessel 1454 and guide the fluid toward a fluid outlet 1444 in a manner generally similar to that described above with reference to FIG. 13.
  • Fluid can enter the inner vessel 1454 through a primary fluid inlet 1432 a and a secondary fluid inlet 1432 b .
  • the primary fluid inlet 1432 a is coupled to a primary flow channel 1478 that directs a portion of the fluid within the inner vessel 1454 to a primary flow guide 1475 .
  • the primary flow guide 1475 can include nozzles or apertures 1479 that direct the flow toward the central axis of the inner vessel 1454 .
  • the flow can proceed upwardly from the primary flow guide 1475 toward the microelectronic workpiece 114 .
  • the secondary fluid inlet 1432 can be coupled to a distributor 1470 that directs the secondary fluid to a plurality of electrodes.
  • the inner vessel 1454 can include four electrodes 1430 (shown in FIG. 14 as electrodes 1430 a - 1430 d ).
  • the electrodes 1430 can be housed in a field shaping unit 1476 having a corresponding plurality of electrode compartments 1471 (shown as compartments 1471 a - 1471 d ) separated by partitions 1472 .
  • the distributor 1470 directs the secondary fluid into each compartment 1471 via a corresponding plurality of distributor channels 1470 (shown as distributor channels 1470 a - 1470 d ).
  • the secondary fluid can proceed through the distributor 1470 , past the electrodes 1430 , and upwardly toward the microelectronic workpiece 114 .
  • the effect of the field shaping unit 1476 on the electrical field produced by the electrodes 1430 is as if the electrodes were positioned at the exits of each compartment 1471 , as shown by virtual electrode positions 1480 a - 1480 d.
  • each compartment 1471 can have an aperture 1474 through which fluid and gas bubbles can pass. Accordingly, gas bubbles trapped in each compartment 1471 can proceed radially outwardly through the apertures 1471 of each compartment until they exit the inner vessel 1454 .
  • each compartment 1471 can include an interface member 1477 (which can be eliminated in an alternate embodiment).
  • the interface members 1477 can include a filter configured to trap air bubbles and other particulates, while allowing the secondary fluid to pass toward the microelectronic workpiece 114 .
  • the interface members 1477 can include ion membranes that allow ions to pass toward the microelectronic workpiece 114 , while preventing or substantially preventing the secondary fluid from passing toward the microelectronic workpiece 144 .
  • the secondary fluid can pass through the apertures 1474 and out of the inner vessel 1454 via the helical drain channel 1440 .
  • the ion membrane can allow the fluid as well as ions to pass through.
  • the primary fluid (which contacts the microelectronic workpiece 114 ) can be a catholyte and the secondary fluid (which does not contact the microelectronic workpiece 114 ) can be a separate anolyte.
  • An advantage of this arrangement is that it can eliminate the consumption of additives at the anodes and thus the need to replenish the additives as often as is required in a conventional arrangement.
  • This feature in combination with the “virtual anode” aspect of the vessel assembly 1452 can reduce the need to “burn-in” anodes, which is typically required to ensure a consistent black film over the anodes to provide a predictable current distribution. Instead, the current distribution can be controlled by the configuration of the field shaping unit 1476 .
  • the vessel assembly 1452 can have other arrangements. Further details of aspects of the embodiment described above with reference to FIG. 14 and alternate arrangements are included in International Application No. PCT/US00/10120, filed Apr. 13, 2000, incorporated herein by reference, and the following U.S. Patent Applications, all of which are incorporated herein by reference: 09/872,151 (Attorney Docket No. 29195.8158US), filed May 31, 2001; 09/804,696 (Attorney Docket No. 29195.8119US), filed Mar. 12, 2001; 09/804,697 (Attorney Docket No. 29195.8120US), filed Mar. 12, 2001; 09/875,365 (Attorney Docket No.
  • 29195.8156US filed Jun. 5, 2001; 09/849,505 (Attorney Docket No. 29195.8157US1) filed May 4, 2001; 09/866,391 (Attorney Docket No. 29195.8157US2) filed May 24, 2001; 09/866,463 (Attorney Docket No. 29195.8157US3), filed May 24, 2001; and 10/008,636 (Attorney Docket No. 29195.8172US) filed Dec. 5, 2001.
  • FIG. 15 is a partially schematic, cross-sectional side view of a processing station 1550 configured for electroless processing in accordance with an embodiment of the invention.
  • the processing station 1550 can include a vessel assembly 1552 having a processing portion 1554 configured to receive an electroless processing fluid through a supply valve assembly 1580 a .
  • a fluid heater 1583 (shown schematically on FIG. 15) can be coupled in fluid communication with the supply valve assembly 1580 a to heat the fluid entering the vessel assembly 1552 .
  • the fluid can be heated to a temperature of about 50° C. to about 80° C. (for example, to electrolessly plate nickel or copper) and in other embodiments, the fluid can be heated to other temperatures.
  • the electroless fluid can be introduced at ambient temperatures.
  • the processing portion 1554 can include a weir 1559 over which the processing fluid spills into a circumferentially extending overflow channel 1540 .
  • the overflow channel 1540 can be coupled to a waste valve assembly 1580 b via an overflow conduit 1538 to remove processing fluid from the processing station 1550 .
  • the supply valve assembly 1550 a can include three supply valves 1581 (two of which are visible in FIG. 15) to supply three different processing fluids during different phases of the operation of the processing station 1550 .
  • a drain valve 1582 can be positioned beneath the supply valves 1581 to more completely drain the supply valve assembly 1580 a .
  • the waste valve assembly 1580 b can have an arrangement generally similar to that of the supply valve assembly 1580 a to return the different processing fluids to the appropriate reservoirs (not shown).
  • the processing station 1550 can include an exhaust conduit 1537 positioned to remove gaseous waste products when a support 1560 (shown in outline in FIG. 15) carrying the microelectronic workpiece 114 is received in the vessel assembly 1552 .
  • the support 1560 can be generally similar to the support 1160 described above with reference to FIG. 11, but need not include the contact assembly 1170 (FIG. 11). Accordingly, the support 1560 can support the microelectronic workpiece 114 in contact with the electroless processing fluid in the vessel assembly 1552 .
  • an electroless processing fluid heated or unheated, can be directed into the processing portion 1554 via the supply valve assembly 1580 a .
  • the support 1560 can be moved downwardly to contact the microelectronic workpiece 114 with the processing fluid in the processing portion 1554 .
  • An electroless, wet chemical deposition process can occur at the interface between the microelectronic workpiece 114 and the processing fluid in the processing portion 1554 to deposit conductive material on the microelectronic workpiece 114 .
  • the electroless process can be used to dispose a variety of conductive structures onto the microelectronic workpiece 114 , such as seed layer enhancement and/or repair material, blanket layers, or other conductive structures.
  • the station 1550 can be used to dispose other, non-conductive materials on the microelectronic workpieces 114 .
  • the station 1550 can be used to remove material from the microelectronic workpiece 114 .
  • the electroless processing station 1550 or a station having a generally similar configuration can be used to etch material from the backside of the wafer.
  • a processing station that directs flow toward the microelectronic workpiece from two directions can be used to remove material from the microelectronic workpiece 114 , as described in greater detail below with reference to FIG. 16.
  • FIGS. 16 A-B are partially schematic illustrations of material removal stations 1650 in accordance with an embodiment of the invention.
  • the material removal stations 1650 or “stripping units” can be multifunctional processing capsules which can perform cleaning, stripping, bevel etching, rinsing and drying operations.
  • the station 1650 can be used to remove seed layer material from the front or device side of the microelectronic workpiece 114 .
  • the station 1650 can be used to remove conductive material and/or nonconductive material from either the front/device side of the microelectronic workpiece 114 or the back/non-device side of the microelectronic workpiece 114 .
  • the capsule can include flows of different fluids directed to opposing sides of the microelectronic workpiece 114 to control the amount of material removed from the microelectronic workpiece 114 .
  • the station 1650 can include a support 1660 having a motor 1661 coupled to a rotor 1662 with a drive shaft 1663 to rotate the rotor 1662 .
  • the rotor 1662 can include an upper chamber member 1670 and a lower chamber member 1680 .
  • the upper chamber member 1670 can be separated from the lower chamber member 1680 (for example, by moving the support 1660 upwardly) to allow the microelectronic workpiece 114 to be positioned therebetween.
  • the upper chamber member 1670 can include an upper chamber wall 1671 which defines an upper chamber 1672 positioned proximate to an upper surface 1620 of the wafer 114 .
  • the lower chamber member 1680 can include a lower chamber wall 1681 that defines a lower chamber 1682 facing toward a lower surface 1621 of the microelectronic workpiece 114 .
  • a first fluid (schematically indicated by arrows Fl) is introduced into the station 1650 via an injection nozzle 1684 (such as a multi-port injection nozzle), through a lower chamber inlet 1683 and into the lower chamber 1682 .
  • a second fluid (schematically illustrated by arrows F 2 ) is introduced to the upper chamber 1672 through an upper chamber inlet 1673 .
  • the first fluid Fl can proceed outwardly along the lower surface 1621 of the microelectronic workpiece 114 while the second fluid F 2 proceeds radially outwardly along the upper surface 1620 as the microelectronic workpiece 114 spins.
  • the upper chamber member 1670 can include an exit duct 1675 positioned radially inwardly from an outer edge 1622 of the microelectronic workpiece 114 . Accordingly, the first fluid F 1 can proceed around the outer edge 1622 and over a peripheral margin 1623 of the upper surface 1620 before merging with the second fluid F 2 and proceeding through the exit duct 1675 .
  • the exit duct 1675 can be blocked or relocated so that the first fluid Fl does not wrap around to the upper surface 1620 of the microelectronic workpiece 114 .
  • the first fluid Fl can be selected to include an etchant
  • the second fluid F 2 can include an inert liquid, such as deionized water.
  • FIG. 17 is a partially schematic, cut-away isometric view of a spray station 1750 configured to spray one or more selected fluids onto the microelectronic workpiece 114 in accordance with an embodiment of the invention.
  • the fluid can strip or etch layers from the microelectronic workpiece 114 .
  • oxide, polysilicon, nitrides, metals, epitaxial silicon, and other materials can be stripped using a wet etching process.
  • the spray station can perform other functions, such as cleaning, rinsing and/or drying the microelectronic workpiece 114 , for example, after etching, or after other phases of processing.
  • the spray station 1750 can include a vessel 1752 and a support 1760 .
  • the support 1760 can include a rotor 1762 having support fingers 1763 that removably carry the microelectronic workpiece 114 .
  • the rotor 1762 can be coupled to a motor 1761 spin the microelectronic workpiece 114 within the vessel 1752 .
  • the vessel 1752 can include a spray nozzle manifold 1770 having a plurality of main spray nozzles 1771 .
  • the spray nozzle manifold 1770 can have a cross-shaped arrangement with a plurality of main spray nozzles 1771 positioned on each arm of the cross.
  • the spray nozzle manifold 1770 can have other arrangements.
  • the spray nozzle manifold 1770 can be coupled to a fluid inlet 1732 to supply fluid to the main spray nozzles 1771 . Accordingly, the main spray nozzles 1771 can direct the fluid upwardly toward the microelectronic workpiece 114 as the microelectronic workpiece 114 spins.
  • a drain 1744 can collect the spent fluid for removal from the vessel 1752 .
  • the vessel 1752 can further include side spray nozzles 1772 positioned around the periphery of the vessel 1752 .
  • the side spray nozzles 1772 can be configured to rinse the microelectronic workpiece 114 after the main spray nozzles 1771 have been activated, for example, to rinse the microelectronic workpiece 114 after etching.
  • the side spray nozzles 1772 can also be coupled to a gas source, such as an inert gas source, to dry the microelectronic workpiece 114 after rinsing.
  • FIG. 18 is a partially schematic side view of an apparatus 1800 for thermally processing microelectronic workpieces 114 in accordance with an embodiment of the invention.
  • the apparatus 1800 includes two thermal processing chambers 1803 (shown as an upper chamber 1803 a and a lower chamber 1803 b ) supported by apparatus supports 1802 .
  • Each chamber 1803 can have a base 1810 fixed to the supports 1802 , and lid 1820 that moves toward and away from the base 1810 to close and open the chamber 1803 .
  • the workpiece 114 can be supported within the chamber 1803 on a plurality of workpiece supports 1870 .
  • the apparatus 1800 can anneal a selected material (such as copper or another metal) of the workpiece 114 .
  • the apparatus 1800 can perform other elevated temperature processes, such as reflowing solder on the workpiece 114 , curing or baking photoresist on the workpiece 114 , and/or other processes that benefit from and/or require an elevated temperature.
  • the apparatus can also cool the workpiece 114 , as described in greater detail below.
  • the supports 1870 can first lower the workpiece 114 into contact with a heat source 1840 during a heating phase of the process. Then, during a cooling phase of the process, a first heat sink 1860 can rise to engage an opposite surface of the heat source 1840 to cool both the heat source 1840 and the workpiece 114 . After the cooling phase, the first heat sink 1860 can descend to engage a second heat sink 1850 where the first heat sink 1860 is cooled in preparation for another cycle. The lid 1820 can then move away from the base 1810 and the workpiece 114 can be removed.
  • the heat source 1840 can be an electrical resistance thin film or thick film heater positioned between the workpiece 114 and the first heat sink 1860 , with the first heat sink 1860 positioned beneath the heat source 1840 .
  • the heat source 1840 can include vacuum apertures 1841 coupled to a vacuum source (not shown) to draw the microelectronic workpiece 114 into close engagement with the heat source 1840 during heating.
  • the heat source 1840 can be supported relative to the base 1810 with a plurality of heat source supports 1844 , at least one of which includes a vacuum passage in fluid communication with the vacuum apertures 1841 .
  • each chamber 1803 can be coupled to a lid actuator 1821 to move downwardly from an open position (shown in FIG. 18) to a closed position.
  • the lid actuator 1821 of the upper chamber 1803 a can be attached to the cover 1830
  • the lid actuator 1821 of the lower chamber 1803 b can be attached to the base 1810 of the upper chamber 1803 a .
  • each lid actuator 1821 can include an annular, air-activated bellows that moves the lid 1820 downwardly when inflated.
  • a spring (not shown) can return the lid 1820 to the open (upper) position when the air pressure within the bellows is released.
  • the lid 1820 When the lid 1820 is in the closed position, the lid 1820 and the base 1810 define a chamber volume 1808 around the microelectronic workpiece 114 .
  • the lid 1820 can include a purge fluid passageway 1822 that transmits a purge fluid (such as nitrogen) to the chamber volume 1808 during processing.
  • a purge fluid such as nitrogen
  • the first heat sink 1860 can be coupled to a heat sink actuator 1861 that moves the first heat sink 1860 upwardly into engagement with the heat source 1840 .
  • the heat sink actuator 1861 can include an air-driven bellows, generally similar to the lid actuator 1821 discussed above.
  • the heat sink actuator 1861 can have other configurations that move the first heat sink 1860 upwardly into engagement with the heat source 1840 and downwardly out of engagement with the heat source 1840 .
  • the first heat sink 1860 can include a vacuum supply passageway 1862 connected to a vacuum source (not shown).
  • the vacuum supply passageway 1862 is coupled to one or more radial vacuum channels in an upper surface of the first heat sink 1860 to draw the first heat sink 1860 into close thermal contact with the heat source 1840 when the heat source 1840 and the first heat sink 1860 are engaged with each other.
  • the upper surface of the first heat sink 1860 can also include a compressible, conductive thermal pad to provide close thermal contact with the heat source 1840 when the first heat sink 1860 is in its raised position.
  • the lower surface of the first heat sink 1860 can include a compressible, conductive thermal pad to improve the thermal contact with the second heat sink 1850 .
  • the first heat sink 1860 has no active cooling elements.
  • the second heat sink 1850 can be actively cooled and can cool the first heat sink 1860 when the first heat sink 1860 is disengaged from the heat source 1840 and engaged with the second heat sink 1850 .
  • the second heat sink 1850 can include a cooling channel 1854 coupled to a cooling fluid supply port and a return port (not shown).
  • the apparatus 1800 can have other arrangements.
  • the apparatus 1800 can include a simpler hot plate arrangement for applications where the process temperature and environment need to be so precisely controlled.
  • the apparatus can include heat sources other than electrically powered resistive heaters. Further details of alternate embodiments are disclosed in U.S. patent application Ser. No. 09/733,608(Attorney Docket No. 29195.8124US), filed Dec. 8, 2000 and incorporated herein in its entirety by reference.
  • the apparatus 100 described above with reference to FIGS. 1 - 8 can include a variety of combinations of processing stations, such as those described above with reference to FIGS. 9 - 18 , to perform a variety of functions on the microelectronic workpieces 114 .
  • the apparatus 100 can include a plurality of stations all of which have generally the same configuration and all of which perform generally the same function of functions.
  • the apparatus 100 can include only material removal stations generally similar to those described above with reference to FIGS. 16 A-B, or only thermal processing stations generally similar to that described above with reference to FIG. 18, or only deposition stations for metal or electrophoretic resist deposition, generally similar to those described above with reference to FIG. 14.
  • the apparatus 100 can include more than one type of station to perform different functions on microelectronic workpieces 114 within the same apparatus 100 .
  • the apparatus 100 can include a metrology station, a material application station, a material removal station, a spray station, and an anneal station.
  • the apparatus 100 can include a subset of these types of stations.
  • the apparatus 100 can include a multi-anode material application station generally similar to that described above with reference to FIG. 14 for plating solder onto a microelectronic workpiece 114 .
  • the apparatus can also include a spray station generally similar to that described above reference to FIG.
  • the apparatus can include a pre-aligner configured to orient microelectronic workpieces 114 (such as 6 inch or 150 mm wafers having a flat edge) that are preferably processed in a specific orientation. Further details of suitable pre-aligners are included in U.S. Pat. No. 6,091,498, incorporated herein in its entirety by reference.
  • the apparatus 100 can include other combinations of stations.
  • the apparatus 100 can include any one of the application stations described above with reference to FIGS. 11 - 15 for enhancing and/or repairing a seed layer of the microelectronic workpiece 114 .
  • the apparatus 100 can further include a material removal station generally similar to that described above with reference to FIGS. 16 A-B, a multi-anode station generally similar to that described above with reference to FIG. 14 for applying a blanket material to the microelectronic workpiece 114 , and a thermal processing station generally similar to that described above with reference to FIG. 18 to anneal the blanket layer applied to the microelectronic workpiece 114 .
  • the apparatus 100 can be configured specifically to process electrophoretic resist on the microelectronic workpiece 114 .
  • the apparatus 100 can include a multi-anode station generally similar to that described above with reference to FIG. 14 for depositing the electrophoretic resist, along with a bake chamber to post-process the electrophoretic resist.
  • the bake chamber can have a configuration generally similar to that described above with reference to FIG. 18, and in an alternate embodiment, the bake chamber can be simpler and can have a construction generally similar to a hot plate, to elevate the temperature of the microelectronic workpiece 114 to about 112° Celsius.
  • the apparatus can further include a spray station generally similar to that described above with reference to FIG. 17 for further post processing.
  • microelectronic workpieces can be automatically moved into and/or out of any of the processing stations in an automatic mode or in a manual mode, as described above with reference to FIGS. 1 - 5 .
  • some microelectronic workpieces 114 can be moved manually into and/or out of selected processing stations, while other microelectronic workpieces 114 can be moved automatically into other processing stations.
  • the apparatus 100 can be alternatively dedicated to manual operation and automatic operation.
  • the apparatus 100 can provide a versatile platform for a testing, experimenting with, or demonstrating processes, as well as performing the same or different processes in a production setting.

Abstract

A method and apparatus for manually and automatically processing microelectronic workpieces. The apparatus can include a tool having a plurality of processing stations, all of which are manually accessible to a user, and an input/output station configured to support at least one microelectronic workpiece for automatic transfer to and from the processing stations. A transfer device is positioned proximate to the input/output station and the processing stations and is configured to automatically transfer microelectronic workpieces between the input/output station and the processing stations. The apparatus can be used for both manual and automatic processing of microelectronic workpieces, either sequentially or simultaneously. The processing stations can be configured to perform on the microelectronic workpiece functions such as material application, material removal, seed layer enhancement, rinsing, drying, annealing, baking, and metrology.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application relates to the following applications, all of which are incorporated herein by reference. Additional materials are incorporated by reference in other sections of this application. [0001]
  • 1. U.S. patent application Ser. No. ______ titled METHOD AND APPARATUS FOR MANUALLY AND AUTOMATICALLY [0002]
  • PROCESSING MICROELECTRONIC WORKPIECES (Attorney Docket No. 29195.8173US) filed concurrently herewith; [0003]
  • 2. U.S. patent application Ser. No. 09/875,300 titled TRANSFER DEVICES FOR HANDLING MICROELECTRONIC WORKPIECES WITHIN AN ENVIRONMENT OF A PROCESSING MACHINE AND METHODS OF MANUFACTURING AND USING SUCH DEVICES IN THE PROCESSING OF MICROELECTRONIC WORKPIECES (Attorney Docket No. 29195.8153US) filed Jun. 5, 2001; [0004]
  • 3. U.S. patent application Ser. No. ______ (Attorney Docket No. 29195.8153US2), titled MICROELECTRONIC WORKPIECE TRANSFER DEVICES AND METHODS OF USING SUCH DEVICES IN THE PROCESSING OF MICROELECTRONIC WORKPIECES, filed concurrently herewith; and [0005]
  • 4. Published PCT Application No. PCT/US99/14414, titled AUTOMATED SEMICONDUCTOR PROCESSING SYSTEM, filed Jun. 25,1999.[0006]
  • TECHNICAL FIELD
  • The present invention is directed generally to methods and apparatuses for manually and automatically processing microelectronic workpieces. [0007]
  • BACKGROUND
  • Microelectronic devices, such as semiconductor devices and field emission displays, are generally fabricated on and/or in microelectronic workpieces using several different types of machines (“tools”). Many such processing machines have a single processing station that performs one or more procedures on the workpieces. An example of one such tool is a single-chamber Equinox tool available from Semitool, Inc. of Kalispell, Mont. This tool can have a shelf on which a container of microelectronic workpieces is positioned, and a single processing chamber for electrochemically processing the workpieces. A user can manually load the workpieces one by one into the chamber, for example, to test or demonstrate processing recipes or other processing parameters. [0008]
  • While the foregoing tool is useful in the engineering environment, production level processing requires greater throughput than can be provided by a single-chamber tool. Accordingly, other processing machines have a plurality of processing stations that can perform a series of different procedures on individual workpieces or batches of workpieces. For example, the LT210C and Spectrum tools, also available from Semitool, Inc., provide automated processing in a batch and single-wafer environment, respectively. These tools include robots that automatically remove the microelectronic workpieces from a container, move the microelectronic workpieces among the relevant processing stations, and return the microelectronic workpieces to the container after processing. While such tools are very effective for processing large volumes of microelectronic workpieces, they may not be cost-effective or practical when used in an engineering, test or demonstration environment. [0009]
  • One problem with the foregoing tools is that they typically require a clean room environment in which to operate. Clean rooms are expensive to build and maintain, and accordingly tool manufacturers attempt to maximize the number of microelectronic workpieces processed per hour per square foot of clean room area occupied by the tool. However, despite efforts to make tools as compact and efficient as possible, there is continual pressure to reduce the size of the tool (e.g., the “footprint” of the tool) and increase the efficiency of the tool. This pressure becomes all the more intense when device manufacturers require both engineering/test and production processing capabilities, which in turn requires even more clean room area. [0010]
  • SUMMARY
  • The present invention is directed toward apparatuses and methods for processing microelectronic workpieces. One aspect of several embodiments of the invention is a single processing tool that can be suitable for both manual and automatic operation. By combining these functions in a single tool, limited clean room area can be more fully utilized to perform on a wide variety of functions on the microelectronic workpieces. Furthermore, by integrating some or all of the tool with a gray room environment, the space occupied by the tool in a clean room can be reduced. Therefore, tools in accordance with several embodiments of the invention can increase the utilization of valuable clean room space by providing both engineering and production level processing capabilities in a single, low-footprint device. [0011]
  • The tool can include stations configured to perform a wide variety of functions on the microelectronic workpieces. For example, the tool can include a metrology station for assessing characteristics of the microelectronic workpieces, a material application station for applying materials, such as seed layer enhancement materials or blanket layers. These materials can be applied with stations having a single electrode (cathode or anode), a multi-electrode arrangement, or an electroless arrangement. In other embodiments, the tool can include material removal stations, such as spray stations configured to direct a spray of fluid toward the microelectronic workpieces, or capsule stations, configured to direct two different fluids toward opposite surfaces of the microelectronic workpieces. In still further embodiments, the tool can include one or more thermal processing stations, such as an annealing station configured to anneal metallic materials applied to the microelectronic workpieces. [0012]
  • The processing stations can be accessed by an automatic robot (for automatically transferring microelectronic workpieces to and/or from the processing station) and by a user (for manually transferring the microelectronic workpieces). The manual operation can occur sequentially or simultaneously with the automatic operation. The tool can include a shield positioned proximate to the robot to at least restrict access to the robot by the user when the user manually accesses the processing stations. In one aspect of the invention, a support that carries the microelectronic workpieces into the processing station can be positioned above the shield for manual operation and below the shield for automatic operation. [0013]
  • In a more particular embodiment, one or more of the processing stations can include a vessel, and a support movably positioned proximate to the vessel and configured to carry the microelectronic workpiece. The support can be movable between a first transferring position, and a second transferring position spaced apart from the first transferring position. The support is oriented to receive the microelectronic workpiece from a transfer device when in the first transferring position. The support is configured to receive the microelectronic workpiece manually from the user when in the second transferring position.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a partially cutaway, isometric view of an apparatus configured to both manually and automatically process microelectronic workpieces in accordance with an embodiment of the invention. [0015]
  • FIG. 2 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIG. 1 with a support positioned to manually receive a microelectronic workpiece in accordance with an embodiment of the invention. [0016]
  • FIG. 3 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIGS. 1 and 2 with the support positioned to receive microelectronic workpieces from an automated transfer device in accordance with an embodiment of the invention. [0017]
  • FIG. 4 is an isometric view of a portion of an automated transfer device in accordance with an embodiment of the invention. [0018]
  • FIG. 5 is a partially schematic, cross-sectional side view of the automated transfer device shown in FIG. 4 taken substantially along line [0019] 5-5.
  • FIG. 6 is a partially schematic, cross-sectional side view of an apparatus generally similar to that shown in FIG. 1 with the support movable toward and away from a processing station in accordance with an embodiment of the invention. [0020]
  • FIG. 7 is a partially schematic, cross-sectional side view of a portion of a support supported by a guide structure in accordance with an embodiment of the invention. [0021]
  • FIG. 8 is a partially schematic rear view of a portion of the support shown in FIG. 7 supported from the guide structure in accordance with an embodiment of the invention. [0022]
  • FIG. 9 is a schematic illustration of a metrology station in accordance with an embodiment of the invention. [0023]
  • FIGS. [0024] 10A-E are partially schematic illustrations of portions of microelectronic workpieces having conductive material disposed thereon in accordance with embodiments of the invention.
  • FIG. 11 is a partially schematic illustration of an electrochemical processing station in accordance with an embodiment of the invention. [0025]
  • FIG. 12 is a partially schematic illustration of an electrochemical processing station in accordance with another embodiment of the invention. [0026]
  • FIG. 13 is a partially schematic illustration of an electrochemical processing station having multiple electrodes in accordance with another embodiment of the invention. [0027]
  • FIG. 14 is a partially schematic illustration of an electrochemical processing station having multiple electrodes and flow paths in accordance with another embodiment of the invention. [0028]
  • FIG. 15 is a partially schematic illustration of an electroless processing station in accordance with an embodiment of the invention. [0029]
  • FIGS. [0030] 16A-B are partially schematic illustrations of a station configured to remove material from a microelectronic workpiece in accordance with an embodiment of the invention.
  • FIG. 17 is a partially schematic, isometric cutaway view of a station for spraying microelectronic workpieces in accordance with an embodiment of the invention. [0031]
  • FIG. 18 is a partially schematic, cross-sectional view of a station for thermally processing microelectronic workpieces in accordance with still another embodiment of the invention.[0032]
  • DETAILED DESCRIPTION
  • The following description discloses the details and features of several embodiments of apparatuses for processing microelectronic workpieces. The term “microelectronic workpiece” is used throughout to include a workpiece formed from a substrate upon which and/or in which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are fabricated. It will be appreciated that several of the details set forth below are provided to describe the following embodiments in a manner sufficient to enable a person skilled in the relevant art to make and use the disclosed embodiments. Several of the details and advantages described below, however, may not be necessary to practice certain embodiments of the invention. Additionally, the invention can include other embodiments that are within the scope of the claims but are not described in detail with respect to FIGS. [0033] 1-18.
  • FIGS. [0034] 1-8 and the associated discussion below relate generally to apparatuses suitable for both manually and automatically processing microelectronic workpieces in accordance with several embodiments of the invention. More specifically, FIG. 1 is an overall view of one such apparatus and FIGS. 2-3 illustrate arrangements for manually and automatically transferring, microelectronic workpieces to a support that positions the workpieces at a processing station of the apparatus. FIGS. 4-5 illustrate automatic workpiece transfer devices (such as robots), and FIGS. 6-8 illustrate arrangements for removably carrying the support from above the processing station.
  • FIGS. [0035] 9-18 and the associated discussion below relate to processing stations that can be included in the apparatuses, and processes that can be completed at the stations. In one representative process, microelectronic workpieces can be evaluated at a metrology station (FIG. 9). Material can be applied to the workpieces and/or enhanced and/or repaired at an electrochemical deposition station (FIGS. 11-14) or an electroless deposition deposition station (FIG. 15) to produce layers such as those shown in FIG. 10. The workpieces can be bevel etched and cleaned at a capsule station (FIGS. 16A-B) and/or rinsed at a spray station (FIG. 17), and annealed in a thermal processing station (FIG. 18). In other embodiments, the apparatus can perform other processes and/or other process sequences.
  • FIG. 1 is a partially cutaway isometric view of an [0036] apparatus 100 configured to both manually and automatically process microelectronic workpieces in accordance with an embodiment of the invention. In one aspect of this embodiment, the apparatus 100 can be used in both a research and development setting and a production setting. For example, in a research and development setting, individual microelectronic workpieces can be moved manually into and out of the apparatus 100 for experimenting with and demonstrating new methods and processes. The same apparatus 100 can be used in a production setting in which large numbers of microelectronic workpieces are automatically and efficiently moved into and out of the apparatus in a routine manner for processing. As described in greater detail below, the manual and automated processes can occur sequentially or simultaneously using a single apparatus 100.
  • The [0037] apparatus 100 can include a plurality of processing stations, each configured to perform one or more processes on the microelectronic workpieces. Some processing stations (such as the electrochemical deposition, electroless deposition, and capsule stations) include a vessel configured to provide a fluid in contact with the workpieces. A support carries the workpieces in contact with the fluid during processing. The workpieces can be loaded onto the support either manually or with an automatic transfer device.
  • In one aspect of this embodiment, the [0038] apparatus 100 can include a chassis 101 carrying a plurality of processing stations 150. The chassis 101 can carry eight processing stations 150 as shown in FIG. 1, or alternatively, the chassis 101 can carry other numbers of processing stations 150 in other embodiments. The processing stations 150 can be aligned along a station axis 151 which, in one embodiment, can be generally straight. In alternate embodiments, the station axis 151 can be curved (for example, in a horseshoe shape) or can have other shapes. In any of these embodiments, microelectronic workpieces 114 can be loaded manually and/or automatically into the processing stations 150 depending upon the requirements for a particular station 150 at a particular point in time.
  • The [0039] apparatus 100 can include an enclosure 102 disposed around some or all of the chassis 101 and the processing stations 150. The enclosure 102 can have a plurality of surfaces, such as a front surface 103 a, a rear surface 103 bfacing opposite from the front surface 103 a, and two side surfaces 103 c between the front surface 103 a and the rear surface 103 b. The front surface 103 a can be configured to face the user (not shown) and can include a graphical user interface 107 coupled to a processor 106 to control the operation of electrical units 105. The electrical units 105 in turn power and control the components of the apparatus 100.
  • The [0040] front surface 103 a can also include an input/output station 110 configured to support the microelectronic workpieces 114 for automatic transfer into and out of the processing stations 150. In one aspect of this embodiment, the input/output station can include two tilting platforms 111, each configured to support a container 113 that removably carries a plurality of the microelectronic workpieces 114. The tilting platforms 111 can be moved from an outwardly tilted position (for receiving the containers 113) to an upright position (shown in FIG. 1). When the containers 113 are in the upright position, the microelectronic workpieces 114 within the containers 113 are accessible to an automated transfer device 130 through container access openings 112 in the enclosure 102.
  • The automated [0041] transfer device 130 can include a robot 131 supported by a transfer device support 132. The robot 131 can move along a transfer device guide path 133 to remove the microelectronic workpieces 114 from the containers 113 and move the microelectronic workpieces 114 through the container access opening 112, into the enclosure 102 and to the processing stations 150. The transfer device 130 can then return the microelectronic workpieces 114 to the same or a different container 113 through the same or a different access opening 112.
  • The [0042] front surface 103 a can also include a support surface 115 on which the containers 113 can be positioned for manual loading and unloading. In one aspect of this embodiment, the support surface 115 can have a removable section 116 that allows for service access to the transfer device 130. Alternatively, the transfer device 130 can be accessed from other portions of the apparatus 100. In either embodiment, the user can place the containers 113 on the support surface 115 and then individually remove the microelectronic workpieces 114 from the container 113 and move them into the enclosure 102 through a manual access opening 104. Accordingly, in one aspect of this embodiment, the manual access opening 104 can be large enough to allow the user to manually access any and all of the processing stations 150. In a further aspect of this embodiment, the manual access opening 104 can be a single, contiguous opening. Alternatively, the manual access opening 104 can include a plurality of smaller adjacent openings separated, for example, by vertical dividers that still allow the user to access all the processing stations 150. In any of these embodiments, the manual access opening 104 can be positioned on a single side (such as the front side) of the apparatus 100 so that the user can manually access all the processing stations 150 from one side of the apparatus 100.
  • In another aspect of this embodiment, the manual access opening [0043] 104 can be permanent. Alternatively, the apparatus 100 can include a panel that can be positioned over the manual access opening 104 to fully enclose the processing stations 150 and the transfer device 130, for example, during extended periods of exclusively automated processing. In a further aspect of this alternate embodiment, the enclosure 102 can define a “mini” clean room environment. In any of these embodiments, a shield 134 can be positioned adjacent to the transfer device 130 to prevent interference between the transfer device 130 and the user when the user manually accesses the processing stations 150, as described in greater detail below with reference to FIG. 2.
  • The [0044] rear surface 103 b of the enclosure 102 faces opposite the front surface 103 a and can provide service access to the components within the enclosure 102. For example, the processing stations 150 and associated electrical, mechanical, and chemical systems can be accessed from service access openings (not visible in FIG. 1) in the rear surface 103 b. In one aspect of this embodiment, service access to the apparatus 100 can be provided exclusively via the front surface 103 a and the rear surface 103 b. Accordingly, the side surfaces 103 c need not have service access panels and need not be accessible for service after the apparatus 100 is installed. One feature of this embodiment is that the effective footprint of the apparatus 100 can be reduced compared with some conventional tools because, for example, the apparatus 100 does not require access aisles along the side surfaces 103 c of the enclosure 102.
  • In another embodiment, the [0045] apparatus 100 can be positioned in a clean room environment 120 that is adjacent to a gray room environment 121. For example, the gray room environment 121 can include a room separated from the clean room environment 120 by a wall 122 and can define a generally clean environment that need not meet clean room standards. The wall 122 can include an aperture 123, and the rear surface 103 b of the enclosure 102 can be placed adjacent to the aperture 123 with the front surface 103 a and the side surfaces 103 c projecting into the clean room environment 120. A seal 124 can be disposed around the periphery of the enclosure 102 at the aperture 123 to maintain the separation between the clean room environment 120 and the gray room environment 121. This arrangement can have several advantages. For example, the effective footprint of the apparatus 100 can be reduced when compared with conventional arrangements because an aisle need not be provided in the clean room environment 120 adjacent to the rear surface 103 b. When service is performed on the apparatus 100, most of the internal components of the apparatus 100 can be accessed from the gray room environment 121 through the access openings in the rear surface 103 b. Accordingly, many service functions can be provided without requiring service personnel to enter the clean room environment 120, which entails the time consuming process of “suiting up” in clean room suits prior to entry.
  • In other embodiments, the [0046] apparatus 100 can have other positional arrangements that also reduce the effective footprint of the apparatus 100. For example, the apparatus 100 can be moved rearwardly through the aperture 123 into the gray room environment 121 with at least a portion of (and optionally, the entirety of) the side surfaces 103 c received in the gray room environment 121. Accordingly, the amount of clean room floor space required by the apparatus 100 can be less than for other tools having a similar number of processing stations.
  • In one aspect of the foregoing embodiments, the side surfaces [0047] 103 c need not be accessible to operate or service the apparatus 100. Accordingly, the side surfaces 103 c need not include access panels configured for regular access. Alternatively, the apparatus 100 can be configured to be placed end-to-end with another processing tool, and at least one of the side surfaces 103 c can have an opening configured to allow the microelectronic workpieces 114 to be automatically transferred from the apparatus 100 to the adjoining processing tool.
  • FIG. 2 is a cross-sectional side view of the [0048] apparatus 100 described above with reference to FIG. 1 in accordance with an embodiment of the invention. In one aspect of this embodiment, at least one of the processing stations 150 can include a vessel 152 configured to provide a processing fluid, such as an electrolytic or electroless processing fluid for metal deposition. A support 160 can be positioned proximate to the vessel 152 and can be configured to receive single microelectronic workpieces 114 and carry the microelectronic workpieces 114 in contact with the processing fluid. Alternatively, the support 160 can be configured to simultaneously carry a plurality of microelectronic workpieces 114. In still further embodiments, the processing station 150 can have other configurations and can carry out other functions, such as rinsing, drying, etching, spraying, measuring, annealing or coating the microelectronic workpieces 114. Other arrangements for processing stations 150 and supports 160 are included in U.S. Pat. Nos. 6,136,163 and 6,139,712, both incorporated herein by reference. In some of the foregoing embodiments, the processing stations 150 do not include vessels, and accordingly, the support 160 can carry the microelectronic workpiece 114 relative to other portions of the processing stations 150.
  • In one embodiment, the [0049] support 160 can include a head 161 having a receiving surface 162 (such as an annular ring) positioned to receive the microelectronic workpiece 114. The head 161 can be supported by a carrier 164 and can rotate relative to the carrier 164 about a head rotation axis 166 (as indicated by arrow A) between a manual transfer position (shown in FIG. 2) and a process position. In the manual transfer position, the receiving surface 162 can face upwardly to allow a user to manually place the microelectronic workpiece 114 on the head 161, or remove the microelectronic workpiece 114 from the head 161. For example, the user can manipulate a wand 108 having a vacuum end effector to place and remove the microelectronic workpiece 114. In other embodiments, the user can place and remove the microelectronic workpiece 114 directly by hand or the user can operate other manual implements. In any of these embodiments, the head 161 can rotate 180 degrees about the head rotation axis 166 from the manual transfer position to the process position, and can be lowered into the vessel 152 for processing the microelectronic workpiece 114.
  • In a further aspect of this embodiment, the [0050] support 160 can include a support holder 180 that supports the carrier 164 from a position located above the processing station 150. In one embodiment, the carrier 164 can be movable relative to the support holder 180, as described in greater detail below with reference to FIG. 6. In another embodiment, the carrier 164 can be fixedly supported from above. In either embodiment, the carrier 164 can include an elevator 165 that moves the head 161 upwardly and downwardly as indicated by arrow B. Accordingly, the head 161 can move along a guide path upwardly to the manual transfer position, then rotationally and downwardly to the process position.
  • In another aspect of this embodiment, the [0051] shield 134 can extend proximate to the support 160 to at least restrict contact between the user and the transfer device 130 while the user manually accesses the head 161. For example, the shield 134 can include an upright portion 135 that extends upwardly to a position above the transfer device 130. The shield 134 can further include a transverse portion 136 that extends transversely over the transfer device 130 toward the support 160. Accordingly, the shield 134 can at least restrict the user's access to the transfer device 130, while allowing the user to access the head 161 when the head 161 is in the manual transfer position. In a further aspect of this embodiment, the shield 134 can be transparent to allow observation of the transfer device 130.
  • In operation, the user can place a [0052] container 113 on the support surface 115 adjacent to the upright portion 135 of the shield 134. The user can then remove one of the microelectronic workpieces 114 from the container 113 in preparation for transferring the microelectronic workpiece 114 to the support 160. The support 160 can move upwardly to the manual transfer position. In one aspect of this embodiment, the head 161 of the support 160 can move upwardly until the receiving surface 162 is at or above the elevation of the transverse portion 136 of the shield 134. The user can then reach over the shield 134 to load (or unload) the microelectronic workpiece 114 while the shield 134 protects the user from inadvertent contact with the transfer device 130. In one aspect of this embodiment, if the transfer device 130 attempts to access the support 160 while the support 160 is in the manual transfer position, the head 161 will interfere with the motion of the transfer device 130, providing additional protection for the user accessing the support 160 manually.
  • In one aspect of this embodiment, the user can alternate between dedicating the [0053] apparatus 100 to manual processing and dedicating the apparatus 100 to automatic processing. Alternatively, the apparatus 100 can automatically process some microelectronic workpieces 114 in one or more of the processing stations 150 while the user simultaneously processes other microelectronic workpieces 114 manually in processing stations not concurrently occupied by automatically processed microelectronic workpieces 114. In either embodiment, the user can enter the appropriate commands at the user interface 107 to allow manual operation and/or initiate and direct automatic operation. In either embodiment, the same apparatus 100 can automatically process microelectronic workpieces 114 in a manner described below with reference to FIG. 3.
  • FIG. 3 is a cross-sectional side view of the [0054] apparatus 100 described above with reference to FIGS. 1 and 2 showing the support 160 located in an automatic transfer position to transfer the microelectronic workpiece 114 to and/or from the transfer device 130. In one aspect of this embodiment, the automatic transfer position can be located in a plane beneath the plane of the manual transfer position (FIG. 2) and beneath the transverse portion 136 of the shield 134. Accordingly, the transfer device 130 can access the receiving surface 162 of the head 161. The transfer device 130 can include a base 137 supported by the transfer device support 132 for movement transverse to the plane of FIG. 3. The base 137 can support a lift 138 connected to an arm 139 to move the arm 139 upwardly and downwardly relative to the base 137. Two end effectors 140 (shown as an upper end effector 140 a and a lower end effector 140 b) can be pivotally attached to the arm 139 to rotate relative to the arm 139, as described in greater detail below with reference to FIG. 4. Each end effector 140 can carry a microelectronic workpiece 114, for example, with a vacuum grip or another releasable gripping mechanism, and can move toward and away from the head 161.
  • During automatic operation, the [0055] elevator 165 of the support 160 can move the head 161 to the automatic transfer position to receive a microelectronic workpiece 114 from the transfer device 130. After the transfer device 130 places the microelectronic workpiece 114 on the receiving surface 162 of the head 161, the elevator 165 can elevate the head 161 slightly to provide vertical clearance between the head 161 and the vessel 152. The head 161 can then rotate 180 degrees about the head rotation axis 166 (as described above with reference to FIG. 2) and the elevator 165 can lower the head 161 to the process position. The foregoing steps can be reversed after processing to remove the microelectronic workpiece 114 from the head 161.
  • One feature of the foregoing embodiments described above with reference to FIGS. [0056] 1-3 is that the support 160 can selectively stop at two different positions for receiving the microelectronic workpiece 114: a manual transfer position for manually placing and removing the microelectronic workpieces, and an automatic transfer position for automatically placing and removing the microelectronic workpieces 114. The use of different positions for manually and automatically transferring the microelectronic workpieces 114 can provide several advantages. For example, when the support 160 is in the manual transfer position, the user can be shielded from contact with the automatic transfer device 130, and when the support 160 is in the automatic transfer position, the transfer device 130 can access the support 160. The different positions can also provide a visual cue to the user to indicate to the user when it is appropriate to manually access the support 160. For example, in one embodiment, the user can see that when the head 161 is positioned above the transverse portion 136 of the shield 134, the receiving surface 162 is accessible for manual loading and/or unloading. Conversely, when the head 161 is positioned below the transverse portion 136 of the shield 134, the user can see that the head is positioned for automatic transfer and is not available for manually receiving or discharging the microelectronic workpiece 114.
  • In one embodiment, the [0057] support 160 can move upwardly and downwardly between the manual transfer position and the automatic transfer position, and can rotate between the transfer positions and the process position. In other embodiments, the support 160 can move along different axes and/or in different manners between any of these three positions. In still a further embodiment, the manual transfer position and the automatic transfer position can be identical. In one aspect of this embodiment, the user can be protected from inadvertent contact with the transfer device 130 by other arrangements, for example, a moving shield 134.
  • FIG. 4 is an isometric view of a [0058] transfer device 130 in accordance with an embodiment of the invention. In one aspect of this embodiment, the transfer device 130 can include a robot 131 supported by the transfer device support 132 (FIG. 3) to move linearly as indicated by arrow C. Accordingly, the robot 131 can be automatically moved into alignment with the input/output station 110 (FIG. 1) and any of the processing stations 150 (FIG. 1). The base 137 of the transfer device 130 can support the lift 138 for upward and downward motion, as indicated by arrow D. The lift 138 can support the arm 139 for rotational motion, as indicated by arrow E. In one embodiment, the arm 139 can have a single eccentric projection 146 that extends away from the axis along which the lift 138 moves, and that supports the end effectors 140. Alternatively, the arm 139 can have multiple projections. The single eccentric projection 146 can be less likely than multiple projection arrangements to interfere with surrounding components when the arm 139 rotates. In either embodiment, the end effectors 140 can rotate independently relative to the arm 139 about a common axis, as indicated by arrow F.
  • In one aspect of this embodiment, the [0059] robot 131 can be coupled to a control unit 141 with a flexible cable 142 (such as a ribbon cable). The robot can move linearly as indicated by arrow C without restriction from the cable 142. In a further aspect of this embodiment a significant portion of the control and power circuitry required to operate the robot 131 can be positioned in the control unit 141 rather than on the robot 131 itself. An advantage of this arrangement is that the robot 131 can be made smaller and can accordingly require less space in which to move.
  • FIG. 5 is a partially schematic, cross-sectional side view of the [0060] robot 131 in accordance with an embodiment of the invention. In one aspect of this embodiment, the upper end effector 140 a is supported on an inner shaft 144 a and the lower end effector 140 b is supported on an outer shaft 144 b disposed outwardly from the inner shaft 144 a. The outer shaft 144 b can be driven by an outer shaft belt 145 b (extending out of the plane of FIG. 5) which can in turn be driven by an outer shaft pulley (not visible in FIG. 5). The inner shaft 144 a can be coupled to an inner shaft belt 145 a which can in turn be powered by an inner shaft pulley 143 a. Because the upper end effector 140 a is vertically spaced apart from the lower end effector 140 b, each end effector 140 can move without interfering with the movement of the other. By providing independent power transmission to each end effector 140, each end effector 140 can move independently of the other. For example, one of the end effectors 140 can position a microelectronic workpiece 114 on the support 160 (FIG. 3) while the other end effector 140 retains a microelectronic workpiece 114 for delivery to a different support 160 or to the input/output device 110 (FIG. 1).
  • In other embodiments, the [0061] transfer device 130 can have other configurations. For example, the transfer device 130 can carry a single microelectronic workpiece 114 or more than two microelectronic workpieces 114. When the transfer device 130 carries more than one microelectronic workpiece 114, the motion of each microelectronic workpiece 114 can be at least partially independent, as described above with reference to FIGS. 4 and 5. Alternatively, the transfer device 130 can be configured to automatically move a plurality of microelectronic substrates 114 together in a batch arrangement, for example, with or without a separate carrier or container configured for use within the enclosure 102. Further details of aspects of transfer devices in accordance with other embodiments of the invention are included in U.S. patent application Ser. No. 09/875,300, filed Jun. 5, 2001,U.S. Patent Application No. ______ (Attorney Docket No. 29195.8153US2), and published PCT Application No. PCT/US99/14414, all incorporated herein by reference.
  • FIG. 6 is a cross-sectional side view of the [0062] apparatus 100 with the support 160 arranged to be movable relative to the support holder 180 in accordance with an embodiment of the invention. As shown in FIG. 6, the carrier 164 of the support 160 can be suspended from the support holder 180, with the support holder 180 positioned above the head 161 and the vessel 152 of the processing station 150. The support holder 180 can include a support guide path 181 along which the support 160 can move between an operational position (shown in solid lines in FIG. 6) and an access position (shown in phantom lines in FIG. 6). In the operational position, the head 161 can be positioned to have microelectronic workpieces 114 automatically or manually transferred to it or from it, as described above. In the access position, the head 161 can be moved transversely away from the processing station 150 to allow access to the vessel 152. Accordingly, the user can access the vessel 152 from the front surface 103a of the enclosure 102 (for example, for maintenance) while the support 160 is in the access position.
  • In one aspect of this embodiment, the [0063] support guide path 181 can be generally straight and transverse to the vertical motion of the head 161 as it moves relative to the vessel 152. In other embodiments, the support guide path 181 can have other configurations. For example, in one alternate embodiment, the support guide path 181 can be curved.
  • In a further aspect of the embodiment shown in FIG. 6, the [0064] entire support 160 can be disconnected from the apparatus 100 and removed through the rear surface 103 b of the enclosure 102 by moving and/or removing an access panel 184 at the rear surface 103 b. Accordingly, the support 160 can be serviced remotely from the apparatus 100, and the user can have additional access to the chamber 150 and the vessel 152 through the rear surface 103 b of the enclosure 102. In one aspect of this embodiment, the support holder 180 can include an arrangement of rails for linear movement of the support 160, as described in greater detail below with reference to FIGS. 7 and 8. In other embodiments, the support holder 180 can have other configurations for moving the support 160 relative to the chamber 150 and/or relative to the apparatus 100. For example, in other embodiments, the support 160 can pivot about one or more axes to allow access to the chamber 150.
  • FIGS. 7 and 8 are partially schematic illustrations of a [0065] support 160 configured for installation and removal in accordance with an embodiment of the invention. Referring first to FIG. 7, the support holder 180 can include a pair of guide rails 182 (one of which is visible in FIG. 7), each having a linear channel 183 aligned along the support guide path 181. The carrier 164 of the support 160 can include guide members 174 slidably received in the channels 183. Accordingly, the carrier 164 can be suspended or at least partially suspended from the guide rails 182.
  • In a further aspect of this embodiment, the [0066] carrier 164 can include features that allow it to be aligned and positioned within the apparatus 100. For example, the carrier 164 can include a plurality of locator balls 170, shown as an upper locator ball 170 a and a pair of lower locator balls 170 b (one of which is visible in FIG. 7). The apparatus 100 can include corresponding features positioned to receive and align the locator balls 170. For example, the apparatus 100 can include an upper support beam 168 a having an upper support bracket 169 configured to receive the upper locator ball 170 a. The apparatus 100 can further include a lower support beam 168 b having two lower support brackets 175, including a left lower support bracket 175 a and a right lower support bracket 175b (not visible in FIG. 7), positioned to receive the lower locator balls 170 b.
  • In one embodiment, the [0067] upper support bracket 169 can have a positioning surface 171 arranged to contact the upper locator ball 170 a. The upper support bracket 169 can be adjustable forward and aft, as indicated by arrow G. Upon installation, the forward and aft position of the upper support bracket 169 can be adjusted until the carrier 164 is vertically aligned. Once the carrier 164 is properly aligned, a locking mechanism 172 having a latch 173 can be actuated to secure the upper locator ball 170 a against the positioning surface 171. To move or remove the carrier 164, the locking mechanism 172 is released, allowing the carrier 164 to pivot rearwardly about the lower locator ball 170 b, as indicated by arrow H and as shown in dashed lines in FIG. 7. After the carrier 164 has pivoted rearwardly as shown in FIG. 7, the lower locator balls 170 b can be removed from the lower support brackets 175 and the carrier 164 can be moved further aft to the access position, and/or removed from the apparatus 100, as described above with reference to FIG. 6.
  • FIG. 8 is a partially schematic, partially broken rear view of a portion of the [0068] support 160 supported in the apparatus 100 at least in part by the guide members 174. In one aspect of this embodiment, the guide members 174 can be slidably received in the guide rails 182. In an alternate embodiment, the guide members 174 can include wheels that roll along the guide rail 182, and in other embodiments, the apparatus 100 can include other arrangements that allow for relative movement between the support 160 and the support holder 180. In any of these embodiments, the support carrier 164 can include an electrical terminal 163 configured to releasably receive an electrical cable (not shown) and a pneumatic terminal 167 configured to releasably receive a pneumatic conduit (not shown). The electrical cable and the pneumatic conduit can be detached from the carrier 164 prior to removing the support 160 from the apparatus 100.
  • In one aspect of an embodiment of [0069] apparatus 100 shown in FIG. 8, the lower support brackets 175 (shown as the left lower support bracket 175 a and the right lower support bracket 175 b) can be configured to accommodate transverse alignment of the carrier 164. For example, the left support bracket 175 a can include a generally flat receiving surface 176 along which a corresponding one of the lower locator balls 170 b can slide. The right support bracket 175 b can include a receiving cradle 177 configured to receive the other lower locator ball 170 b and at least restrict movement of the other lower locator ball 170 b to provide additional support for the carrier 164. Accordingly, the receiving cradle 177 can be adjusted laterally as indicated by arrow I using adjustment screws 178.
  • One feature of an embodiment of the [0070] apparatus 100 described above with reference to FIGS. 6-8 is that the support 160 can be supported by a support holder 180 that allows for movement of the support 160 while the weight of the support 160 is still borne by the apparatus 100. Accordingly, it can be easier to reposition the support 160 while accessing the processing station 150 below. Another advantage of this feature is that it can be easier to remove the support entirely from the apparatus 100 through the rear surface 103 b of the apparatus 100.
  • Another feature of an embodiment of the [0071] support 160 is that it is carried from a position located above the processing station 150. One advantage of this feature is that the support 160 need not occupy space below the head 161 and adjacent to the processing station 150. Instead, this space can be left free (for example, to access the processing station 150) or can be occupied by additional support equipment for the processing station 150. Another advantage of this arrangement is that the electrical cable between the support 160 and the electrical units 105 that provide power and control signals to the support 160 can be shorter because the support 160 is positioned closer to the electrical units 105. Still another advantage of this arrangement is that the electrical cable can be positioned entirely above the processing station 150 when it is coupled to the support carrier 164. Accordingly, the likelihood for contact between the electrical cable and the chemicals contained in the processing station 150 can be reduced and/or eliminated.
  • FIGS. [0072] 9-18 illustrate several details of processing stations that can be included in tools generally similar to those described with reference to FIGS. 1-8. A wide variety of microelectronic workpiece processing techniques may be performed simultaneously and/or sequentially using various combinations of processing stations such as those described below. In further embodiments, the processing stations can have characteristics in addition to or in lieu of those described below for providing different and/or additional functions. In one representative process, microelectronic workpieces can be evaluated at a metrology station (FIG. 9). Material can be applied to the workpieces and/or enhanced and/or repaired at an electrochemical deposition station (FIGS. 11-14) or an electroless deposition deposition station (FIG. 15) to produce layers such as those shown in FIG. 10. The workpieces can be bevel etched and cleaned at a capsule station (FIGS. 16A-B) and/or rinsed at a spray station (FIG. 17), and annealed in a thermal processing station (FIG. 18). In other embodiments, the apparatus can perform other processes and/or other process sequences.
  • Metrology Station [0073]
  • FIG. 9 is a schematic illustration of a [0074] metrology station 900 that can be included as one or more of the processing stations 150 described above with reference to FIGS. 1-8. In one aspect of this embodiment, the metrology station 900 can include a base 910 having one or more supports 920 configured to removably support the microelectronic workpiece 114. A detector 930 can be operatively coupled to the microelectronic workpiece 114 while the microelectronic workpiece 114 is carried by the supports 920. The detector 930 can be configured to detect characteristics of portions of the microelectronic workpiece 114. For example, the detector 930 can be configured to detect a uniformity of a conductive layer (such as a seed layer or a blanket layer) or other conductive feature on the microelectronic workpiece 114. In one aspect of this embodiment, the detector 930 can use sheet resistance or capacitance to determine the thickness of the conductive layer. Alternatively, the detector 930 can use optical or thermal techniques to determine selected characteristics of the microelectronic workpiece 114. In one alternate embodiment, the detector 930 can include a laser based, non-constant metrology system in which an emitted laser induces an acoustic response in the layer of the microelectronic workpiece 114. The acoustic response is then correlated to the thickness of the layer. This is known as an impulsive stimulated thermal scattering (ISTS) system. One such system is manufactured by Phillips Analytical, Inc. of Natick, Massachusetts under the model name “Impulse” or “Emerald.” Another suitable metrology unit is manufactured by Rudolph, Inc. of Flanders, New Jersey, under the model name “Metapulse.”
  • In any of the foregoing embodiments, the [0075] detector 930 can be operatively coupled to an analyzer 940 to analyze and/or otherwise process the data received by the detector 930. The analyzer 940 can be coupled to a controller 950 which can in turn be coupled with a link 960 to one or more of the other processing stations 150 of the tool 100 (FIG. 1). Accordingly, data obtained at the metrology station 900 can be used to influence processes conducted at other processing stations, as described in greater detail below.
  • In one embodiment, the [0076] metrology station 900 can be used in a “feedforward” mode. Accordingly, the results obtained at the metrology station 900 can be used to influence and/or control subsequent processes performed on the microelectronic workpiece 114 in a manner that accounts for the unique characteristics of that particular microelectronic workpiece 114. Alternatively, the metrology station 900 can be used in a “feed-back” mode. In this mode, the microelectronic workpiece 114 can be analyzed after a selected process is performed on the microelectronic workpiece 114, and the results can be used to influence the manner in which that same process is performed on other microelectronic workpieces. Examples of feed-forward and feed-back processes are described in greater detail below.
  • In one feed-forward mode of operation, the recipe for one or more downstream processes can be modified based on the results obtained at the [0077] metrology station 900. Alternatively, or additionally, the sequence with which subsequent processes are carried out can be modified based on the metrology results. For example, if the thickness or uniformity of a seed layer of the microelectronic workpiece 114 is outside acceptable limits, the microelectronic workpiece 114 can be delivered to a seed layer enhancement station (described in greater detail below with reference to FIGS. 10A-15) before being delivered to an electroplating station (also described in greater detail below with reference to FIGS. 10A-15). Alternatively, if the seed layer is so far out of tolerance that it cannot be repaired or enhanced in the seed layer enhancement station, the microelectronic workpiece 114 can be delivered to a material removal station (described in greater detail below with reference to FIGS. 16A-17) in which the microelectronic workpiece 114 can be etched. The microelectronic workpiece 114 can then be returned to a seed layer application station, such as a physical vapor deposition (PVD) apparatus external to the apparatus 100.
  • In other embodiments, the results obtained at the [0078] metrology station 900 can be used to influence not only where the microelectronic workpiece 114 goes after leaving the metrology station 900, but also aspects of the process performed on the microelectronic workpiece 114 at the next processing station. For example, the process parameters used during deposition and/or alteration of blanket metal layers, blanket dielectric layers, patterned metal layers, and patterned dielectric layers can be established, altered, adjusted, or otherwise controlled based on the results obtained at the metrology station 900. In one embodiment, an intentional variation in one parameter (e.g., film thickness) can be introduced in order to compensate for another non-uniformity (e.g., line width) to produce uniform electrical results.
  • In another embodiment, the user can assess the results obtained at the [0079] metrology station 900 and decide to stop subsequent processes until issues associated with the prior processes are resolved. For example, a blanket layer electroplating process can be stopped when seed layer thicknesses are found to be below acceptable tolerances. Alternatively, the user can continue the subsequent processing (e.g., the electroplating process) and adjust the subsequent process steps or process parameters based upon the output from the metrology station 900. For example, the user can go forward with the electroplating process, but automatically adjust the process recipe to achieve acceptable plating uniformity and thicknesses, again based on the results obtained at the metrology station 900.
  • In a feed-back mode of operation, the [0080] metrology station 900 can be used to alter processes normally conducted before the microelectronic workpiece 114 arrives at the processing station 900. For example, the microelectronic workpiece 114 can be placed in the metrology station 900 after an electrochemical deposition (ECD) process to assess the uniformity or other characteristic of the material applied during the ECD process. If the uniformity or other characteristic of the applied material is found to be out of tolerance, the process recipe conducted at the ECD station can be adjusted prior to processing other microelectronic workpieces 114 having similar initial characteristics. For example, if it is determined at the processing station 900 that microelectronic workpieces 114 having an initial seed layer with fixed, known characteristics return from an ECD station with unacceptable results when process 1 is followed, but acceptable results if process 2 is followed, then the controller 950 can automatically direct the ECD station to use process 2 when other microelectronic workpieces 114 having similar initial seed layers are to be processed.
  • In other embodiments, the [0081] metrology station 900 can have other arrangements. Examples of other embodiments for the processing station 900 are included in International Application PCT/US01/21579 (Attorney Docket No. 29195.8117WO), filed Jul. 9, 2001 and incorporated herein in its entirety by reference. In any of these embodiments, the controller 950 can be used to control processes conducted at any of the stations within the tool 100 (FIG. 1), or alternatively, the controller 950 can be operatively coupled to devices external to the tool 100 to control processes conducted therein. For example, material may be removed from the microelectronic workpiece 114 in a chemical-mechanical planarization (CMP) apparatus that is positioned external to the tool 100.
  • Material Application Stations [0082]
  • FIGS. [0083] 10A-15 illustrate stations suitable for applying materials to the microelectronic workpiece 114. These stations include electrochemical deposition (ECD) stations, which can apply materials in an electrochemical process, and electroless stations, which can apply materials in a non-electrical, chemical process. ECD stations (described below with reference to FIGS. 11-14) can be used to apply conductive materials, such as copper, aluminum, platinum, solder or gold. The conductive materials can be applied as a new blanket layer or to enhance or repair an existing seed layer. Accordingly, any of these stations can function as a seed layer repair/enhancement station. Examples of processes and solutions suitable for carrying out such functions are included in International Application No. PCT/US99/06306, filed Mar. 22, 1999 and incorporated herein in its entirety by reference. Alternatively, (for example, by reversing the polarity applied to electrodes during metal deposition), these stations can be used to apply insulative materials, in an electrophoretic process. The electroless stations (described below with reference to FIG. 15) can also be used to apply conductive materials, such as blanket layers or seed layer repair/enhancement materials, without the application of electrical currents.
  • FIGS. [0084] 10A-10E illustrate sample processes that can be conducted with material application stations in accordance with embodiments of the invention. Beginning with FIG. 10A, the microelectronic substrate 114 can include a feature such as a trench 1012 that is to be filled with a metal or other conductive material. A thin barrier layer 1010 can be deposited on the microelectronic substrate 114 and in the trench 1012. Alternatively, the barrier layer 1010 can be deposited over a dielectric material, such as silicon dioxide. In either embodiment, the barrier layer 1010 can include titanium nitride, tantalum nitride, or another material that can act to prevent a conductive material subsequently disposed in the trench 1012 from migrating into other features of the microelectronic substrate 114. The barrier layer 1012 can be deposited using known techniques, such as chemical vapor deposition (CVD) or physical vapor deposition (PVD).
  • Referring now to FIG. 10B, an ultra-thin [0085] metallic seed layer 1011 can be disposed on the barrier layer 1010. In one embodiment, the ultra-thin seed layer 1011 can include copper, and in other embodiments, the ultra-thin seed layer 1011 can include other materials. In either embodiment, the ultra-thin seed layer 1011 can be deposited using CVD, PVD or other techniques.
  • In one aspect of this embodiment, the [0086] ultra-thin seed layer 1011 can be deposited to a thickness of only about 50 angstroms to about 500 angstroms. Accordingly, voids 1013 or other nonuniformities may remain in the seed layer 1011 after it is formed. As shown in FIG. 10C, the seed layer 1011 can be enhanced by electrochemically applying additional conductive enhancement material 1014 on the ultra-thin seed layer 1011 to fill in the voids 1013 (FIG. 10B). Accordingly, the barrier layer 1010 can be completely or nearly completely covered with conductive material.
  • As shown in FIG. 10D, a [0087] blanket layer 1015 can be deposited electrochemically onto the enhancement material 1014 and the ultra-thin seed layer 1011 to fill in the trench 1012. After the trench 1012 has been filled, the portions of the barrier layer 1010, the ultra-thin seed layer 1011, the enhancement material 1014, and the blanket layer 1015 located above the trench 1012 can be removed, leaving a line or via 1066, as shown in FIG. 10E. The foregoing process steps can be repeated to build layer upon layer of conductive structures in the microelectronic substrate 114.
  • Overall Station Arrangement [0088]
  • FIG. 11 illustrates an embodiment of an electrochemical-[0089] processing station 1100 having a support 1160 and a vessel assembly 1152 configured for disposing enhancement material and/or blanket layers as described above with reference to FIGS. 10A-10E. The support 1160 can include a spin motor 1161, a rotor 1162 coupled to the spin motor 1161, and a contact assembly 1170 carried by the rotor 1162. The contact assembly 1170 can be configured to make electrical contact with either the front side or the back side of the microelectronic workpiece 114. The rotor 1162 can have a backing plate 1163 and a seal 1164. The backing plate 1163 can move transverse to the microelectronic workpiece 114 (arrow T) between a first position in which the backing plate 1163 contacts a backside of the workpiece 114 (shown in solid lines in FIG. 11) and a second position (shown in broken lines in FIG. 11) in which it is spaced apart from the backside of the workpiece 114. The contact assembly 1170 can have a support ring 1172, a plurality of contacts 1173 carried by the support ring 1172, and a plurality of shafts 1171 extending between the support ring 1172 and the rotor 1162. The contacts 1173 can be ring-type spring contacts or other types of contacts that are configured to engage a portion of the seed-layer on the workpiece 114. Commercially available support 1160 and contact assemblies 1170 can be used in the station 1100. Particular suitable support 1160 and contact assemblies 1170 are disclosed in U.S. Pat. Nos. 6,228,232 and 6,080,691; and U.S. application Ser. Nos. 09/385,784; 09/386,803; 09/386,610; 09/386,197; 09/501,002; 09/733,608; and 09/804,696, all of which are herein incorporated by reference.
  • The [0090] vessel assembly 1152 can include an outer vessel 1153 (shown schematically in FIG. 11) and an inner vessel 1154 (also shown schematically in FIG. 11) positioned within the outer vessel 1153. The inner vessel 1154 carries at least one electrode (not shown in FIG. 11) and directs a flow of electroprocessing solution to the workpiece 114. The electroprocessing solution, for example, can flow over a weir (arrow W) and into the outer vessel 1153, which captures the electroprocessing solution and sends it back to a tank. Several embodiments of inner vessels 1154 are shown and described in detail with reference to FIGS. 12-14.
  • In operation, the [0091] support 1160 holds the workpiece 114 at a workpiece-processing site of the inner vessel 1154 so that at least a plating surface of the workpiece 114 engages the electroprocessing solution. An electrical field is established in the solution by applying an electrical potential between the plating surface of the workpiece 114 (via the contact assembly 1170) and one or more electrodes in the inner vessel 1154. For example, the contact assembly 1170 can be biased with a negative potential relative to the electrode(s) in the inner vessel 1154 to plate materials onto the workpiece. Alternatively, the contact assembly 1170 can be biased with a positive potential relative to the electrode(s) in the inner vessel 1154 to (a) de-plate or electropolish plated material from the workpiece 114 or (b) deposit other materials (e.g., electrophoretic resist). In general, therefore, materials can be deposited on or removed from the workpiece 114 with the workpiece 114 acting as a cathode or an anode depending upon the particular type of material used in the electrochemical process.
  • Single Electrode Station [0092]
  • FIG. 12 is a cross-sectional side view of a [0093] vessel assembly 1252 having an inner vessel 1254 disposed within an outer vessel 1253 in accordance with an embodiment of the invention. In one aspect of this embodiment, the inner vessel 1254 has a single electrode 1230 for electrochemically processing the microelectronic workpiece 114 (shown without the support 1160 in FIG. 12). In other embodiments, the vessel assembly 1252 can include multiple electrodes, as described below in greater detail with reference to FIGS. 13-14.
  • In one aspect of the embodiment shown in FIG. 12, the [0094] outer vessel 1253 can carry an exhaust ring 1220 which in turn carries the inner vessel 1254 disposed annularly inwardly from the exhaust ring 1220. The inner vessel 1254 can include an inner sidewall 1256 connected to an outer sidewall 1255 with a web 1257. The outer sidewall 1255 can include exhaust ports 1221 which allow gases generated within the inner vessel 1254 to pass radially outwardly. One or more exhaust exits 1222 in the exhaust ring 1220 collect the gas and remove it from the vessel assembly 1252.
  • The [0095] inner vessel 1254 can be coupled to a fluid delivery conduit 1232 through which an electrolytic processing fluid enters, as indicated by arrows J. The electrolytic fluid can pass upwardly through the fluid delivery conduit into the inner vessel 1254 and over a weir 1259. Accordingly, the weir 1259 can establish the level of the electrolytic fluid in the vessel assembly 1252 for contacting the microelectronic workpiece 114. The electrolytic fluid can pass over the weir 1259 and through openings 1258 in the web 1257 into a region between the inner vessel 1254 and the outer vessel 1253. Skirts 1240 separated by slots 1241 can guide the fluid downwardly toward a process outlet 1242, while reducing the tendency for the fluid to splash, which can create undesirable bubbles in the fluid. The fluid can be replaced or recycled after passing through the process outlet 1242. An overflow outlet 1243 provides protection against an overflow of the electrolytic fluid.
  • The [0096] electrode 1230 is positioned within the inner vessel 1254 and can be supported on the fluid delivery conduit, for example, with one or more bayonet-type fittings. In one aspect of this embodiment, the electrode 1230 can be attached to an electrode shield 1231 which protects the lower surface of the electrode 1230 and which attaches to the fluid delivery conduit 1232 with a first bayonet-type fitting. The electrode shield 1231 can in turn support a diffuser 1235 with a second bayonet-type fitting. The diffuser 1235 can condition the flow of the electrolytic fluid as it approaches the microelectronic workpiece 114. In a further aspect of this embodiment, electrical current can be supplied to the electrode 1230 by a cable 1233 housed in a sleeve 1234 that passes through the fluid delivery conduit 1232. The electrode 1230 can operate as either an anode (e.g., for plating the microelectronic workpiece 114) or a cathode (e.g., for deplating the microelectronic workpiece 114). The vessel assembly 1252 can further include an auxiliary electrode 1230 a which can be configured to “thieve” conductive material that might otherwise plate onto the contact assembly 1170 (FIG. 11). Further details of the foregoing and other embodiments of the vessel assembly are included in U.S. Pat. Nos. 6,228,232, 6,270,647, and 6,080,291, all incorporated herein in their entireties by reference.
  • Multiple Electrode Stations [0097]
  • FIGS. 13 and 14 schematically illustrate multi-electrode processing stations for electrochemically and/or electrophoretically depositing and/or removing materials onto microelectronic workpieces in accordance with further embodiments of the invention. One feature of the multi-electrode stations is that the electrical current applied to each electrode can be individually controlled to more accurately control the deposition or removal process occurring at the [0098] microelectronic workpiece 114. For example, the current applied to these electrodes can be adjusted to account for initial non-uniformities in the microelectronic workpiece 114, or can be tailored to deliberately form a non-uniform deposited layer.
  • Referring first to FIG. 13, a [0099] vessel assembly 1352 can include an inner vessel 1354 disposed annularly within an outer vessel 1353. The inner vessel 1354 can be supplied with an electrolytic processing fluid through a fluid inlet 1332. The fluid can flow through the inner vessel 1354 in a manner described in greater detail below, and can exit the inner vessel 1354 over a weir 1359. The fluid can then proceed through a helical drain channel 1340 positioned between the inner vessel 1354 and the outer vessel 1353 to an outlet 1342, from which the fluid can be disposed of or recycled.
  • Fluid entering the [0100] fluid inlet 1332 can proceed radially outwardly through a disk-shaped acceleration channel 1331, then upwardly through a generally U-shaped fluid flow region 1332 positioned annularly within the inner vessel 1354. The U-shaped fluid flow region 1332 forms an antechamber 1344 through which the fluid flows before entering a main fluid flow chamber 1345 at the center of the inner vessel 1354. A gas channel 1343 at the top of the U-shaped fluid flow region 1332 can collect gas bubbles in the entering fluid and direct the gas bubbles radially outwardly to the region between the inner vessel 1354 and the outer vessel 1353. Accordingly, the likelihood for introducing gas bubbles at the process surface of the microelectronic workpiece 114 (where they can adversely affect the electrochemical deposition process) can be reduced. The fluid proceeds downwardly through the U-shaped fluid flow region 1332 to a high pressure region 1333, then through a diffuser 1335 to a nozzle assembly 1334.
  • The [0101] nozzle assembly 1334 can include a plurality of nozzles 1336 positioned to direct the fluid in a generally uniform manner into the main fluid flow chamber 1345.
  • The main [0102] fluid flow chamber 1345 can be defined in part by a contoured sidewall 1338 that extends upwardly away from the nozzle assembly 1334. The contoured sidewall 1338 can be shaped to smoothly transition the flow exiting the nozzle assembly 1334 from being directed generally radially inwardly to being directed generally axially upwardly. The contoured sidewall 1338 can transition either abruptly or smoothly to a slanted sidewall 1339 that directs at least a portion of the fluid flow radially outwardly. The resulting velocity distribution can produce a free surface that is initially dome-shaped before the microelectronic workpiece 114 is brought into contact with the fluid. An advantage of this arrangement is that the microelectronic workpiece 114 can force any air between the free surface and the microelectronic workpiece 114 radially outwardly where it is less likely to form bubbles at the process surface of the microelectronic workpiece 114.
  • In one aspect of this embodiment, the [0103] inner vessel 1354 can include a plurality of electrodes 1330 (shown in FIG. 13 as electrodes 1330 a-e). For example, the electrodes 1330 can include a central anode 1330 e positioned in the main fluid flow chamber 1345. The central anode 1330 e can be positioned proximate to a plurality of venturi flow paths 1341 which connect with the acceleration channel 1331. Fluid flow passing through the acceleration channel 1331 can draw fluid downwardly away from the central anode 1330 e and can sweep gas bubbles away from the surface of the central anode 1330 e. The flow passing through the venturi flow path can also control the uniformity of the flow passing upwardly through the main fluid flow chamber 1345 and can accordingly control the uniformity of the flow impinging on the central portion of the microelectronic workpiece 114.
  • The [0104] inner vessel 1354 can further include a plurality of generally annularly shaped electrodes (four are shown in FIG. 13 as electrodes 1330 a-d). If the microelectronic workpiece 114 is small and does not extend radially outwardly beyond the contoured sidewall 1338, no power need be applied to the annular anodes 1330 a-d. If the microelectronic workpiece 114 extends radially beyond the contoured sidewall 1338, one or more of the annular electrodes 1330 a-dcan be powered to provide plating at the outer portions of the microelectronic workpiece 114. The power applied to the annular electrodes 1330 a-dcan be the same in one embodiment, or alternatively, each electrode 1330 a-dcan receive a different power depending upon the initial characteristics of the microelectronic workpiece 114 and/or the result to be obtained by the electrochemical deposition process. The electrochemical deposition rate can also be controlled by the weir 1359, which can include a transverse portion 1358 extending radially inwardly over a portion of some or all of the annular electrodes 1330 a-d. The weir 1359, along with the transverse portion 1358, can be easily removed from the rest of the inner vessel 1354 to tailor the extent to which the transverse portion 1358 shields the microelectronic workpiece 114.
  • In other embodiments, the [0105] vessel assembly 1352 can have other arrangements. Further details of the arrangement shown in FIG. 13, as well as alternate arrangements, are included in International Application No. PCT/US00/10210, filed Apr. 13, 2000 and incorporated herein in its entirety by reference.
  • FIG. 14 is a schematic illustration of a [0106] multi-electrode vessel assembly 1452 in accordance with another embodiment of the invention. In one aspect of this embodiment, the vessel assembly 1452 can include an inner vessel 1454 disposed annularly inwardly within an outer vessel 1453. A helical drain channel 1440 between the inner vessel 1454 and the outer vessel 1453 can receive fluid overflowing the inner vessel 1454 and guide the fluid toward a fluid outlet 1444 in a manner generally similar to that described above with reference to FIG. 13. Fluid can enter the inner vessel 1454 through a primary fluid inlet 1432 a and a secondary fluid inlet 1432 b. The primary fluid inlet 1432 a is coupled to a primary flow channel 1478 that directs a portion of the fluid within the inner vessel 1454 to a primary flow guide 1475. The primary flow guide 1475 can include nozzles or apertures 1479 that direct the flow toward the central axis of the inner vessel 1454. The flow can proceed upwardly from the primary flow guide 1475 toward the microelectronic workpiece 114.
  • The secondary fluid inlet [0107] 1432 can be coupled to a distributor 1470 that directs the secondary fluid to a plurality of electrodes. In one aspect of this embodiment, the inner vessel 1454 can include four electrodes 1430 (shown in FIG. 14 as electrodes 1430 a-1430 d). In one aspect of this embodiment, the electrodes 1430 can be housed in a field shaping unit 1476 having a corresponding plurality of electrode compartments 1471 (shown as compartments 1471 a-1471 d) separated by partitions 1472. The distributor 1470 directs the secondary fluid into each compartment 1471 via a corresponding plurality of distributor channels 1470 (shown as distributor channels 1470 a-1470 d). Accordingly, the secondary fluid can proceed through the distributor 1470, past the electrodes 1430, and upwardly toward the microelectronic workpiece 114. The effect of the field shaping unit 1476 on the electrical field produced by the electrodes 1430 is as if the electrodes were positioned at the exits of each compartment 1471, as shown by virtual electrode positions 1480 a-1480 d.
  • In one aspect of an embodiment of the [0108] vessel assembly 1452 shown in FIG. 14, each compartment 1471 can have an aperture 1474 through which fluid and gas bubbles can pass. Accordingly, gas bubbles trapped in each compartment 1471 can proceed radially outwardly through the apertures 1471 of each compartment until they exit the inner vessel 1454. In another aspect of this embodiment, each compartment 1471 can include an interface member 1477 (which can be eliminated in an alternate embodiment). In one embodiment, the interface members 1477 can include a filter configured to trap air bubbles and other particulates, while allowing the secondary fluid to pass toward the microelectronic workpiece 114. In another embodiment, the interface members 1477 can include ion membranes that allow ions to pass toward the microelectronic workpiece 114, while preventing or substantially preventing the secondary fluid from passing toward the microelectronic workpiece 144. Instead, the secondary fluid can pass through the apertures 1474 and out of the inner vessel 1454 via the helical drain channel 1440. Alternatively, the ion membrane can allow the fluid as well as ions to pass through.
  • In one embodiment in which the primary and secondary fluids are separated, the primary fluid (which contacts the microelectronic workpiece [0109] 114) can be a catholyte and the secondary fluid (which does not contact the microelectronic workpiece 114) can be a separate anolyte. An advantage of this arrangement is that it can eliminate the consumption of additives at the anodes and thus the need to replenish the additives as often as is required in a conventional arrangement. This feature, in combination with the “virtual anode” aspect of the vessel assembly 1452 can reduce the need to “burn-in” anodes, which is typically required to ensure a consistent black film over the anodes to provide a predictable current distribution. Instead, the current distribution can be controlled by the configuration of the field shaping unit 1476.
  • In other embodiments, the [0110] vessel assembly 1452 can have other arrangements. Further details of aspects of the embodiment described above with reference to FIG. 14 and alternate arrangements are included in International Application No. PCT/US00/10120, filed Apr. 13, 2000, incorporated herein by reference, and the following U.S. Patent Applications, all of which are incorporated herein by reference: 09/872,151 (Attorney Docket No. 29195.8158US), filed May 31, 2001; 09/804,696 (Attorney Docket No. 29195.8119US), filed Mar. 12, 2001; 09/804,697 (Attorney Docket No. 29195.8120US), filed Mar. 12, 2001; 09/875,365 (Attorney Docket No. 29195.8156US) filed Jun. 5, 2001; 09/849,505 (Attorney Docket No. 29195.8157US1) filed May 4, 2001; 09/866,391 (Attorney Docket No. 29195.8157US2) filed May 24, 2001; 09/866,463 (Attorney Docket No. 29195.8157US3), filed May 24, 2001; and 10/008,636 (Attorney Docket No. 29195.8172US) filed Dec. 5, 2001.
  • Electroless Processing Station [0111]
  • FIG. 15 is a partially schematic, cross-sectional side view of a [0112] processing station 1550 configured for electroless processing in accordance with an embodiment of the invention. In one aspect of this embodiment, the processing station 1550 can include a vessel assembly 1552 having a processing portion 1554 configured to receive an electroless processing fluid through a supply valve assembly 1580 a. A fluid heater 1583 (shown schematically on FIG. 15) can be coupled in fluid communication with the supply valve assembly 1580 a to heat the fluid entering the vessel assembly 1552. In one embodiment, the fluid can be heated to a temperature of about 50° C. to about 80° C. (for example, to electrolessly plate nickel or copper) and in other embodiments, the fluid can be heated to other temperatures. In alternate embodiments, the electroless fluid can be introduced at ambient temperatures. The processing portion 1554 can include a weir 1559 over which the processing fluid spills into a circumferentially extending overflow channel 1540. The overflow channel 1540 can be coupled to a waste valve assembly 1580 b via an overflow conduit 1538 to remove processing fluid from the processing station 1550.
  • In one aspect of this embodiment, the supply valve assembly [0113] 1550 a can include three supply valves 1581 (two of which are visible in FIG. 15) to supply three different processing fluids during different phases of the operation of the processing station 1550. A drain valve 1582 can be positioned beneath the supply valves 1581 to more completely drain the supply valve assembly 1580 a. The waste valve assembly 1580 b can have an arrangement generally similar to that of the supply valve assembly 1580 a to return the different processing fluids to the appropriate reservoirs (not shown).
  • In one embodiment, the [0114] processing station 1550 can include an exhaust conduit 1537 positioned to remove gaseous waste products when a support 1560 (shown in outline in FIG. 15) carrying the microelectronic workpiece 114 is received in the vessel assembly 1552. In one aspect of this embodiment, the support 1560 can be generally similar to the support 1160 described above with reference to FIG. 11, but need not include the contact assembly 1170 (FIG. 11). Accordingly, the support 1560 can support the microelectronic workpiece 114 in contact with the electroless processing fluid in the vessel assembly 1552.
  • In operation, an electroless processing fluid, heated or unheated, can be directed into the [0115] processing portion 1554 via the supply valve assembly 1580 a. The support 1560 can be moved downwardly to contact the microelectronic workpiece 114 with the processing fluid in the processing portion 1554. An electroless, wet chemical deposition process can occur at the interface between the microelectronic workpiece 114 and the processing fluid in the processing portion 1554 to deposit conductive material on the microelectronic workpiece 114. The electroless process can be used to dispose a variety of conductive structures onto the microelectronic workpiece 114, such as seed layer enhancement and/or repair material, blanket layers, or other conductive structures. Alternatively, the station 1550 can be used to dispose other, non-conductive materials on the microelectronic workpieces 114. In a further alternate embodiment, the station 1550 can be used to remove material from the microelectronic workpiece 114. For example, the electroless processing station 1550 or a station having a generally similar configuration can be used to etch material from the backside of the wafer. In an alternative arrangement, a processing station that directs flow toward the microelectronic workpiece from two directions can be used to remove material from the microelectronic workpiece 114, as described in greater detail below with reference to FIG. 16.
  • Removal Stations [0116]
  • FIGS. [0117] 16A-B are partially schematic illustrations of material removal stations 1650 in accordance with an embodiment of the invention. The material removal stations 1650 or “stripping units” can be multifunctional processing capsules which can perform cleaning, stripping, bevel etching, rinsing and drying operations. In one aspect of this embodiment, the station 1650 can be used to remove seed layer material from the front or device side of the microelectronic workpiece 114. Alternatively, the station 1650 can be used to remove conductive material and/or nonconductive material from either the front/device side of the microelectronic workpiece 114 or the back/non-device side of the microelectronic workpiece 114. In either embodiment, the capsule can include flows of different fluids directed to opposing sides of the microelectronic workpiece 114 to control the amount of material removed from the microelectronic workpiece 114.
  • Referring first to FIG. 16A, the [0118] station 1650 can include a support 1660 having a motor 1661 coupled to a rotor 1662 with a drive shaft 1663 to rotate the rotor 1662. The rotor 1662 can include an upper chamber member 1670 and a lower chamber member 1680. The upper chamber member 1670 can be separated from the lower chamber member 1680 (for example, by moving the support 1660 upwardly) to allow the microelectronic workpiece 114 to be positioned therebetween. The upper chamber member 1670 can include an upper chamber wall 1671 which defines an upper chamber 1672 positioned proximate to an upper surface 1620 of the wafer 114. The lower chamber member 1680 can include a lower chamber wall 1681 that defines a lower chamber 1682 facing toward a lower surface 1621 of the microelectronic workpiece 114.
  • In operation, a first fluid (schematically indicated by arrows Fl) is introduced into the [0119] station 1650 via an injection nozzle 1684 (such as a multi-port injection nozzle), through a lower chamber inlet 1683 and into the lower chamber 1682. A second fluid (schematically illustrated by arrows F2) is introduced to the upper chamber 1672 through an upper chamber inlet 1673.
  • Referring now to FIG. 16B, the first fluid Fl can proceed outwardly along the [0120] lower surface 1621 of the microelectronic workpiece 114 while the second fluid F2 proceeds radially outwardly along the upper surface 1620 as the microelectronic workpiece 114 spins. In one aspect of this embodiment, the upper chamber member 1670 can include an exit duct 1675 positioned radially inwardly from an outer edge 1622 of the microelectronic workpiece 114. Accordingly, the first fluid F1 can proceed around the outer edge 1622 and over a peripheral margin 1623 of the upper surface 1620 before merging with the second fluid F2 and proceeding through the exit duct 1675. In an alternate embodiment, the exit duct 1675 can be blocked or relocated so that the first fluid Fl does not wrap around to the upper surface 1620 of the microelectronic workpiece 114.
  • In some applications, it may be desirable to etch material from the [0121] lower surface 1621 while preventing etching from occurring on the upper surface 1620, except for the peripheral margin 1623. Accordingly, the first fluid Fl can be selected to include an etchant, and the second fluid F2 can include an inert liquid, such as deionized water. An advantage of removing material from the peripheral margin 1623 is that this may reduce the likelihood for film flaking and contamination problems that may occur during subsequent processing of the microelectronic workpiece 114. Further details of aspects of the stations 1650 are described in International Application Nos. PCT/US99/05676, filed Mar. 15, 1999, and PCT/US99/05674, filed Mar. 15, 1999, both incorporated herein in their entireties by reference.
  • Spray Station [0122]
  • FIG. 17 is a partially schematic, cut-away isometric view of a [0123] spray station 1750 configured to spray one or more selected fluids onto the microelectronic workpiece 114 in accordance with an embodiment of the invention. In one aspect of this embodiment, the fluid can strip or etch layers from the microelectronic workpiece 114. For example, oxide, polysilicon, nitrides, metals, epitaxial silicon, and other materials can be stripped using a wet etching process. In other embodiments, the spray station can perform other functions, such as cleaning, rinsing and/or drying the microelectronic workpiece 114, for example, after etching, or after other phases of processing.
  • In one embodiment, the [0124] spray station 1750 can include a vessel 1752 and a support 1760. The support 1760 can include a rotor 1762 having support fingers 1763 that removably carry the microelectronic workpiece 114. The rotor 1762 can be coupled to a motor 1761 spin the microelectronic workpiece 114 within the vessel 1752.
  • In a further aspect of this embodiment, the [0125] vessel 1752 can include a spray nozzle manifold 1770 having a plurality of main spray nozzles 1771. In one aspect of this embodiment, the spray nozzle manifold 1770 can have a cross-shaped arrangement with a plurality of main spray nozzles 1771 positioned on each arm of the cross. In other embodiments, the spray nozzle manifold 1770 can have other arrangements. In any of these embodiments, the spray nozzle manifold 1770 can be coupled to a fluid inlet 1732 to supply fluid to the main spray nozzles 1771. Accordingly, the main spray nozzles 1771 can direct the fluid upwardly toward the microelectronic workpiece 114 as the microelectronic workpiece 114 spins. A drain 1744 can collect the spent fluid for removal from the vessel 1752.
  • In another aspect of this embodiment, the [0126] vessel 1752 can further include side spray nozzles 1772 positioned around the periphery of the vessel 1752. The side spray nozzles 1772 can be configured to rinse the microelectronic workpiece 114 after the main spray nozzles 1771 have been activated, for example, to rinse the microelectronic workpiece 114 after etching. The side spray nozzles 1772 can also be coupled to a gas source, such as an inert gas source, to dry the microelectronic workpiece 114 after rinsing.
  • Thermal Processing Station [0127]
  • FIG. 18 is a partially schematic side view of an [0128] apparatus 1800 for thermally processing microelectronic workpieces 114 in accordance with an embodiment of the invention. In one aspect of this embodiment, the apparatus 1800 includes two thermal processing chambers 1803 (shown as an upper chamber 1803 a and a lower chamber 1803 b) supported by apparatus supports 1802. Each chamber 1803 can have a base 1810 fixed to the supports 1802, and lid 1820 that moves toward and away from the base 1810 to close and open the chamber 1803. The workpiece 114 can be supported within the chamber 1803 on a plurality of workpiece supports 1870. In one aspect of this embodiment, the apparatus 1800 can anneal a selected material (such as copper or another metal) of the workpiece 114. Alternatively, the apparatus 1800 can perform other elevated temperature processes, such as reflowing solder on the workpiece 114, curing or baking photoresist on the workpiece 114, and/or other processes that benefit from and/or require an elevated temperature. The apparatus can also cool the workpiece 114, as described in greater detail below.
  • When the [0129] apparatus 1800 both heats and cools the workpiece 114, the supports 1870 can first lower the workpiece 114 into contact with a heat source 1840 during a heating phase of the process. Then, during a cooling phase of the process, a first heat sink 1860 can rise to engage an opposite surface of the heat source 1840 to cool both the heat source 1840 and the workpiece 114. After the cooling phase, the first heat sink 1860 can descend to engage a second heat sink 1850 where the first heat sink 1860 is cooled in preparation for another cycle. The lid 1820 can then move away from the base 1810 and the workpiece 114 can be removed. In one aspect of this embodiment, the heat source 1840 can be an electrical resistance thin film or thick film heater positioned between the workpiece 114 and the first heat sink 1860, with the first heat sink 1860 positioned beneath the heat source 1840. The heat source 1840 can include vacuum apertures 1841 coupled to a vacuum source (not shown) to draw the microelectronic workpiece 114 into close engagement with the heat source 1840 during heating. For example, the heat source 1840 can be supported relative to the base 1810 with a plurality of heat source supports 1844, at least one of which includes a vacuum passage in fluid communication with the vacuum apertures 1841.
  • The [0130] lid 1820 of each chamber 1803 can be coupled to a lid actuator 1821 to move downwardly from an open position (shown in FIG. 18) to a closed position. The lid actuator 1821 of the upper chamber 1803 a can be attached to the cover 1830, and the lid actuator 1821 of the lower chamber 1803 b can be attached to the base 1810 of the upper chamber 1803 a. In one embodiment, each lid actuator 1821 can include an annular, air-activated bellows that moves the lid 1820 downwardly when inflated. A spring (not shown) can return the lid 1820 to the open (upper) position when the air pressure within the bellows is released. When the lid 1820 is in the closed position, the lid 1820 and the base 1810 define a chamber volume 1808 around the microelectronic workpiece 114. The lid 1820 can include a purge fluid passageway 1822 that transmits a purge fluid (such as nitrogen) to the chamber volume 1808 during processing.
  • The [0131] first heat sink 1860 can be coupled to a heat sink actuator 1861 that moves the first heat sink 1860 upwardly into engagement with the heat source 1840. In one embodiment, the heat sink actuator 1861 can include an air-driven bellows, generally similar to the lid actuator 1821 discussed above. Alternatively, the heat sink actuator 1861 can have other configurations that move the first heat sink 1860 upwardly into engagement with the heat source 1840 and downwardly out of engagement with the heat source 1840.
  • In a further aspect of this embodiment, the [0132] first heat sink 1860 can include a vacuum supply passageway 1862 connected to a vacuum source (not shown). The vacuum supply passageway 1862 is coupled to one or more radial vacuum channels in an upper surface of the first heat sink 1860 to draw the first heat sink 1860 into close thermal contact with the heat source 1840 when the heat source 1840 and the first heat sink 1860 are engaged with each other. The upper surface of the first heat sink 1860 can also include a compressible, conductive thermal pad to provide close thermal contact with the heat source 1840 when the first heat sink 1860 is in its raised position. In another aspect of this embodiment, the lower surface of the first heat sink 1860 can include a compressible, conductive thermal pad to improve the thermal contact with the second heat sink 1850.
  • In one embodiment, the [0133] first heat sink 1860 has no active cooling elements. Instead, the second heat sink 1850 can be actively cooled and can cool the first heat sink 1860 when the first heat sink 1860 is disengaged from the heat source 1840 and engaged with the second heat sink 1850. Accordingly, the second heat sink 1850 can include a cooling channel 1854 coupled to a cooling fluid supply port and a return port (not shown).
  • In other embodiments, the [0134] apparatus 1800 can have other arrangements. For example, the apparatus 1800 can include a simpler hot plate arrangement for applications where the process temperature and environment need to be so precisely controlled. In other embodiments, the apparatus can include heat sources other than electrically powered resistive heaters. Further details of alternate embodiments are disclosed in U.S. patent application Ser. No. 09/733,608(Attorney Docket No. 29195.8124US), filed Dec. 8, 2000 and incorporated herein in its entirety by reference.
  • Station Combinations and Processes [0135]
  • The [0136] apparatus 100 described above with reference to FIGS. 1-8 can include a variety of combinations of processing stations, such as those described above with reference to FIGS. 9-18, to perform a variety of functions on the microelectronic workpieces 114. In one aspect of this embodiment, the apparatus 100 can include a plurality of stations all of which have generally the same configuration and all of which perform generally the same function of functions. For example, the apparatus 100 can include only material removal stations generally similar to those described above with reference to FIGS. 16A-B, or only thermal processing stations generally similar to that described above with reference to FIG. 18, or only deposition stations for metal or electrophoretic resist deposition, generally similar to those described above with reference to FIG. 14.
  • In other embodiments, the [0137] apparatus 100 can include more than one type of station to perform different functions on microelectronic workpieces 114 within the same apparatus 100. For example, in one embodiment, the apparatus 100 can include a metrology station, a material application station, a material removal station, a spray station, and an anneal station. In other embodiments, the apparatus 100 can include a subset of these types of stations. For example, in one specific embodiment, the apparatus 100 can include a multi-anode material application station generally similar to that described above with reference to FIG. 14 for plating solder onto a microelectronic workpiece 114. The apparatus can also include a spray station generally similar to that described above reference to FIG. 17 to post-process the microelectronic workpiece 114 after it emerges from the multi-anode application station. In still a further aspect of this embodiment, the apparatus can include a pre-aligner configured to orient microelectronic workpieces 114 (such as 6 inch or 150 mm wafers having a flat edge) that are preferably processed in a specific orientation. Further details of suitable pre-aligners are included in U.S. Pat. No. 6,091,498, incorporated herein in its entirety by reference.
  • In other embodiments, the [0138] apparatus 100 can include other combinations of stations. For example, in a further specific embodiment, the apparatus 100 can include any one of the application stations described above with reference to FIGS. 11-15 for enhancing and/or repairing a seed layer of the microelectronic workpiece 114. The apparatus 100 can further include a material removal station generally similar to that described above with reference to FIGS. 16A-B, a multi-anode station generally similar to that described above with reference to FIG. 14 for applying a blanket material to the microelectronic workpiece 114, and a thermal processing station generally similar to that described above with reference to FIG. 18 to anneal the blanket layer applied to the microelectronic workpiece 114.
  • In still a further particular embodiment, the [0139] apparatus 100 can be configured specifically to process electrophoretic resist on the microelectronic workpiece 114. For example, the apparatus 100 can include a multi-anode station generally similar to that described above with reference to FIG. 14 for depositing the electrophoretic resist, along with a bake chamber to post-process the electrophoretic resist. In one aspect of this embodiment, the bake chamber can have a configuration generally similar to that described above with reference to FIG. 18, and in an alternate embodiment, the bake chamber can be simpler and can have a construction generally similar to a hot plate, to elevate the temperature of the microelectronic workpiece 114 to about 112° Celsius. The apparatus can further include a spray station generally similar to that described above with reference to FIG. 17 for further post processing.
  • In any of the foregoing embodiments, microelectronic workpieces can be automatically moved into and/or out of any of the processing stations in an automatic mode or in a manual mode, as described above with reference to FIGS. [0140] 1-5. As described above with reference to FIGS. 1-5, some microelectronic workpieces 114 can be moved manually into and/or out of selected processing stations, while other microelectronic workpieces 114 can be moved automatically into other processing stations. Alternatively, the apparatus 100 can be alternatively dedicated to manual operation and automatic operation. In any of these embodiments, the apparatus 100 can provide a versatile platform for a testing, experimenting with, or demonstrating processes, as well as performing the same or different processes in a production setting.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims. [0141]

Claims (56)

I/we claim:
1. An apparatus for processing microelectronic workpieces, comprising:
a plurality of processing stations, all of the processing stations of the apparatus being manually accessible to a user to manually load microelectronic workpieces for processing, at least one of the processing stations including an application station configured to apply a material to the microelectronic workpiece;
an input/output station configured to support at least one microelectronic workpiece for automatic transfer to and from the processing stations; and
a transfer device positioned proximate to the input/output station and the processing stations, the transfer device being automatically movable to transfer microelectronic workpieces between the input/output station and the processing stations.
2. The apparatus of claim 1 wherein the application station includes:
a first vessel configured to provide a processing fluid, the first vessel having a weir to define a level of the processing fluid;
a second vessel disposed around the first vessel to receive the processing fluid proceeding over the weir;
a workpiece support positioned to carry the microelectronic workpiece in contact with the processing fluid in the first vessel;
a first electrode support positioned in the first vessel and configured to carry a first electrode; and
a second electrode support carried by the workpiece support and positioned to carry a second electrode in contact with the microelectronic workpiece when the workpiece support carries the microelectronic workpiece.
3. The apparatus of claim 1 wherein the application station includes:
at least one vessel configured to provide a processing fluid;
one or more electrode supports positioned in the vessel and configured individually or together to carry a plurality of first electrodes; and
a workpiece support positioned at least proximate to the at least one vessel to carry the microelectronic workpiece in contact with the processing fluid in the vessel, the workpiece support being configured to carry at least one second electrode in contact with the microelectronic workpiece when the workpiece support carries the microelectronic workpiece.
4. The apparatus of claim 1 wherein the application station includes:
at least one vessel configured to carry a processing fluid;
one or more electrode supports positioned in the vessel and configured individually or together to carry a plurality of first electrodes, the first electrodes being spaced annularly apart from each other; and
a workpiece support positioned at least proximate to the at least one vessel to carry the microelectronic workpiece in contact with the processing fluid in the vessel, the workpiece support being configured to carry at least one second electrode in contact with the microelectronic workpiece when the workpiece support carries the microelectronic workpiece.
5. The apparatus of claim 1 wherein the application station includes:
a reaction vessel comprising-
an outer container having an outer wall;
a first inlet configured to introduce a primary flow into the outer container;
at least one second inlet configured to introduce a secondary flow into the outer container separate from the primary flow;
a dielectric field shaping unit in the outer container coupled to the second inlet to receive the secondary flow, the field shaping unit being configured to contain the secondary flow separate from the primary flow through at least a portion of the outer container, and the field shaping unit having at least one electrode compartment through which the secondary flow can pass while the secondary flow is separate from the primary flow, the electrode compartment being configured to receive at least one electrode.
6. The apparatus of claim 1 wherein the application station includes:
a first vessel configured to provide an electroless processing fluid, the first vessel having a weir positioned to define a level of the processing fluid;
a second vessel disposed around the first vessel to receive the electroless processing fluid proceeding over the weir;
a support positioned to carry the microelectronic workpiece in contact with the electroless processing fluid in the first vessel; and
a reservoir configured to carry the electroless processing fluid, the reservoir being in fluid communication with the first vessel.
7. The apparatus of claim 1 wherein at least one of the processing stations includes a metrology station having:
a support configured to releasably carry the microelectronic workpiece;
a measurement device positioned at least proximate to the support and configured to detect a characteristic of a conductive material of the microelectronic workpiece; and
an output device operatively coupled to the measurement device to transmit an output signal corresponding to the detected characteristic of the conductive material of the microelectronic workpiece.
8. The apparatus of claim 1 wherein at least one of the processing stations includes a spray station having:
a vessel configured to carry a fluid;
a support positioned proximate to the vessel, the support being configured to carry the microelectronic workpiece; and
a fluid manifold positioned within the vessel, the fluid manifold being coupleable to a source of fluid, the fluid manifold having a plurality of fluid jets directed toward the support to spray the microelectronic workpiece with the fluid.
9. The apparatus of claim 1 wherein at least one of the processing stations includes a material removal station, the material removal station including a rotor having a first portion and a second portion facing toward the first portion, the first and second portions defining a chamber volume configured to removably receive the microelectronic workpiece, wherein the first portion includes a first fluid passage having a first aperture directed into the chamber volume and facing the second portion, the first aperture being coupleable to a first fluid source, and wherein the second portion includes a second fluid passage having a second aperture directed into the chamber volume and facing the first portion, the second aperture being coupleable to a second fluid source.
10. The apparatus of claim 1 wherein at least one of the processing stations includes a thermal processing station having a heater configured to elevate a temperature of the microelectronic workpiece.
11. The apparatus of claim 1 wherein at least one of the processing stations includes a thermal processing station, the thermal processing station having:
a base;
a support carried by the base and configured to removably contact the microelectronic workpiece;
a lid proximate to the base, at least one of the base and the lid being movable relative to the other between a closed position and an open position, the lid and the base defining a thermal processing space when in the closed position;
a heater positioned between the base and the lid;
a first heat sink positioned proximate to the heater and movable relative to the heater between a first position with the first heat sink in thermal contact with the heater and a second position with the first heat sink spaced apart from the heater; and
a second heat sink positioned proximate to the first heat sink, the second heat sink being in thermal contact with the first heat sink when the first heat sink is in the second position.
12. The apparatus of claim 1, further comprising a shield positioned at least proximate to the transfer device to at least restrict access by the user to the transfer device.
13. The apparatus of claim 1 wherein the processing stations are arranged along a generally straight first line and wherein the transfer device includes a robot configured to move along a second generally parallel first line, and wherein the apparatus further comprises an enclosure disposed around at least one of the processing stations, the enclosure having a first access aperture through which the user can manually access all the processing stations, the enclosure having a second access aperture accessible to the robot and through which the robot can move microelectronic workpieces, with the second line being positioned between the first line and the first and second access apertures.
14. The apparatus of claim 1 wherein all the processing stations of the apparatus are manually accessible from a single side of the apparatus.
15. The apparatus of claim 1 wherein the application station includes a vessel and a support movably positioned proximate to the vessel and configured to carry the microelectronic workpiece, the support being moveable between a first transferring position and a second transferring position spaced apart from the first transferring position, wherein the support is oriented to receive the microelectronic workpiece from the transfer device when the support is in the first transferring position, and wherein the support is oriented to receive the microelectronic workpiece manually from the user when the support is in the second transferring position, the support being configured to selectively stop its motion at the first and second transferring positions.
16. The apparatus of claim 1, further comprising an enclosure having a first surface with a first access aperture and a second surface facing opposite from the first surface and having a second access aperture, the first and second access apertures alone being sized and positioned to allow manual access to the transfer device and all the processing stations for loading the microelectronic workpieces and/or servicing an interior region of the enclosure.
17. The apparatus of claim 1, further comprising an enclosure disposed around at least one of the processing stations, the enclosure having a first surface facing a first direction and a second surface facing opposite the first surface, the first surface having at least one first access aperture, the second surface having at least one second access aperture, and wherein the enclosure has a third and a fourth surface extending between the first and second surfaces and facing in opposite directions from each other, and wherein the third and fourth surfaces have no apertures sized to allow manual access to the transfer device or the processing stations.
18. The apparatus of claim 1 wherein the application station includes:
at least one vessel configured to carry a processing fluid;
one or more electrode supports positioned in the vessel and configured individually or together to carry a plurality of first electrodes, the first electrodes being spaced annularly apart from each other; and
a workpiece support positioned at least proximate to the at least one vessel to carry the microelectronic workpiece in contact with the processing fluid in the vessel, the workpiece support being configured to carry at least one second electrode in contact with the microelectronic workpiece when the workpiece support carries the microelectronic workpiece, and wherein the apparatus further comprises a spray station having:
a spray vessel configured to provide a spray fluid;
a spray support positioned proximate to the spray vessel and configured to carry the microelectronic workpiece; and
a spray fluid manifold positioned within the spray vessel, the spray fluid manifold being coupleable to a source of spray fluid, the spray fluid manifold having a plurality of fluid jets directed toward the support to spray the microelectronic workpiece with the spray fluid.
19. The apparatus of claim 1 wherein the application station is a first application station configured to enhance and/or repair a seed layer of the microelectronic workpiece, and wherein at least one of the processing stations includes a material removal station, further wherein at least another of the processing stations includes a second application station configured to apply a blanket layer of conductive material to the microelectronic workpiece, still further wherein at least another of the processing stations includes a thermal processing station configured to anneal a conductive material of the microelectronic workpiece.
20. The apparatus of claim 1 wherein the application station is configured to electrophoretically deposit an electrophoretic resist material on the microelectronic workpiece, and wherein at least one of the processing stations includes a thermal processing station having a heater and being configured to receive the microelectronic workpiece with the electrophoretic resist material and elevate a temperature of the electrophoretic resist material, and wherein at least another of the processing stations includes a spray station having a spray vessel configured to carry a spray fluid, a spray support positioned proximate to the spray vessel and configured to carry the microelectronic workpiece, and a spray fluid manifold positioned within the spray vessel, the spray fluid manifold being coupleable to a source of spray fluid, the spray fluid manifold having a plurality of fluid jets directed toward the support to spray the microelectronic workpiece with the spray fluid.
21. An apparatus for processing microelectronic workpieces, comprising:
a plurality of processing stations, all of the processing stations of the apparatus being manually accessible to a user to manually load microelectronic workpieces for processing, at least one of the processing stations including a material removal station configured to remove material from the microelectronic workpieces;
an input/output station configured to support at least one microelectronic workpiece for automatic transfer to and from the processing stations; and
a transfer device positioned proximate to the input/output station and the processing stations, the transfer device being automatically movable to transfer microelectronic workpieces between the input/output station and the processing stations.
22. The apparatus of claim 21 wherein the material removal station includes a rotor having a first portion and a second portion facing toward the first portion, the first and second portions defining a chamber volume configured to removably receive the microelectronic workpiece, wherein the first portion includes a first fluid passage having a first aperture directed into the chamber volume and facing the second portion, the first aperture being coupleable to a first fluid source, and wherein the second portion includes a second fluid passage having a second aperture directed into the chamber volume and facing the first portion, the second aperture being coupleable to a second fluid source.
23. The apparatus of claim 21 wherein the material removal station includes:
a rotor having a first portion and a second portion facing toward the first portion, the first and second portions defining a chamber volume configured to removably receive the microelectronic workpiece, wherein the first portion includes a first fluid passage having a first aperture directed into the chamber volume and facing the second portion, and wherein the second portion includes a second fluid passage having a second aperture directed into the chamber volume and facing the first portion;
a first fluid source coupled to the first aperture to provide a first fluid to the first aperture; and
a second fluid source coupled to the second aperture to provide a second fluid to the second aperture, at least one of the first and second fluids being configured to remove material from the microelectronic workpiece.
24. The apparatus of claim 21 wherein the material removal station includes:
a spray vessel configured to provide a spray fluid;
a support positioned proximate to the spray vessel, the support being configured to carry the microelectronic workpiece;
a spray fluid manifold positioned within the spray vessel, the spray fluid manifold being coupleable to a source of spray fluid, the spray fluid manifold having a plurality of fluid jets directed toward the support to spray the microelectronic workpiece with the spray fluid.
25. The apparatus of claim 21 wherein the processing stations are arranged along a generally straight first line and wherein the transfer device includes a robot configured to move along a second line generally parallel to the first line, and wherein the apparatus further comprises an enclosure disposed around at least one of the processing stations, the enclosure having a first access aperture through which the user can manually access all the process stations, the enclosure having a second access aperture accessible to the robot and through which the robot can move microelectronic workpieces, with the second line being positioned between the first line and the first and second access apertures.
26. The apparatus at claim 21 wherein the material removal station includes a vessel and a support movably positioned proximate to the vessel and configured to carry the microelectronic workpiece, the support being moveable between a first transferring position and a second transferring position spaced apart from the first transferring position, wherein the support is oriented to receive the microelectronic workpiece from the transfer device when the support is in the first transferring position, and wherein the support is oriented to receive the microelectronic workpiece manually from the user when the support is in the second transferring position, the support being configured to selectively stop its motion at the first and second transferring positions.
27. The apparatus of claim 21, further comprising an enclosure having a first surface with a first access aperture and a second surface facing opposite from the first surface and having a second access aperture, the first and second access apertures alone being sized and positioned to allow manual access to the transfer device and all the processing stations carried by the chassis for loading the microelectronic workpieces and/or servicing an interior region of the enclosure.
28. An apparatus for processing microelectronic workpieces, comprising:
a plurality of processing stations, all of the processing stations of the apparatus being manually accessible to a user to manually load microelectronic workpieces for processing, at least one of the processing stations including a thermal processing station having a thermal processing space configured to removably receive the microelectronic workpiece, the thermal processing station further including a heat transfer unit at least proximate to the thermal processing space to elevate a temperature of the microelectronic workpiece;
an input/output station configured to support at least one microelectronic workpiece for automatic transfer to and from the processing stations; and
a transfer device positioned proximate to the input/output station and the processing stations, the transfer device being automatically movable to transfer microelectronic workpieces between the input/output station and the processing stations.
29. The apparatus of claim 28 wherein the thermal processing station includes an annealing station, the annealing station including:
a base;
a support carried by the base and configured to removably contact the microelectronic workpiece;
a lid proximate to the base, at least one of the base and the lid being movable relative to the other between a closed position and an open position, the lid and the base defining the thermal processing space when in the closed position;
a heater positioned between the base and the lid;
a first heat sink positioned proximate to the heater and movable relative to the heater between a first position with the first heat sink in thermal contact with the heater and a second position with the first heat sink spaced apart from the heater; and
a second heat sink positioned proximate to the first heat sink, the second heat sink being in thermal contact with the first heat sink when the first heat sink is in the second position.
30. The apparatus of claim 28 wherein the thermal processing station includes:
a workpiece support configured to carry the microelectronic workpiece; and
a heat source positioned at least proximate to the workpiece support to transfer heat to the microelectronic workpiece.
31. The apparatus of claim 28 wherein the processing stations are arranged along a generally straight first line and wherein the transfer device includes a robot configured to move along a second line generally parallel to the first line, and wherein the apparatus further comprises an enclosure disposed around at least one of the processing stations, the enclosure having a first access aperture through which the user can manually access all the process stations, the enclosure having a second access aperture accessible to the robot and through which the robot can move microelectronic workpieces, with the second line being positioned between the first line and the first and second access apertures.
32. The apparatus of claim 28, further comprising an enclosure having a first surface with a first access aperture and a second surface facing opposite from the first surface and having a second access aperture, the first and second access apertures alone being sized and positioned to allow manual access to the transfer device and all the processing stations for loading the microelectronic workpieces and/or servicing an interior region of the enclosure.
33. A method for processing microelectronic workpieces, comprising:
directing an automatic transfer device of a processing tool to move at least one first microelectronic workpiece to, from or both to and from a material application station of the tool, the material application station being one of a plurality of processing stations of the tool;
directing application of material to the at least one first microelectronic workpiece in the material application station; and
for each of the processing stations of the tool, manually loading a second microelectronic workpiece into the processing station and directing processing of each of the manually loaded second microelectronic workpieces in the processing stations, wherein directing processing of the second microelectronic workpieces in the material application station includes directing the application of material to the second microelectronic workpieces.
34. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of a metal in an electrolytic process.
35. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of an at least partially insulative material in an electrophoretic process.
36. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of a photoresist material in an electrophoretic process, and wherein the method further comprises:
elevating a temperature of the photoresist material; and
rinsing the microelectronic workpiece.
37. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of a solder material in an electrolytic process.
38. The method of claim 33 wherein at least one of the processing stations includes a spray station configured to rinse the microelectronic workpieces, and wherein the method further comprises rinsing the first and second micorelectronic workpieces in the spray station.
39. The method of claim 33 wherein the first and second microelectronic workpieces each include a seed layer and wherein directing the application of material to the first and second microelectronic workpieces includes enhancing, repairing or both enhancing and repairing the seed layer.
40. The method of claim 33 wherein the first and second microelectronic workpieces include a seed layer and wherein directing the application of material to the first and second microelectronic workpieces includes disposing a blanket layer on the seed layer.
41. The method of claim 33 wherein at least one of the processing stations includes a material removal station and wherein the method further comprises removing material from the first and second microelectronic workpieces in the material removal station.
42. The method of claim 33 wherein at least one of the processing stations includes a thermal processing station and wherein the method further comprises elevating a temperature of the first and second microelectronic workpieces in the thermal processing station.
43. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of solder to the first and second microelectronic workpieces, and wherein at least one of the processing stations includes a spray station, further wherein the method further comprises:
directing the automatic transfer device to load the first microelectronic workpiece into the spray station to rinse the first microelectronic workpiece after applying the solder to the first microelectronic workpiece; and
manually loading the second microelectronic workpieces into the spray station to rinse the second microelectronic workpieces after applying the solder to the second microelectronic workpieces.
44. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of enhancement material to the first and second microelectronic workpieces, and wherein at least one of the processing stations includes a material removal station, another of the processing stations includes a multi-anode material application station, and yet another of the processing stations includes a thermal processing station, further wherein the method further comprises:
directing the automatic transfer device to load the first microelectronic workpiece into the material removal station to remove material from the first microelectronic workpiece, into the multi-anode material application station to apply a first conductive material to the first microelectronic workpiece, and into the thermal processing station to anneal the first conductive material; and
manually loading the second microelectronic workpieces into the material removal station to remove material from the second microelectronic workpieces, manually loading the second microelectronic workpieces into the multi-anode material application station to apply a second conductive material to the second microelectronic workpieces, and manually loading the second microelectronic workpieces into the thermal processing station to anneal the second conductive material.
45. The method of claim 33 wherein directing the application of material to the first and second microelectronic workpieces includes directing the application of electrophoretic resist material to the first and second microelectronic workpieces, and wherein at least one of the processing stations includes a thermal processing station, and another of the processing stations includes a spray station, further wherein the method further comprises:
directing the automatic transfer device to load the first microelectronic workpiece into the thermal processing station to elevate the temperature of the electrophoretic resist material on the first microelectronic workpiece, then into the spray station to rinse the first microelectronic workpiece; and
manually loading the second microelectronic workpieces into the thermal processing station to elevate the temperature of the electrophoretic resist material on the second microelectronic workpieces, then manually loading the microelectronic workpieces into the spray station to rinse the second microelectronic workpieces.
46. The method of claim 33 wherein manually loading the second microelectronic workpieces includes manually loading the second microelectronic workpieces while the automatic transfer device carries the at least one first microelectronic workpiece.
47. The method of claim 33 wherein directing an automatic transfer device includes directing the automatic transfer device to move the at least one first microelectronic workpiece to, from or both to and from a support of the material application station while the support is in a first transferring position and wherein manually loading a second microelectronic workpiece includes manually transferring the second microelectronic workpiece to the support of the material application station while the support is in a second transferring position spaced apart from the first transferring position.
48. The method of claim 33 wherein manually loading the second microelectronic workpieces includes releasably attaching the second microelectronic workpieces to a wand and moving the wand at least proximate to a support of the material application station.
49. The method of claim 33 wherein manually loading the second microelectronic workpieces includes passing the second microelectronic workpieces over a shield positioned proximate to the automatic transfer device, with the shield at least restricting access to the automatic transfer device.
50. A method for processing microelectronic workpieces, comprising:
directing an automatic transfer device of a processing tool to move at least one first microelectronic workpiece to, from or both to and from a material removal station of the tool, the material removal station being one of a plurality of processing stations of the tool;
directing removal of material from the at least one first microelectronic workpiece in the material removal station; and
for each of the processing stations of the tool, manually loading a second microelectronic workpiece into the processing station and directing processing of each of the manually loaded second microelectronic workpieces in the processing stations, wherein directing processing of the second microelectronic workpieces in the material removal station includes directing the removal material from the second microelectronic workpieces.
51. The method of claim 50 wherein removing material from the first and second microelectronic workpieces includes directing a first fluid toward a first surface of the microelectronic workpieces and directing a second fluid different than the first fluid toward a second surface of the microelectronic workpieces, with the second surface of the microelectronic workpiece facing opposite from the first surface.
52. The method of claim 50 wherein removing material from the first and second microelectronic workpieces includes bevel etching a peripheral region of the first and second microelectronic workpieces.
53. The method of claim 50 wherein removing material from the first and second microelectronic workpieces includes directing a spray of fluid through a plurality of spray nozzles and toward the first and second microelectronic workpieces.
54. A method for processing microelectronic workpieces, comprising:
directing an automatic transfer device of a processing tool to move at least one first microelectronic workpiece to, from or both to and from a thermal processing station of the tool, the thermal processing station being one of a plurality of processing stations of the tool;
directing thermal processing of the at least one first microelectronic workpiece in the thermal processing station; and
for each of the processing stations of the tool, manually loading a second microelectronic workpiece into the processing station and directing processing of each of the manually loaded second microelectronic workpieces in the processing stations, wherein directing thermal processing of the second microelectronic workpieces in the thermal processing station includes directing the application of heat to the second microelectronic workpieces.
55. The method of claim 54 wherein directing thermal processing of the first and second microelectronic workpieces includes annealing a conductive material applied to the first and second microelectronic workpieces.
56. The method of claim 54 wherein directing thermal processing of the first and second microelectronic workpieces includes, for each microelectronic workpiece:
bringing each microelectronic workpiece into thermal contact with a heater;
bringing a first heat sink into thermal contact with the heater to cool the heater and each microelectronic workpiece; and
bringing a second heat sink into thermal contact with the first heat sink to cool the first heat sink.
US10/080,915 2002-02-22 2002-02-22 Apparatus with processing stations for manually and automatically processing microelectronic workpieces Abandoned US20030159921A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/080,915 US20030159921A1 (en) 2002-02-22 2002-02-22 Apparatus with processing stations for manually and automatically processing microelectronic workpieces
PCT/US2003/005063 WO2003072853A2 (en) 2002-02-22 2003-02-21 Apparatus with processing stations for manually and automatically processing microelectronic workpieces
AU2003213148A AU2003213148A1 (en) 2002-02-22 2003-02-21 Apparatus with processing stations for manually and automatically processing microelectronic workpieces
TW92103777A TW200306611A (en) 2002-02-22 2003-02-24 Apparatus with processing stations for manually and automatically processing microelectronic workpieces

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/080,915 US20030159921A1 (en) 2002-02-22 2002-02-22 Apparatus with processing stations for manually and automatically processing microelectronic workpieces

Publications (1)

Publication Number Publication Date
US20030159921A1 true US20030159921A1 (en) 2003-08-28

Family

ID=27752885

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/080,915 Abandoned US20030159921A1 (en) 2002-02-22 2002-02-22 Apparatus with processing stations for manually and automatically processing microelectronic workpieces

Country Status (1)

Country Link
US (1) US20030159921A1 (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030082042A1 (en) * 2001-07-13 2003-05-01 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
US20040206623A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Slim cell platform plumbing
US20050284755A1 (en) * 2004-06-28 2005-12-29 You Wang Substrate support element for an electrochemical plating cell
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070009344A1 (en) * 2004-07-09 2007-01-11 Paul Wirth Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US20070181441A1 (en) * 2005-10-14 2007-08-09 Applied Materials, Inc. Method and apparatus for electropolishing
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US20080181758A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods
US7736913B2 (en) 2006-04-04 2010-06-15 Solopower, Inc. Composition control for photovoltaic thin film manufacturing
US20130086787A1 (en) * 2011-10-06 2013-04-11 Applied Materials, Inc. Electrochemical processor alignment system
WO2018183143A1 (en) * 2017-03-29 2018-10-04 Corning Incorporated Substrate coating apparatus and methods
CN113245214A (en) * 2021-07-14 2021-08-13 苏州鼎纳自动化技术有限公司 Assembly and detection integrated machine and assembly and detection method thereof

Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) * 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) * 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4451197A (en) * 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4495453A (en) * 1981-06-26 1985-01-22 Fujitsu Fanuc Limited System for controlling an industrial robot
US4566847A (en) * 1982-03-01 1986-01-28 Kabushiki Kaisha Daini Seikosha Industrial robot
US4568234A (en) * 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4760671A (en) * 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
US4761214A (en) * 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US4944650A (en) * 1987-11-02 1990-07-31 Mitsubishi Kinzoku Kabushiki Kaisha Apparatus for detecting and centering wafer
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5135636A (en) * 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5138973A (en) * 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5156730A (en) * 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5227041A (en) * 1992-06-12 1993-07-13 Digital Equipment Corporation Dry contact electroplating apparatus
US5232328A (en) * 1991-03-05 1993-08-03 Semitool, Inc. Robot loadable centrifugal semiconductor processor with extendible rotor
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5314294A (en) * 1991-07-31 1994-05-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor substrate transport arm for semiconductor substrate processing apparatus
US5344491A (en) * 1992-01-09 1994-09-06 Nec Corporation Apparatus for metal plating
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
US5460478A (en) * 1992-02-05 1995-10-24 Tokyo Electron Limited Method for processing wafer-shaped substrates
US5509772A (en) * 1992-01-16 1996-04-23 Commissariat A L'energie Atomique System for the handling and confinement of flat objects in individual boxes
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5639206A (en) * 1992-09-17 1997-06-17 Seiko Seiki Kabushiki Kaisha Transferring device
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US5684713A (en) * 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5711646A (en) * 1994-10-07 1998-01-27 Tokyo Electron Limited Substrate transfer apparatus
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US5754842A (en) * 1993-09-17 1998-05-19 Fujitsu Limited Preparation system for automatically preparing and processing a CAD library model
US5759006A (en) * 1995-07-27 1998-06-02 Nitto Denko Corporation Semiconductor wafer loading and unloading apparatus, and semiconductor wafer transport containers for use therewith
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US5765889A (en) * 1995-12-23 1998-06-16 Samsung Electronics Co., Ltd. Wafer transport robot arm for transporting a semiconductor wafer
US5785826A (en) * 1996-12-26 1998-07-28 Digital Matrix Apparatus for electroforming
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US5989397A (en) * 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
US6028986A (en) * 1995-11-10 2000-02-22 Samsung Electronics Co., Ltd. Methods of designing and fabricating intergrated circuits which take into account capacitive loading by the intergrated circuit potting material
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6080691A (en) * 1996-09-06 2000-06-27 Kimberly-Clark Worldwide, Inc. Process for producing high-bulk tissue webs using nonwoven substrates
US6090260A (en) * 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6193859B1 (en) * 1997-11-13 2001-02-27 Novellus Systems, Inc. Electric potential shaping apparatus for holding a semiconductor wafer during electroplating
US6199301B1 (en) * 1997-01-22 2001-03-13 Industrial Automation Services Pty. Ltd. Coating thickness control
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6234738B1 (en) * 1998-04-24 2001-05-22 Mecs Corporation Thin substrate transferring apparatus
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US6270647B1 (en) * 1997-09-30 2001-08-07 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US20010032788A1 (en) * 1999-04-13 2001-10-25 Woodruff Daniel J. Adaptable electrochemical processing chamber
US6309524B1 (en) * 1998-07-10 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6309520B1 (en) * 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US20010043856A1 (en) * 1996-07-15 2001-11-22 Woodruff Daniel J. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US20020008037A1 (en) * 1999-04-13 2002-01-24 Wilson Gregory J. System for electrochemically processing a workpiece
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US20020096508A1 (en) * 2000-12-08 2002-07-25 Weaver Robert A. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US20020102156A1 (en) * 1996-07-15 2002-08-01 Woodruff Daniel J. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US20020125141A1 (en) * 1999-04-13 2002-09-12 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20020139678A1 (en) * 1999-04-13 2002-10-03 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US20030127337A1 (en) * 1999-04-13 2003-07-10 Hanson Kayle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20030141185A1 (en) * 1998-07-10 2003-07-31 Wilson Gregory J. Contact assemblies, methods for making contact assemblies, and machines with contact assemblies for electrochemical processing of microelectronic workpieces
US20030159277A1 (en) * 2002-02-22 2003-08-28 Randy Harris Method and apparatus for manually and automatically processing microelectronic workpieces
US6716330B2 (en) * 2000-10-26 2004-04-06 Ebara Corporation Electroless plating apparatus and method

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) * 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) * 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4304641A (en) * 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4495453A (en) * 1981-06-26 1985-01-22 Fujitsu Fanuc Limited System for controlling an industrial robot
US4566847A (en) * 1982-03-01 1986-01-28 Kabushiki Kaisha Daini Seikosha Industrial robot
US4451197A (en) * 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4568234A (en) * 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
US4648944A (en) * 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4760671A (en) * 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4761214A (en) * 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4670126A (en) * 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5138973A (en) * 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4944650A (en) * 1987-11-02 1990-07-31 Mitsubishi Kinzoku Kabushiki Kaisha Apparatus for detecting and centering wafer
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4902398A (en) * 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5061144A (en) * 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
US5377708A (en) * 1989-03-27 1995-01-03 Semitool, Inc. Multi-station semiconductor processor with volatilization
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5222310A (en) * 1990-05-18 1993-06-29 Semitool, Inc. Single wafer processor with a frame
US5156174A (en) * 1990-05-18 1992-10-20 Semitool, Inc. Single wafer processor with a bowl
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5723028A (en) * 1990-08-01 1998-03-03 Poris; Jaime Electrodeposition apparatus with virtual anode
US5368711A (en) * 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5135636A (en) * 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5232328A (en) * 1991-03-05 1993-08-03 Semitool, Inc. Robot loadable centrifugal semiconductor processor with extendible rotor
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5156730A (en) * 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5314294A (en) * 1991-07-31 1994-05-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor substrate transport arm for semiconductor substrate processing apparatus
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5344491A (en) * 1992-01-09 1994-09-06 Nec Corporation Apparatus for metal plating
US5509772A (en) * 1992-01-16 1996-04-23 Commissariat A L'energie Atomique System for the handling and confinement of flat objects in individual boxes
US5460478A (en) * 1992-02-05 1995-10-24 Tokyo Electron Limited Method for processing wafer-shaped substrates
US5227041A (en) * 1992-06-12 1993-07-13 Digital Equipment Corporation Dry contact electroplating apparatus
US5378145A (en) * 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
US5639206A (en) * 1992-09-17 1997-06-17 Seiko Seiki Kabushiki Kaisha Transferring device
US5684713A (en) * 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5754842A (en) * 1993-09-17 1998-05-19 Fujitsu Limited Preparation system for automatically preparing and processing a CAD library model
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5711646A (en) * 1994-10-07 1998-01-27 Tokyo Electron Limited Substrate transfer apparatus
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US5670034A (en) * 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5759006A (en) * 1995-07-27 1998-06-02 Nitto Denko Corporation Semiconductor wafer loading and unloading apparatus, and semiconductor wafer transport containers for use therewith
US6028986A (en) * 1995-11-10 2000-02-22 Samsung Electronics Co., Ltd. Methods of designing and fabricating intergrated circuits which take into account capacitive loading by the intergrated circuit potting material
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US5765889A (en) * 1995-12-23 1998-06-16 Samsung Electronics Co., Ltd. Wafer transport robot arm for transporting a semiconductor wafer
US5746565A (en) * 1996-01-22 1998-05-05 Integrated Solutions, Inc. Robotic wafer handler
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US20020102156A1 (en) * 1996-07-15 2002-08-01 Woodruff Daniel J. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US20010043856A1 (en) * 1996-07-15 2001-11-22 Woodruff Daniel J. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US5985126A (en) * 1996-07-15 1999-11-16 Semitool, Inc. Semiconductor plating system workpiece support having workpiece engaging electrodes with distal contact part and dielectric cover
US6080691A (en) * 1996-09-06 2000-06-27 Kimberly-Clark Worldwide, Inc. Process for producing high-bulk tissue webs using nonwoven substrates
US5989397A (en) * 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
US5785826A (en) * 1996-12-26 1998-07-28 Digital Matrix Apparatus for electroforming
US6199301B1 (en) * 1997-01-22 2001-03-13 Industrial Automation Services Pty. Ltd. Coating thickness control
US6090260A (en) * 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
US6270647B1 (en) * 1997-09-30 2001-08-07 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6193859B1 (en) * 1997-11-13 2001-02-27 Novellus Systems, Inc. Electric potential shaping apparatus for holding a semiconductor wafer during electroplating
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US6234738B1 (en) * 1998-04-24 2001-05-22 Mecs Corporation Thin substrate transferring apparatus
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6280583B1 (en) * 1998-07-09 2001-08-28 Semitool, Inc. Reactor assembly and method of assembly
US20030141185A1 (en) * 1998-07-10 2003-07-31 Wilson Gregory J. Contact assemblies, methods for making contact assemblies, and machines with contact assemblies for electrochemical processing of microelectronic workpieces
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6309524B1 (en) * 1998-07-10 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6110346A (en) * 1998-07-22 2000-08-29 Novellus Systems, Inc. Method of electroplating semicoductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6309520B1 (en) * 1998-12-07 2001-10-30 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US20020139678A1 (en) * 1999-04-13 2002-10-03 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6569297B2 (en) * 1999-04-13 2003-05-27 Semitool, Inc. Workpiece processor having processing chamber with improved processing fluid flow
US20010032788A1 (en) * 1999-04-13 2001-10-25 Woodruff Daniel J. Adaptable electrochemical processing chamber
US20020032499A1 (en) * 1999-04-13 2002-03-14 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030127337A1 (en) * 1999-04-13 2003-07-10 Hanson Kayle M. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20020125141A1 (en) * 1999-04-13 2002-09-12 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20020008037A1 (en) * 1999-04-13 2002-01-24 Wilson Gregory J. System for electrochemically processing a workpiece
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6322677B1 (en) * 1999-07-12 2001-11-27 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6716330B2 (en) * 2000-10-26 2004-04-06 Ebara Corporation Electroless plating apparatus and method
US20020096508A1 (en) * 2000-12-08 2002-07-25 Weaver Robert A. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US20030159277A1 (en) * 2002-02-22 2003-08-28 Randy Harris Method and apparatus for manually and automatically processing microelectronic workpieces

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030085582A1 (en) * 2001-07-13 2003-05-08 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
US7334826B2 (en) 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US7281741B2 (en) 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US20030082042A1 (en) * 2001-07-13 2003-05-01 Woodruff Daniel J. End-effectors for handling microelectronic workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US20040206623A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Slim cell platform plumbing
US6998337B1 (en) * 2003-12-08 2006-02-14 Advanced Micro Devices, Inc. Thermal annealing for Cu seed layer enhancement
US20050284755A1 (en) * 2004-06-28 2005-12-29 You Wang Substrate support element for an electrochemical plating cell
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US20070009344A1 (en) * 2004-07-09 2007-01-11 Paul Wirth Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US7531060B2 (en) 2004-07-09 2009-05-12 Semitool, Inc. Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20070181441A1 (en) * 2005-10-14 2007-08-09 Applied Materials, Inc. Method and apparatus for electropolishing
US20070227633A1 (en) * 2006-04-04 2007-10-04 Basol Bulent M Composition control for roll-to-roll processed photovoltaic films
US7736913B2 (en) 2006-04-04 2010-06-15 Solopower, Inc. Composition control for photovoltaic thin film manufacturing
US20080181758A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Microfeature workpiece transfer devices with rotational orientation sensors, and associated systems and methods
US20130086787A1 (en) * 2011-10-06 2013-04-11 Applied Materials, Inc. Electrochemical processor alignment system
CN103843116A (en) * 2011-10-06 2014-06-04 应用材料公司 Electrochemical processor alignment system
US8968532B2 (en) * 2011-10-06 2015-03-03 Applied Materials, Inc. Electrochemical processor alignment system
TWI506169B (en) * 2011-10-06 2015-11-01 Applied Materials Inc Electrochemical processor alignment system
WO2018183143A1 (en) * 2017-03-29 2018-10-04 Corning Incorporated Substrate coating apparatus and methods
CN113245214A (en) * 2021-07-14 2021-08-13 苏州鼎纳自动化技术有限公司 Assembly and detection integrated machine and assembly and detection method thereof

Similar Documents

Publication Publication Date Title
US20030159921A1 (en) Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7102763B2 (en) Methods and apparatus for processing microelectronic workpieces using metrology
US6893505B2 (en) Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US6921467B2 (en) Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6660139B1 (en) Plating apparatus and method
KR100707121B1 (en) An apparatus for electrochemically processing a microelectronic workpiece and a method for electroplating a material on a microelectronic workpiece
KR101246838B1 (en) Apparatus for electroless deposition of metals onto semiconductor substrates
US20070199507A1 (en) Apparatus to improve wafer temperature uniformity for face-up wet processing
US20030010449A1 (en) Automatic wafer processing and plating system
US6558750B2 (en) Method of processing and plating planar articles
EP1676295A2 (en) Apparatus to improve wafer temperature uniformity for face-up wet processing
US6524463B2 (en) Method of processing wafers and other planar articles within a processing cell
US7311810B2 (en) Two position anneal chamber
US6991710B2 (en) Apparatus for manually and automatically processing microelectronic workpieces
US7311779B2 (en) Heating apparatus to heat wafers using water and plate with turbolators
US20050173253A1 (en) Method and apparatus for infilm defect reduction for electrochemical copper deposition
US20040013808A1 (en) Apparatus and method for thermally controlled processing of microelectronic workpieces
US20030013285A1 (en) Method of processing and plating wafers and other planar articles
WO2003072853A2 (en) Apparatus with processing stations for manually and automatically processing microelectronic workpieces
TWI739991B (en) An apparatus for electrochemically processing semiconductor substrates
US20030010625A1 (en) Processing cells for wafers and other planar articles
US20220403507A1 (en) Susceptor cleaning
KR102454447B1 (en) Apparatus and Method for treating substrate
US20030010626A1 (en) System for plating planar articles
JP2001319919A (en) Method and apparatus for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMITOOL, INC., MONTANA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HARRIS, RANDY;WOODRUFF, DANIEL J.;REEL/FRAME:012871/0128

Effective date: 20020225

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION