US20030205552A1 - Method of forming a membrane with nanometer scale pores and application to biofiltration - Google Patents

Method of forming a membrane with nanometer scale pores and application to biofiltration Download PDF

Info

Publication number
US20030205552A1
US20030205552A1 US10/439,892 US43989203A US2003205552A1 US 20030205552 A1 US20030205552 A1 US 20030205552A1 US 43989203 A US43989203 A US 43989203A US 2003205552 A1 US2003205552 A1 US 2003205552A1
Authority
US
United States
Prior art keywords
layer
base layer
membrane
etch stop
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/439,892
Inventor
Derek Hansford
Mauro Ferrari
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of California
Original Assignee
University of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of California filed Critical University of California
Priority to US10/439,892 priority Critical patent/US20030205552A1/en
Assigned to THE REGENTS OF THE UNIVERSITY OF CALIFORNIA reassignment THE REGENTS OF THE UNIVERSITY OF CALIFORNIA ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HANSFORD, DEREK J., FERRARI, MAURO
Publication of US20030205552A1 publication Critical patent/US20030205552A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/022Metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0053Inorganic membrane manufacture by inducing porosity into non porous precursor membranes
    • B01D67/0058Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by selective elimination of components, e.g. by leaching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0053Inorganic membrane manufacture by inducing porosity into non porous precursor membranes
    • B01D67/006Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by elimination of segments of the precursor, e.g. nucleation-track membranes, lithography or laser methods
    • B01D67/0062Inorganic membrane manufacture by inducing porosity into non porous precursor membranes by elimination of segments of the precursor, e.g. nucleation-track membranes, lithography or laser methods by micromachining techniques, e.g. using masking and etching steps, photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D67/00Processes specially adapted for manufacturing semi-permeable membranes for separation processes or apparatus
    • B01D67/0039Inorganic membrane manufacture
    • B01D67/0072Inorganic membrane manufacture by deposition from the gaseous phase, e.g. sputtering, CVD, PVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D69/00Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor
    • B01D69/02Semi-permeable membranes for separation processes or apparatus characterised by their form, structure or properties; Manufacturing processes specially adapted therefor characterised by their properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D71/00Semi-permeable membranes for separation processes or apparatus characterised by the material; Manufacturing processes specially adapted therefor
    • B01D71/02Inorganic material
    • B01D71/0215Silicon carbide; Silicon nitride; Silicon oxycarbide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/02Details relating to pores or porosity of the membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/02Details relating to pores or porosity of the membranes
    • B01D2325/0283Pore size
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/04Characteristic thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2325/00Details relating to properties of membranes
    • B01D2325/08Patterned membranes

Definitions

  • This invention relates generally to the field of membranes having nanometer scale pores that can be used in biofiltration and bioseparation applications. More particularly, this invention relates to the formation of such membranes with microfabrication techniques.
  • BIOMEMs Bological MicroElectroMechanical devices
  • MEMs structures can be fabricated with spatial features having typical dimensions ranging from the sub-micron range up to several millimeters.
  • These multi-scale structures correspond well with hierarchical biological structures, from proteins and sub-cellular organelles to tissues and organs. This structural hierarchical correlation between biological structures and fabricated structures allows scientists to investigate biological structures on their respective size scales and interact in more appropriate and responsive manners to the structures within the body and within biological fluids.
  • nanoscale nanometer scale
  • the invention provides a method of forming a membrane having nanometer scale pores therein.
  • An etch stop layer is formed on a substrate and a base layer is then formed on the etch stop layer.
  • a silicon nitride etch stop layer is formed on a silicon substrate and the base layer is a thermally grown oxide layer.
  • Micron scale holes are etched through the base layer and, advantageously, partially through the underlying etch stop layer. That is, holes are etched completely through the base layer and, perhaps into, but not completely through, the etch stop layer.
  • a sacrificial base layer is formed on the base layer and lining the holes. Removal of the sacrificial base layer will form the nanometer scale pores. Thus, the thickness of the sacrificial base layer determines the lateral extent of the pores and should be accurately and carefully controlled for uniformity of pore size.
  • a thermally grown oxide is advantageously used as the sacrificial base layer.
  • a plug layer is then formed on the base layer, on the sacrificial base layer and filling the holes in those regions unoccupied by sacrificial base layer.
  • Polysilicon is advantageously deposited as the plug layer.
  • the plug layer is planarized (and optionally polished), followed by the creation of an aperture in the backside of the wafer.
  • Protective layers are typically used on both sides of the wafer to protect the plug and sacrificial base layers during aperture formation, then removed. Release of the etch stop layer and the sacrificial base layers results in a membrane having pores therein with lateral dimensions determined by the thickness of the sacrificial base layer, typically less than about 50 nm.
  • the pores fabricated in membranes pursuant to embodiments of the present invention traverse the membrane directly, without bends or right angle turns, thereby reducing the tendency to clog or foul during biofiltration or bioseparation.
  • the present techniques do not use doping (typically boron doping) of any layer to produce etching selectivity. Absence of doping typically results in better dimensional control and fewer mechanical stresses within the membrane.
  • Membranes produced pursuant to embodiments of the present invention are capable of achieving a glucose diffusion test result of at least 1 mg/dl and an albumin diffusion test result of at most 0.1 g/dl. Further, the present membranes exhibit no significant biofouling or agglomeration of protein in the pores, in contrast to commercially available membranes.
  • FIG. 1 depicts in cross sectional view a substrate with an etch stop layer formed thereon.
  • FIG. 2 depicts in cross sectional view a base layer formed on the etch stop layer of FIG. 1.
  • FIG. 3 depicts in cross sectional view micrometer scale holes etched through the base layer of FIG. 2 and partially through the etch stop layer.
  • FIG. 4 depicts in cross sectional view the formation of a sacrificial base layer on the structure of FIG. 3.
  • FIG. 5 depicts in cross sectional view anchor points formed in the sacrificial base layer of FIG. 4.
  • FIG. 6 depicts in cross sectional view a plug layer formed on top of and filling the micrometer scale holes of FIG. 5.
  • FIG. 7 depicts in cross sectional view the plug layer of FIG. 6 after planarization.
  • FIG. 8A depicts in cross sectional view a protective layer formed on both sides of the wafer of FIG. 7.
  • FIG. 8B depicts in cross sectional view the result of patterning and etching an aperture in the substrate of FIG. 8A.
  • FIG. 9A depicts in cross sectional view the result of removing the protective layers from both sides of the wafer of FIG. 8B.
  • FIG. 9B depicts in cross sectional view a membrane having nanometer scale pores therein following release of the exposed etch stop layer and sacrificial base layer of FIG. 9B.
  • FIG. 10 summarizes typical processing steps used in fabrication of the structures depicted in FIGS. 1 - 9 B.
  • FIG. 11 depicts in schematic, cut-away view a device used to test the membrane of the invention.
  • FIG. 12 is a graphical comparison of glucose diffusion through three different nanopore membranes, WHATMAN ( ⁇ ), MILLIPORE (bare line) and the micromachined nanopore membrane of the invention ( ⁇ )
  • FIG. 13 is a graphical comparison of the diffusion of glucose and albumin through a typical nanopore membrane of the invention.
  • FIG. 14 is a graphical comparison of glucose diffusion through a typical nanopore membrane of the invention incubated in pure glucose and mixed glucose/albumin solutions.
  • FIG. 15 is a graphical comparison of diffusion through MILLIPORE membranes incubated in pure glucose and mixed glucose/albumin solutions
  • FIG. 16 compares albumin diffusion through WHATMAN filters, MILLIPORE filters and a typical micromachined nanopore filter of the invention.
  • FIG. 1 depicts in cross sectional view (not to scale) a typical substrate 20 having an etch stop layer 22 thereon.
  • etch stop layer 22 thereon.
  • the techniques described herein can also be employed in connection with the fabrication of porous membranes deriving from other materials.
  • such other materials are advantageously selected to be biocompatible, such as metals (e.g., titanium), ceramics (e.g., silica, silicon nitride, polymers (e.g., polytetrafluoroethylene, polymethylmethacrylate, polystryenes, silicones), among others.
  • Substrate 20 is typically a silicon wafer. Since the etch stop layer 22 is typically thin, it is advantageous in some embodiments to etch support ridges in the surface of substrate 20 before depositing the etch stop layer 22 on top of the support ridges. When used, such support ridges (not depicted in FIG. 1) occur between the substrate 20 and etch stop layer 22 . The support ridges help provide mechanical rigidity to the subsequently formed membrane structure.
  • Etch stop layer 22 is employed in dual roles as an etch stop layer that is subsequently removed (or sacrificed) to expose the nanometer scale pores of the membrane. It thus functions as a sacrificial etch stop layer, buried beneath subsequent layers and may be precisely referred to as a “buried sacrificial etch stop layer.” However, for economy of language, we refer to layer 22 herein simply as an etch stop layer, understanding thereby that multiple functions are performed.
  • etch stop layer 22 is low stress silicon nitride (“nitride”) typically deposited on substrate 20 by means of low pressure chemical vapor deposition.
  • nitride typically deposited on substrate 20 by means of low pressure chemical vapor deposition.
  • etch stop layer 22 Several advantages of the present invention derive from the multiple functions performed by etch stop layer 22 .
  • the buried, sacrificial nature of the etch stop layer facilitates three-dimensional control of the pore structure.
  • Prior art techniques typically endeavor to control pore structure by balancing the etching of two different layers.
  • the buried, sacrificial etch stop techniques employed in various embodiments of the present invention facilitate the formation of pores less than approximately 50 nm. Moreover, these pores can be uniformly formed across the entire wafer.
  • Techniques employed in various embodiments of the present invention achieve etching selectivity without the use of diffused boron, or other doping material.
  • Diffused boron used as an etch stop typically results in an imprecise membrane depth due largely to the imprecise geometrical properties of diffusion during various processing steps occurring at elevated temperatures. Further, diffused boron introduces mechanical stresses into the completed membrane, advantageously avoided when boron diffusion is unnecessary.
  • the buried, sacrificial etch stop layer employed in various embodiments of the present invention can also provide improved etching selectivity. That is, the etch stop layer is etched only negligibly by the KOH etchant typically employed. In contrast, boron-doped materials are typically etched to a rather greater extent by KOH etchant.
  • base layer 24 is deposited, as depicted in FIG. 2.
  • base layer 5 ⁇ m of polysilicon is used as the base layer.
  • low stress silicon nitride may be used as the base layer, in which case it operates as its own etch stop layer.
  • Holes 26 are then patterned and etched in base layer 24 to define the shape of the pores in the final membrane (FIG. 3).
  • the shape of holes 26 can be determined by masking techniques known in the art.
  • the holes 26 may be etched through the polysilicon base layer 24 by means of a chlorine plasma, with a thermally grown oxide layer used as a mask.
  • Masking and production of holes 26 follow techniques known in the art and, thus, these steps are not discussed in detail herein.
  • Holes 26 typically have lateral dimensions of the order of ⁇ m, readily achievable with known techniques of microfabrication.
  • Nitride etch stop layer 22 typically acts as an etch stop layer for the plasma etching of polysilicon base layer 24 such that complete punch-through of layer 22 is avoided.
  • Complete punch-through is disadvantageous in that material filling holes 26 would not then be shielded from backside etching (typically with KOH etchant) applied from the substrate side of the membrane structure. Therefore, control of hole etching to avoid punch-through is desired.
  • a sacrificial base layer is grown on base layer 24 , and depicted as 28 in FIG. 4.
  • Sacrificial base layer 28 is used to define the nanometer scale pores in the final membrane, so controlling the growth of this layer is an important factor in producing membranes with reproducible pore sizes.
  • a sacrificial silicon oxide layer for the sacrificial base layer 28 .
  • the sacrificial silicon oxide is grown by thermal oxidation of the base layer 24 , typically employing a growth temperature of between approximately 8500 to 9500 for approximately one hour with an annealing step of approximately ten minutes.
  • sacrificial base layer 28 Other techniques can be used to form the sacrificial base layer 28 .
  • a thermally evaporated tungsten film can be used as a sacrificial base layer in connection with the fabrication of polymer membranes, and selectively removed with hydrogen peroxide.
  • the primary characteristic of the sacrificial base layer 28 is the ability to control its thickness with high precision and high uniformity across the entire wafer, and hence across the entire membrane.
  • a variable thickness occurring in layer 28 typically leads to varying pore openings in the final membrane.
  • a suboptimal membrane is the result, having at least some large pores capable of passing larger than desired materials.
  • Thermal oxidation of polysilicon and nitride layers allows the thickness of sacrificial base layer 28 to be controlled to a precision of approximately 5% across the entire wafer. Limitations on this thickness control typically arise from local inhomogeneities in the base layer, such as variations of the initial thickness of the native oxide (especially for polysilicon), the grain size or density, and the impurity concentration.
  • a layer of material (“plug layer” or “plug material”) is to be deposited and fill holes 26 in preparation for removal of sacrificial base layer 28 and the formation of nanometer pores.
  • plug layer or “plug material”
  • anchor points or anchor openings 30 in sacrificial base layer 28 This is conveniently accomplished pursuant to some embodiments of the present invention by making use of the same mask used to define holes 26 shifted diagonally by approximately 1 ⁇ m. This produces anchor points in one or two corners of each hole, thereby providing the desired mechanical connection between structural layers.
  • a plug layer 32 is then deposited to fill holes 26 as well as coat the regions between holes, as depicted in FIG. 6. It is convenient to use approximately 1.5 ⁇ m of polysilicon for the plug layer 32 pursuant to some embodiments of the present invention. Plug layer 32 is then planarized to the level of base layer 24 as depicted in FIG. 7.
  • the method of planarization depends upon the material used as plug material.
  • material used as plug material For realtively hard microfabrication materials (such as polysilicon and nitride), chemical mechanical planarization is a convenient method.
  • Other materials could be planarized by means of a plasma etch, typically including a quick, wet chemical smoothing or polishing.
  • Plasma etching has the potential advantage that it may be feasible to select a plasma and etching conditions such that the base layer 24 is not affected.
  • plasma etching has the potential disadvantage of the need for controlled etch timing to avoid deleterious etching of the plug material 32 residing in holes 26 .
  • the portion of the membrane containing nanometer scale pores will derive from structure 40 in FIG. 7. At this point, it is convenient to etch the lower (backside) portion of substrate 20 to provide backside access to the membrane. To protect the upper (frontside) of the membrane during backside etch, it is convenient to apply a protective layer 34 to both the frontside of the wafer 34 f and the backside of the wafer 34 b , as depicted in FIG. 8. Protective layer 34 should be impervious or substantially undamaged by the etchant used to etch the silicon substrate 20 such that, during etching of substrate 20 , protective layer 34 f on the frontside of the membrane protects membrane structure 40 from significant damage.
  • nitride For silicon etching by means of KOH with polysilicon and nitride layers it is found that a thin layer of nitride is conveniently used as protective layer 34 , since nitride is insignificantly etched by KOH and only slowly dissolves in HF.
  • silicone is conveniently used as a protective layer since the temperature of nitride deposition (typically around 835° C.) renders it impractical for use with polymers.
  • a backside etch window is patterned and etched in protective layer 34 b , exposing the silicon substrate 20 in desired areas. Silicon substrate 20 is then etched, typically by placing the entire structure in a KOH bath at a temperature of approximately 80° C. for sufficient time for the silicon substrate 20 to etch up to the level of etch stop layer 22 (as evidenced by the smooth etch stop layer 22 ).
  • FIG. 8B depicts the aperture 36 thereby created in substrate 20 .
  • Protective layer 34 is now removed from both frontside and backside, resulting in the structure depicted in FIG. 9A.
  • another characteristic desired of protective layer 34 is that it be removable without causing significant damage to the underlying membrane or other structures, resulting in a substantially undisturbed membrane as depicted in FIG. 9A.
  • each hole 26 now contains one pore defined by the thickness of sacrificial base layer 28 .
  • the pores thus having lateral dimensions in the range of nanometers are depicted by 41 in FIG. 9B.
  • FIG. 10 summarizes the foregoing processing steps: Form an etch stop layer on a substrate (step 50 ). Form a base layer on the etch stop layer (step 52 ). Etch micrometer scale holes through the base layer and, advantageously, partially into the etch stop layer (step 54 ). Form a sacrificial base layer on the base layer and lining the micrometer scale holes (step 56 ). Pattern anchor points in the sacrificial base layer (step 58 ). Form a plug layer on the base layer and filling the micrometer scale holes (step 60 ) and planarize substantially to the level of the base layer (step 62 ).
  • Protective layers are formed on both the frontside and backside of the wafer (step 64 ) followed by patterning and etching of the backside protective layer and underlying substrate to form an aperture (step 66 ).
  • the protective layers are released or otherwise removed, advantageously accomplished in such manner as to cause no significant deleterious changes to other materials or structures (step 68 ).
  • the etch stop layer is removed (in the region exposed by the aperture) and the sacrificial base layer is also removed from the micrometer scale holes, creating thereby nanometer scale pores (step 70 ).
  • a membrane 40 (with 24.5 nanometer pore size +/ ⁇ 0.9 nm) of the invention was compared with porous alumina (i.e., a WHATMAN ANODISC membrane with a pore size of 20 nm) and a mixed cellulose acetate and nitrate membrane (i.e., a MILLIPORE ISOPORE with a pore size of 25 nm). All membranes were examined in vitro by measuring relative concentrations of glucose on both sides of the microfabricated interface over time, using a mini diffusion chamber constructed around the membranes, as shown in FIG. 11.
  • FIG. 11 depicts a chamber 80 with a first compartment 82 and a second compartment 84 with fixed volumes of approximately 2 ml. Sampling ports 86 are provided in each compartment. The compartments are at least partially separated by the membrane under consideration 90 . Advantageously, the two compartments are sealed with O-rings and are screwed together.
  • Glucose is measured on either side of the membrane 90 in the diffusion chamber by means of a quantitative enzymatic assay (e.g., TRINDER, SIGMA) and calorimetric reading via a spectrophotometer.
  • a quantitative enzymatic assay e.g., TRINDER, SIGMA
  • Samples of approximately 0.1 ml were taken from the diffusion chamber and approximately 10 ⁇ l of that sample were added to approximately 3 ml of glucose reagent in a cuvette, and were mixed gently by inversion. Each tube was incubated for approximately 18 minutes at room temperature and then readings were taken at a wavelength of 505 nm.
  • the reagent is linear up to approximately 750 mg/dl.
  • the diffusion chamber itself was attached to a motor for stirring in order to minimize boundary layer effects (diffusion resistance at the liquid/membrane interface).
  • the receptor cell was first filled with phosphate buffer saline for about fifteen minutes before the filling of the donor cell.
  • the donor cell was filled with solutions of glucose in phosphate buffer saline in varying concentrations. These tests were carried out at 37° C.
  • FIGS. 12 - 15 depict the results of these tests. The results demonstrate that glucose concentration increases and begins to plateau at about 330 minutes.
  • FIG. 12 shows the diffusion of glucose from a pure glucose solution and a mixed solution of glucose and albumin through 24.5 nm pore-sized silicon membranes.
  • FIG. 13 shows that no detectable amounts of albumin diffuse through the microfabricated membrane. The same membrane, however, shows glucose diffusion. The microfabricated membranes are able to achieve complete exclusion of albumin (to within the limits of detection), while allowing glucose diffusion.
  • the foregoing results illustrate glucose diffusion test results of at least 1 mg/dl in 330 minutes.
  • the membrane has an albumin diffusion test result of at most 0.1 g/dl in 330 minutes.
  • the microfabricated silicon membranes of the invention were characterized in terms of glucose diffusion, albumin exclusion and stability in biological environments. Results indicated that glucose does indeed diffuse through the microfabricated membranes at a rate comparable to commercially available membranes. At the same time, albumin is excluded from passage. In a mixed solution of glucose and albumin, it has been shown that only glucose diffuses through the membranes. Although several membranes, such as those by WHATMAN and MILLIPORE are available for absolute filtration, these membranes do not have all the desired membrane properties, such as stability, bio-compatibility, and well-controlled perm-selectivity.
  • the filter technology of the invention alleviates several of the problems associated with current commercially available separation membranes.
  • membranes can be fabricated with sufficient precision to guarantee high pore uniformity in sub-micron dimensions.
  • the thickness of the thermally grown oxide can be controlled to +/ ⁇ 1 nm for nominal pore sizes as small as approximately 18 nm. This is the size range needed to obtain absolute protein exclusion and glucose diffusion for biosensor applications.
  • this filter technology can bring in the added advantages of stability, minimal protein adsorption through established silicon surface modification techniques, reusability, and sterilizability.

Abstract

A method of forming a membrane having nanometer scale pores includes forming an etch stop layer on a substrate and forming a base layer on the etch stop layer. Advantageously, a silicon nitride etch stop layer is formed on a silicon substrate and the base layer is a thermally grown oxide layer. Micron scale holes are etched through the base layer and, advantageously, partially through the underlying etch stop layer. A sacrificial base layer of controlled thickness is formed on the base layer and lining the holes. A thermally grown oxide is advantageously used as the sacrificial base layer. A plug layer is then formed on the base layer, on the sacrificial base layer and filling the holes. Polysilicon is advantageously used as the plug layer. The plug layer is planarized followed by the creation of an aperture in the backside of the wafer. Release of the etch stop layer and the sacrificial base layer results in a membrane having pores therein with lateral dimensions determined by the thickness of the sacrificial base layer, typically less than about 50 nm. Such membranes are shown to be favorably used in biofiltration and bioseparation applications.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 09/715,840, filed Nov. 17, 2000 which derives from provisional patent application No. 60/166,049 filed Nov. 17, 1999, the entire disclosures of which are incorporated herein by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of Invention [0002]
  • This invention relates generally to the field of membranes having nanometer scale pores that can be used in biofiltration and bioseparation applications. More particularly, this invention relates to the formation of such membranes with microfabrication techniques. [0003]
  • 2. Description of the Prior Art [0004]
  • There is a revolution occurring in biological research with emphasis rapidly shifting towards the view of biology in terms of complex physical and chemical interactions. Interdisciplinary research between engineers, biologists, physicists, and clinicians is becoming prevalent. A rapidly developing field of research is the use of microfabrication to make mechanically, electrically, and/or chemically interactive structures for biological research and applications, known collectively as BIOMEMs (BIOlogical MicroElectroMechanical devices). By using microfabrication techniques derived from techniques developed to process semiconductors, MEMs structures can be fabricated with spatial features having typical dimensions ranging from the sub-micron range up to several millimeters. These multi-scale structures correspond well with hierarchical biological structures, from proteins and sub-cellular organelles to tissues and organs. This structural hierarchical correlation between biological structures and fabricated structures allows scientists to investigate biological structures on their respective size scales and interact in more appropriate and responsive manners to the structures within the body and within biological fluids. [0005]
  • It would be desirable to use standard microlithography to produce structures that can be used for basic biological research, diagnostic, and therapeutic applications. However, conventional lithographic techniques have feature size limitations that prevent their use for fabricating structures that can physically interact with molecules of biological interest, such as proteins, nucleotides, and various physiological nutrients. To interact directly with these molecules, features must be fabricated with typical sizes less than approximately 50 nm (nm=nanometer=10[0006] −9 meter), which is not projected to be attainable by state of the art lithography until the year 2008. Furthermore, because of the fabrication techniques typically used for MEMS structures, and the potential for contamination they introduce, state of the art equipment will typically not be used to fabricate structures intended for biological applications, leading to a further delay in the fabrication of direct interaction structures.
  • An example of structures having several uses in biological and other research fields is a membrane having nanometer scale (“nanoscale”) pores therein that would be useful for biofiltrations, separations, and other purposes. In particular, a uniform size distribution of pore openings without the presence of over-sized pores, would prevent even small amounts of undesirably large material from passing through the membrane. [0007]
  • Previous techniques to fabricate membranes having nanoscale pores (or “nanopore membranes”) include the work of Chu et al (U.S. Pat. No. 5,770,076), the work done by the IBM Corporation (“Process for Producing a Precision Filter”, IBM Technical Disclosure Bulletin, Vol. 32, No. 4A, September 1989), among others. However, these approaches typically include one or more disadvantages. Typically, boron or other doping is used to produce etching selectivity among various elements of the structure that, when selectively etched, produce the nanoscale pores. However, boron doping can be subject to imprecise diffusion and, hence, imprecise geometric control of the membrane structure. Boron doping can also introduce undesirable mechanical stress into the membrane. Fabrication techniques described herein produce nanoscale pores without the necessity of doping with boron or any other dopant. [0008]
  • Other membranes having nanoscale pores are fabricated having angled paths through the membrane, including right angle turns as in the filters of Chu et al (supra). While such pores can be useful for many practical applications, the introduction of turns and curves increases the likelihood of fouling or clogging by the filtered material. Thus, a direct path for the nanoscale pores through the membrane is desired, and such paths are produced by the techniques described herein. [0009]
  • In view of the foregoing, a need exists in the art for a technique for fabricating membranes with features less than approximately 50 nm, without doping and including direct paths through the membrane. Ideally, such a technique would rely upon standard lithography processing techniques and would yield a device that is compatible with biological research, diagnostic, and therapeutic applications. [0010]
  • SUMMARY OF THE INVENTION
  • Accordingly and advantageously, the invention provides a method of forming a membrane having nanometer scale pores therein. An etch stop layer is formed on a substrate and a base layer is then formed on the etch stop layer. Advantageously, pursuant to some embodiments of the invention, a silicon nitride etch stop layer is formed on a silicon substrate and the base layer is a thermally grown oxide layer. Micron scale holes are etched through the base layer and, advantageously, partially through the underlying etch stop layer. That is, holes are etched completely through the base layer and, perhaps into, but not completely through, the etch stop layer. [0011]
  • A sacrificial base layer is formed on the base layer and lining the holes. Removal of the sacrificial base layer will form the nanometer scale pores. Thus, the thickness of the sacrificial base layer determines the lateral extent of the pores and should be accurately and carefully controlled for uniformity of pore size. A thermally grown oxide is advantageously used as the sacrificial base layer. [0012]
  • A plug layer is then formed on the base layer, on the sacrificial base layer and filling the holes in those regions unoccupied by sacrificial base layer. Polysilicon is advantageously deposited as the plug layer. [0013]
  • The plug layer is planarized (and optionally polished), followed by the creation of an aperture in the backside of the wafer. Protective layers are typically used on both sides of the wafer to protect the plug and sacrificial base layers during aperture formation, then removed. Release of the etch stop layer and the sacrificial base layers results in a membrane having pores therein with lateral dimensions determined by the thickness of the sacrificial base layer, typically less than about 50 nm. The pores fabricated in membranes pursuant to embodiments of the present invention traverse the membrane directly, without bends or right angle turns, thereby reducing the tendency to clog or foul during biofiltration or bioseparation. [0014]
  • Unlike many prior art procedures for the fabrication of nanopore filters, the present techniques do not use doping (typically boron doping) of any layer to produce etching selectivity. Absence of doping typically results in better dimensional control and fewer mechanical stresses within the membrane. [0015]
  • Membranes produced pursuant to embodiments of the present invention are capable of achieving a glucose diffusion test result of at least 1 mg/dl and an albumin diffusion test result of at most 0.1 g/dl. Further, the present membranes exhibit no significant biofouling or agglomeration of protein in the pores, in contrast to commercially available membranes. [0016]
  • These and other advantages are achieved in accordance with the present invention as described in detail below.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings are not to scale and the relative dimensions of various elements in the drawings are depicted schematically and not to scale. [0018]
  • The techniques of the present invention can readily be understood by considering the following detailed description in conjunction with the accompanying drawings, in which: [0019]
  • FIG. 1 depicts in cross sectional view a substrate with an etch stop layer formed thereon. [0020]
  • FIG. 2 depicts in cross sectional view a base layer formed on the etch stop layer of FIG. 1. [0021]
  • FIG. 3 depicts in cross sectional view micrometer scale holes etched through the base layer of FIG. 2 and partially through the etch stop layer. [0022]
  • FIG. 4 depicts in cross sectional view the formation of a sacrificial base layer on the structure of FIG. 3. [0023]
  • FIG. 5 depicts in cross sectional view anchor points formed in the sacrificial base layer of FIG. 4. [0024]
  • FIG. 6 depicts in cross sectional view a plug layer formed on top of and filling the micrometer scale holes of FIG. 5. [0025]
  • FIG. 7 depicts in cross sectional view the plug layer of FIG. 6 after planarization. [0026]
  • FIG. 8A depicts in cross sectional view a protective layer formed on both sides of the wafer of FIG. 7. [0027]
  • FIG. 8B depicts in cross sectional view the result of patterning and etching an aperture in the substrate of FIG. 8A. [0028]
  • FIG. 9A depicts in cross sectional view the result of removing the protective layers from both sides of the wafer of FIG. 8B. [0029]
  • FIG. 9B depicts in cross sectional view a membrane having nanometer scale pores therein following release of the exposed etch stop layer and sacrificial base layer of FIG. 9B. [0030]
  • FIG. 10 summarizes typical processing steps used in fabrication of the structures depicted in FIGS. [0031] 1-9B.
  • FIG. 11 depicts in schematic, cut-away view a device used to test the membrane of the invention. [0032]
  • FIG. 12 is a graphical comparison of glucose diffusion through three different nanopore membranes, WHATMAN (♦), MILLIPORE (bare line) and the micromachined nanopore membrane of the invention (▪) [0033]
  • FIG. 13 is a graphical comparison of the diffusion of glucose and albumin through a typical nanopore membrane of the invention. [0034]
  • FIG. 14 is a graphical comparison of glucose diffusion through a typical nanopore membrane of the invention incubated in pure glucose and mixed glucose/albumin solutions. [0035]
  • FIG. 15 is a graphical comparison of diffusion through MILLIPORE membranes incubated in pure glucose and mixed glucose/albumin solutions [0036]
  • FIG. 16 compares albumin diffusion through WHATMAN filters, MILLIPORE filters and a typical micromachined nanopore filter of the invention.[0037]
  • DETAILED DESCRIPTION
  • After considering the following description, those skilled in the art will clearly realize that the teachings of the invention can be readily utilized in the fabrication of porous membranes having nanometer scale pores therein (also referred to herein as “micromachined” or “microfabricated” membranes), and the use of such membranes for filtration and separation. Typical procedures for fabrication of micromachined membranes pursuant to some embodiments of the present invention are illustrated in the accompanying figures. [0038]
  • FIG. 1 depicts in cross sectional view (not to scale) a [0039] typical substrate 20 having an etch stop layer 22 thereon. To be concrete in our description, we describe the detailed processing steps advantageously employed pursuant to some embodiments of the present invention for the fabrication of porous membranes deriving from silicon-based structures. However, the techniques described herein can also be employed in connection with the fabrication of porous membranes deriving from other materials. For biofiltration or separation, such other materials are advantageously selected to be biocompatible, such as metals (e.g., titanium), ceramics (e.g., silica, silicon nitride, polymers (e.g., polytetrafluoroethylene, polymethylmethacrylate, polystryenes, silicones), among others.
  • [0040] Substrate 20 is typically a silicon wafer. Since the etch stop layer 22 is typically thin, it is advantageous in some embodiments to etch support ridges in the surface of substrate 20 before depositing the etch stop layer 22 on top of the support ridges. When used, such support ridges (not depicted in FIG. 1) occur between the substrate 20 and etch stop layer 22. The support ridges help provide mechanical rigidity to the subsequently formed membrane structure.
  • [0041] Etch stop layer 22 is employed in dual roles as an etch stop layer that is subsequently removed (or sacrificed) to expose the nanometer scale pores of the membrane. It thus functions as a sacrificial etch stop layer, buried beneath subsequent layers and may be precisely referred to as a “buried sacrificial etch stop layer.” However, for economy of language, we refer to layer 22 herein simply as an etch stop layer, understanding thereby that multiple functions are performed.
  • Advantageously, pursuant to some embodiments of the present invention, [0042] etch stop layer 22 is low stress silicon nitride (“nitride”) typically deposited on substrate 20 by means of low pressure chemical vapor deposition. In some embodiments, the nitride etch stop layer has a thickness of approximately 0.4 μm [μm=micrometer (micron)=10−6 meter].
  • Several advantages of the present invention derive from the multiple functions performed by [0043] etch stop layer 22. For example, the buried, sacrificial nature of the etch stop layer facilitates three-dimensional control of the pore structure. Prior art techniques typically endeavor to control pore structure by balancing the etching of two different layers. The buried, sacrificial etch stop techniques employed in various embodiments of the present invention facilitate the formation of pores less than approximately 50 nm. Moreover, these pores can be uniformly formed across the entire wafer.
  • Techniques employed in various embodiments of the present invention achieve etching selectivity without the use of diffused boron, or other doping material. Diffused boron used as an etch stop typically results in an imprecise membrane depth due largely to the imprecise geometrical properties of diffusion during various processing steps occurring at elevated temperatures. Further, diffused boron introduces mechanical stresses into the completed membrane, advantageously avoided when boron diffusion is unnecessary. [0044]
  • The buried, sacrificial etch stop layer employed in various embodiments of the present invention can also provide improved etching selectivity. That is, the etch stop layer is etched only negligibly by the KOH etchant typically employed. In contrast, boron-doped materials are typically etched to a rather greater extent by KOH etchant. [0045]
  • Following deposition of the [0046] etch stop layer 22, a base structural layer (“base layer”) 24 is deposited, as depicted in FIG. 2. In one embodiment, 5 μm of polysilicon is used as the base layer. In other embodiments, low stress silicon nitride may be used as the base layer, in which case it operates as its own etch stop layer.
  • Holes [0047] 26 are then patterned and etched in base layer 24 to define the shape of the pores in the final membrane (FIG. 3). The shape of holes 26 can be determined by masking techniques known in the art. For example, the holes 26 may be etched through the polysilicon base layer 24 by means of a chlorine plasma, with a thermally grown oxide layer used as a mask. Masking and production of holes 26 follow techniques known in the art and, thus, these steps are not discussed in detail herein. Holes 26 typically have lateral dimensions of the order of μm, readily achievable with known techniques of microfabrication.
  • Holes [0048] 26 should completely penetrate base layer 24. Therefore, it is advantageous to employ a 10%-15% over-etch of base layer 24, as depicted in FIG. 3. Nitride etch stop layer 22 typically acts as an etch stop layer for the plasma etching of polysilicon base layer 24 such that complete punch-through of layer 22 is avoided. Complete punch-through is disadvantageous in that material filling holes 26 would not then be shielded from backside etching (typically with KOH etchant) applied from the substrate side of the membrane structure. Therefore, control of hole etching to avoid punch-through is desired.
  • A sacrificial base layer is grown on [0049] base layer 24, and depicted as 28 in FIG. 4. Sacrificial base layer 28 is used to define the nanometer scale pores in the final membrane, so controlling the growth of this layer is an important factor in producing membranes with reproducible pore sizes. For polysilicon base layers, it is convenient to use a sacrificial silicon oxide layer for the sacrificial base layer 28. Typically, the sacrificial silicon oxide is grown by thermal oxidation of the base layer 24, typically employing a growth temperature of between approximately 8500 to 9500 for approximately one hour with an annealing step of approximately ten minutes.
  • Other techniques can be used to form the [0050] sacrificial base layer 28. For example, a thermally evaporated tungsten film can be used as a sacrificial base layer in connection with the fabrication of polymer membranes, and selectively removed with hydrogen peroxide. The primary characteristic of the sacrificial base layer 28 is the ability to control its thickness with high precision and high uniformity across the entire wafer, and hence across the entire membrane. A variable thickness occurring in layer 28 typically leads to varying pore openings in the final membrane. Hence, a suboptimal membrane is the result, having at least some large pores capable of passing larger than desired materials.
  • Thermal oxidation of polysilicon and nitride layers allows the thickness of [0051] sacrificial base layer 28 to be controlled to a precision of approximately 5% across the entire wafer. Limitations on this thickness control typically arise from local inhomogeneities in the base layer, such as variations of the initial thickness of the native oxide (especially for polysilicon), the grain size or density, and the impurity concentration.
  • A layer of material (“plug layer” or “plug material”) is to be deposited and fill [0052] holes 26 in preparation for removal of sacrificial base layer 28 and the formation of nanometer pores. However, it is advantageous in some embodiments of the present invention to provide for direct contact between the plug material and the base layer 24 to help maintain pore spacing between layers, improve adhesion and mechanical strength, among other purposes. Thus, it is convenient to etch anchor points or anchor openings 30 in sacrificial base layer 28. This is conveniently accomplished pursuant to some embodiments of the present invention by making use of the same mask used to define holes 26 shifted diagonally by approximately 1 μm. This produces anchor points in one or two corners of each hole, thereby providing the desired mechanical connection between structural layers.
  • A [0053] plug layer 32 is then deposited to fill holes 26 as well as coat the regions between holes, as depicted in FIG. 6. It is convenient to use approximately 1.5 μm of polysilicon for the plug layer 32 pursuant to some embodiments of the present invention. Plug layer 32 is then planarized to the level of base layer 24 as depicted in FIG. 7.
  • The method of planarization depends upon the material used as plug material. For realtively hard microfabrication materials (such as polysilicon and nitride), chemical mechanical planarization is a convenient method. Other materials could be planarized by means of a plasma etch, typically including a quick, wet chemical smoothing or polishing. Plasma etching has the potential advantage that it may be feasible to select a plasma and etching conditions such that the [0054] base layer 24 is not affected. On the other hand, plasma etching has the potential disadvantage of the need for controlled etch timing to avoid deleterious etching of the plug material 32 residing in holes 26.
  • The portion of the membrane containing nanometer scale pores will derive from [0055] structure 40 in FIG. 7. At this point, it is convenient to etch the lower (backside) portion of substrate 20 to provide backside access to the membrane. To protect the upper (frontside) of the membrane during backside etch, it is convenient to apply a protective layer 34 to both the frontside of the wafer 34 f and the backside of the wafer 34 b, as depicted in FIG. 8. Protective layer 34 should be impervious or substantially undamaged by the etchant used to etch the silicon substrate 20 such that, during etching of substrate 20, protective layer 34 f on the frontside of the membrane protects membrane structure 40 from significant damage. For silicon etching by means of KOH with polysilicon and nitride layers it is found that a thin layer of nitride is conveniently used as protective layer 34, since nitride is insignificantly etched by KOH and only slowly dissolves in HF. For polymeric structural materials used to construct a membrane, silicone is conveniently used as a protective layer since the temperature of nitride deposition (typically around 835° C.) renders it impractical for use with polymers.
  • A backside etch window is patterned and etched in [0056] protective layer 34 b, exposing the silicon substrate 20 in desired areas. Silicon substrate 20 is then etched, typically by placing the entire structure in a KOH bath at a temperature of approximately 80° C. for sufficient time for the silicon substrate 20 to etch up to the level of etch stop layer 22 (as evidenced by the smooth etch stop layer 22). FIG. 8B depicts the aperture 36 thereby created in substrate 20.
  • Protective layer [0057] 34 is now removed from both frontside and backside, resulting in the structure depicted in FIG. 9A. Thus, another characteristic desired of protective layer 34 is that it be removable without causing significant damage to the underlying membrane or other structures, resulting in a substantially undisturbed membrane as depicted in FIG. 9A.
  • At this point, the portion of the [0058] etch stop layer 22 exposed by aperture 36 and the remaining portions of the sacrificial base layer 28 are removed. For a nitride etch stop layer 22 and an oxide sacrificial base layer 28, etching is advantageously performed by an HF or SF6/oxygen plasma. However, other etchants may also be employed and the removal of the etch stop layer and the sacrificial base layer can be done in distinct processing steps. In all such cases, the result is that each hole 26 now contains one pore defined by the thickness of sacrificial base layer 28. The pores thus having lateral dimensions in the range of nanometers are depicted by 41 in FIG. 9B.
  • FIG. 10 summarizes the foregoing processing steps: Form an etch stop layer on a substrate (step [0059] 50). Form a base layer on the etch stop layer (step 52). Etch micrometer scale holes through the base layer and, advantageously, partially into the etch stop layer (step 54). Form a sacrificial base layer on the base layer and lining the micrometer scale holes (step 56). Pattern anchor points in the sacrificial base layer (step 58). Form a plug layer on the base layer and filling the micrometer scale holes (step 60) and planarize substantially to the level of the base layer (step 62). Protective layers are formed on both the frontside and backside of the wafer (step 64) followed by patterning and etching of the backside protective layer and underlying substrate to form an aperture (step 66). The protective layers are released or otherwise removed, advantageously accomplished in such manner as to cause no significant deleterious changes to other materials or structures (step 68). The etch stop layer is removed (in the region exposed by the aperture) and the sacrificial base layer is also removed from the micrometer scale holes, creating thereby nanometer scale pores (step 70).
  • The performance of the [0060] membrane 40 produced pursuant to some embodiments of the present invention was analyzed in comparison with two other types of membranes. In particular, a membrane 40 (with 24.5 nanometer pore size +/−0.9 nm) of the invention was compared with porous alumina (i.e., a WHATMAN ANODISC membrane with a pore size of 20 nm) and a mixed cellulose acetate and nitrate membrane (i.e., a MILLIPORE ISOPORE with a pore size of 25 nm). All membranes were examined in vitro by measuring relative concentrations of glucose on both sides of the microfabricated interface over time, using a mini diffusion chamber constructed around the membranes, as shown in FIG. 11.
  • FIG. 11 depicts a [0061] chamber 80 with a first compartment 82 and a second compartment 84 with fixed volumes of approximately 2 ml. Sampling ports 86 are provided in each compartment. The compartments are at least partially separated by the membrane under consideration 90. Advantageously, the two compartments are sealed with O-rings and are screwed together.
  • Glucose is measured on either side of the [0062] membrane 90 in the diffusion chamber by means of a quantitative enzymatic assay (e.g., TRINDER, SIGMA) and calorimetric reading via a spectrophotometer. Samples of approximately 0.1 ml were taken from the diffusion chamber and approximately 10 μl of that sample were added to approximately 3 ml of glucose reagent in a cuvette, and were mixed gently by inversion. Each tube was incubated for approximately 18 minutes at room temperature and then readings were taken at a wavelength of 505 nm. The reagent is linear up to approximately 750 mg/dl. The diffusion chamber itself was attached to a motor for stirring in order to minimize boundary layer effects (diffusion resistance at the liquid/membrane interface). In order to ensure wetting of the pores, the receptor cell was first filled with phosphate buffer saline for about fifteen minutes before the filling of the donor cell. The donor cell was filled with solutions of glucose in phosphate buffer saline in varying concentrations. These tests were carried out at 37° C.
  • Albumin was measured on either side of the membrane using the same diffusion chamber. Albumin diffusion and/or exclusion was measured and quantified using Albumin BCP (bromocresol purple, SIGMA.) A sample of approximately 0.1 ml was taken at time zero and at the end of the diffusion period (time=330 minutes). An aliquot of approximately 300 μl was then added to approximately 3 ml of the reagent and absorbance was read at 600 nm. Reagent plus deionized water was used as the blank. The assay is linear up to approximately 6 g/dl but is not accurate below approximately 1 g/dl. [0063]
  • FIGS. [0064] 12-15 depict the results of these tests. The results demonstrate that glucose concentration increases and begins to plateau at about 330 minutes. FIG. 12 shows the diffusion of glucose from a pure glucose solution and a mixed solution of glucose and albumin through 24.5 nm pore-sized silicon membranes.
  • The presence of albumin does not seem to impede passage of glucose through the membranes, nor slow down glucose transport under the experimental conditions employed. FIG. 13 shows that no detectable amounts of albumin diffuse through the microfabricated membrane. The same membrane, however, shows glucose diffusion. The microfabricated membranes are able to achieve complete exclusion of albumin (to within the limits of detection), while allowing glucose diffusion. [0065]
  • Comparing these diffusion rates with those of commercially available membranes, it is seen in FIG. 14 that microfabricated filters pursuant to some embodiments of the invention have glucose diffusion properties comparable to the MILLIPORE and WHITMAN membranes with similar pore size. However, when albumin diffusion is measured for all three membranes, the nanopore micromachined membranes of the invention have the greatest albumin exclusion, as shown in the table of FIG. 16. [0066]
  • The foregoing results illustrate glucose diffusion test results of at least 1 mg/dl in 330 minutes. The membrane has an albumin diffusion test result of at most 0.1 g/dl in 330 minutes. [0067]
  • All of the membranes were evaluated before and after diffusion experiments to determine if any structural or surface changes had occurred. There were significant changes in membrane morphology for both the WHATMAN and MILLIPORE membranes after being incubated with glucose, albumin, and phosphate buffered saline for over 24 hours at 37° C. In contrast, the micromachined silicon membrane of the invention showed the same appearance before and after the tests. In fact, the microfabricated membrane pores are free from biofouling and any agglomeration of the protein in the pores. The MILLIPORE and WHATMAN membranes display inhomogeneities and morphological changes after all diffusion tests. [0068]
  • In sum, the microfabricated silicon membranes of the invention were characterized in terms of glucose diffusion, albumin exclusion and stability in biological environments. Results indicated that glucose does indeed diffuse through the microfabricated membranes at a rate comparable to commercially available membranes. At the same time, albumin is excluded from passage. In a mixed solution of glucose and albumin, it has been shown that only glucose diffuses through the membranes. Although several membranes, such as those by WHATMAN and MILLIPORE are available for absolute filtration, these membranes do not have all the desired membrane properties, such as stability, bio-compatibility, and well-controlled perm-selectivity. [0069]
  • The filter technology of the invention alleviates several of the problems associated with current commercially available separation membranes. Through the use of controlled sacrificial base layer deposition, membranes can be fabricated with sufficient precision to guarantee high pore uniformity in sub-micron dimensions. The thickness of the thermally grown oxide can be controlled to +/−1 nm for nominal pore sizes as small as approximately 18 nm. This is the size range needed to obtain absolute protein exclusion and glucose diffusion for biosensor applications. Moreover, this filter technology can bring in the added advantages of stability, minimal protein adsorption through established silicon surface modification techniques, reusability, and sterilizability. [0070]
  • Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings. [0071]

Claims (14)

What is claimed is:
1. A method of forming a porous membrane comprising:
a) forming an etch stop layer on a substrate; and,
b) forming a base layer on said etch stop layer; and,
c) patterning and etching holes through said base layer and not completely through said etch stop layer; and,
d) forming a sacrificial base layer on said base layer and lining said holes, wherein said sacrificial base layer has a nanometer scale thickness, substantially uniform across the wafer; and,
e) forming a plug layer on said sacrificial base layer and filling said holes, wherein said plug layer is selectively removable in comparison with said sacrificial base layer without doping; and,
f) planarizing said plug layer; and,
g) patterning and etching an aperture through the backside of said substrate and through said etch stop layer, exposing thereby said plug layer and said sacrificial base layer; and,
h) selectively removing said sacrificial base layer, forming thereby nanometer scale pores through said base layer.
2. A method as in claim 1 further comprising the use of protective layers:
immediately following step f;
f1) forming protective layers on the frontside and backside of said wafer; and,
immediately following step g;
g1) removing remaining portions of said backside protective layer and said frontside protective layer; and.
3. A method as in claim 1 further comprising the use of support ridges:
immediately following step a;
a1) forming support ridges on said substrate.
4. A method as in claim 1 further comprising the use of anchor points:
immediately following step d;
d1) forming anchor points in said sacrificial base layer; and.
5. A method as in claim 1 wherein said substrate is a silicon wafer.
6. A method as in claim 5 wherein said etch stop layer is silicon nitride.
7. A method as in claim 6 wherein said sacrificial base layer is thermally formed oxide.
8. A method as in claim 7 wherein said plug layer is polysilicon.
9. A method as in claim 8 wherein said selective removal of said sacrificial base layer is with HF or SF6/oxygen plasma.
10. A method as in claim 1 wherein said nanometer scale pores are less than about 50 nanometers in lateral extent.
11. A biocompatible membrane produced according to the method of claim 1 having nanometer scale pores.
12. A membrane as in claim 11 wherein said membrane is derived from silicon compounds and has sub-fifty nanometer scale pores therein.
13. A membrane as in claim 12 wherein said membrane has a glucose diffusion test of at least 1 mg/dl and an albumin diffusion test of at most 0.1 g/dl over approximately 330 minutes.
14. A method of separating biological substances comprising filtering a mixture of said biological substances through a membrane as in claim 11 having nanometer scale pores therein.
US10/439,892 1999-11-17 2003-05-16 Method of forming a membrane with nanometer scale pores and application to biofiltration Abandoned US20030205552A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/439,892 US20030205552A1 (en) 1999-11-17 2003-05-16 Method of forming a membrane with nanometer scale pores and application to biofiltration

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16604999P 1999-11-17 1999-11-17
US71584000A 2000-11-17 2000-11-17
US10/439,892 US20030205552A1 (en) 1999-11-17 2003-05-16 Method of forming a membrane with nanometer scale pores and application to biofiltration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US71584000A Continuation-In-Part 1999-11-17 2000-11-17

Publications (1)

Publication Number Publication Date
US20030205552A1 true US20030205552A1 (en) 2003-11-06

Family

ID=29272687

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/439,892 Abandoned US20030205552A1 (en) 1999-11-17 2003-05-16 Method of forming a membrane with nanometer scale pores and application to biofiltration

Country Status (1)

Country Link
US (1) US20030205552A1 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149688A1 (en) * 2002-09-24 2004-08-05 Commissariat A L'energie Atomique Method for producing a biomimetic membrane, biomimetic membrane and its applications
WO2005105272A1 (en) * 2004-04-20 2005-11-10 The Regents Of The University Of California Smart membranes for nitrate removal, water purification, and selective ion transportation
EP1721657A1 (en) * 2005-05-13 2006-11-15 SONY DEUTSCHLAND GmbH A method of fabricating a polymeric membrane having at least one pore
US20070066138A1 (en) * 2005-04-05 2007-03-22 The Ohio State University Research Foundation Diffusion Delivery Systems and Methods of Fabrication
US20070207562A1 (en) * 2006-03-06 2007-09-06 Analog Devices, Inc. Method of Forming a Micromachined Device Using an Assisted Release
US20090027603A1 (en) * 2005-02-03 2009-01-29 Samulski Edward T Low Surface Energy Polymeric Material for Use in Liquid Crystal Displays
US20090304992A1 (en) * 2005-08-08 2009-12-10 Desimone Joseph M Micro and Nano-Structure Metrology
US20100029785A1 (en) * 2008-07-29 2010-02-04 Board Of Regents Of The University Of Texas System Particle compositions with a pre-selected cell internalization mode
US8158728B2 (en) 2004-02-13 2012-04-17 The University Of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US8263129B2 (en) 2003-12-19 2012-09-11 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro-and nano-structures using soft or imprint lithography
US8268446B2 (en) 2003-09-23 2012-09-18 The University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US20130176563A1 (en) * 2010-09-29 2013-07-11 Satoshi Ozawa Biopolymer Optical Analysis Device and Method
CN103547347A (en) * 2011-02-16 2014-01-29 道康宁公司 Method of coating a porous substrate
US20150056407A1 (en) * 2013-08-26 2015-02-26 International Business Machines Corporation Solid state nanopore devices and methods of manufacture
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
WO2017205687A1 (en) * 2016-05-27 2017-11-30 Takara Bio Usa, Inc. Contact dispensing of cells into multi-well devices
DE102017120290B3 (en) * 2017-09-04 2018-11-08 Infineon Technologies Ag Method for processing a layer structure
US11213791B2 (en) 2015-10-23 2022-01-04 Hewlett-Packard Development Company, L.P. Nano wire microporous structure
EP3793637A4 (en) * 2018-05-18 2022-03-02 The Regents Of The University Of California In vivo blood filtration membranes and devices

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801380A (en) * 1987-12-23 1989-01-31 The Texas A&M University System Method of producing a silicon film with micropores
US5681773A (en) * 1996-10-28 1997-10-28 Vanguard International Semiconductor Corp. Method for forming a DRAM capacitor
US5753014A (en) * 1993-11-12 1998-05-19 Van Rijn; Cornelis Johannes Maria Membrane filter and a method of manufacturing the same as well as a membrane
US5770076A (en) * 1994-03-07 1998-06-23 The Regents Of The University Of California Micromachined capsules having porous membranes and bulk supports
US5798042A (en) * 1994-03-07 1998-08-25 Regents Of The University Of California Microfabricated filter with specially constructed channel walls, and containment well and capsule constructed with such filters
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US5938923A (en) * 1997-04-15 1999-08-17 The Regents Of The University Of California Microfabricated filter and capsule using a substrate sandwich
US5948255A (en) * 1994-03-07 1999-09-07 The Regents Of The University Of California Microfabricated particle thin film filter and method of making it
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4801380A (en) * 1987-12-23 1989-01-31 The Texas A&M University System Method of producing a silicon film with micropores
US5753014A (en) * 1993-11-12 1998-05-19 Van Rijn; Cornelis Johannes Maria Membrane filter and a method of manufacturing the same as well as a membrane
US5770076A (en) * 1994-03-07 1998-06-23 The Regents Of The University Of California Micromachined capsules having porous membranes and bulk supports
US5798042A (en) * 1994-03-07 1998-08-25 Regents Of The University Of California Microfabricated filter with specially constructed channel walls, and containment well and capsule constructed with such filters
US5948255A (en) * 1994-03-07 1999-09-07 The Regents Of The University Of California Microfabricated particle thin film filter and method of making it
US5919364A (en) * 1996-06-24 1999-07-06 Regents Of The University Of California Microfabricated filter and shell constructed with a permeable membrane
US5681773A (en) * 1996-10-28 1997-10-28 Vanguard International Semiconductor Corp. Method for forming a DRAM capacitor
US5938923A (en) * 1997-04-15 1999-08-17 The Regents Of The University Of California Microfabricated filter and capsule using a substrate sandwich
US6437441B1 (en) * 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040149688A1 (en) * 2002-09-24 2004-08-05 Commissariat A L'energie Atomique Method for producing a biomimetic membrane, biomimetic membrane and its applications
US8268446B2 (en) 2003-09-23 2012-09-18 The University Of North Carolina At Chapel Hill Photocurable perfluoropolyethers for use as novel materials in microfluidic devices
US10842748B2 (en) 2003-12-19 2020-11-24 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US8263129B2 (en) 2003-12-19 2012-09-11 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro-and nano-structures using soft or imprint lithography
US10517824B2 (en) 2003-12-19 2019-12-31 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US9902818B2 (en) 2003-12-19 2018-02-27 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
US9877920B2 (en) 2003-12-19 2018-01-30 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
US8992992B2 (en) 2003-12-19 2015-03-31 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US11642313B2 (en) 2003-12-19 2023-05-09 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- or nano-structures using soft or imprint lithography
US8420124B2 (en) 2003-12-19 2013-04-16 The University Of North Carolina At Chapel Hill Methods for fabricating isolated micro- and nano-structures using soft or imprint lithography
US8444899B2 (en) 2004-02-13 2013-05-21 The University Of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
US8158728B2 (en) 2004-02-13 2012-04-17 The University Of North Carolina At Chapel Hill Methods and materials for fabricating microfluidic devices
WO2005105272A1 (en) * 2004-04-20 2005-11-10 The Regents Of The University Of California Smart membranes for nitrate removal, water purification, and selective ion transportation
US20090027603A1 (en) * 2005-02-03 2009-01-29 Samulski Edward T Low Surface Energy Polymeric Material for Use in Liquid Crystal Displays
US20070066138A1 (en) * 2005-04-05 2007-03-22 The Ohio State University Research Foundation Diffusion Delivery Systems and Methods of Fabrication
WO2006119915A1 (en) * 2005-05-13 2006-11-16 Sony Deutschland Gmbh A method of fabricating a polymeric membrane having at least one pore
US20080311375A1 (en) * 2005-05-13 2008-12-18 Sony Deutschland Gmbh Method of Fabricating a Polymeric Membrane Having at Least One Pore
EP1721657A1 (en) * 2005-05-13 2006-11-15 SONY DEUTSCHLAND GmbH A method of fabricating a polymeric membrane having at least one pore
US20090304992A1 (en) * 2005-08-08 2009-12-10 Desimone Joseph M Micro and Nano-Structure Metrology
US20070207562A1 (en) * 2006-03-06 2007-09-06 Analog Devices, Inc. Method of Forming a Micromachined Device Using an Assisted Release
WO2008024528A3 (en) * 2006-03-06 2008-07-24 Analog Devices Inc Method of forming a micromachined device using an assisted release
WO2008024528A2 (en) * 2006-03-06 2008-02-28 Analog Devices, Inc. Method of forming a micromachined device using an assisted release
US20100029785A1 (en) * 2008-07-29 2010-02-04 Board Of Regents Of The University Of Texas System Particle compositions with a pre-selected cell internalization mode
US8173115B2 (en) 2008-07-29 2012-05-08 The Board Of Regents Of The University Of Texas System Particle compositions with a pre-selected cell internalization mode
US20130176563A1 (en) * 2010-09-29 2013-07-11 Satoshi Ozawa Biopolymer Optical Analysis Device and Method
US9562809B2 (en) * 2010-09-29 2017-02-07 Hitachi High-Technologies Corporation Biopolymer optical analysis device and method
CN103547347A (en) * 2011-02-16 2014-01-29 道康宁公司 Method of coating a porous substrate
US9168717B2 (en) * 2013-08-26 2015-10-27 Globalfoundries U.S. 2 Llc Solid state nanopore devices for nanopore applications to improve the nanopore sensitivity and methods of manufacture
US20150056407A1 (en) * 2013-08-26 2015-02-26 International Business Machines Corporation Solid state nanopore devices and methods of manufacture
US11213791B2 (en) 2015-10-23 2022-01-04 Hewlett-Packard Development Company, L.P. Nano wire microporous structure
WO2017205687A1 (en) * 2016-05-27 2017-11-30 Takara Bio Usa, Inc. Contact dispensing of cells into multi-well devices
US11180362B2 (en) 2017-09-04 2021-11-23 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
US10766766B2 (en) 2017-09-04 2020-09-08 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
DE102017120290B3 (en) * 2017-09-04 2018-11-08 Infineon Technologies Ag Method for processing a layer structure
US11787686B2 (en) 2017-09-04 2023-10-17 Infineon Technologies Ag Method for processing a layer structure and microelectromechanical component
EP3793637A4 (en) * 2018-05-18 2022-03-02 The Regents Of The University Of California In vivo blood filtration membranes and devices

Similar Documents

Publication Publication Date Title
US20030205552A1 (en) Method of forming a membrane with nanometer scale pores and application to biofiltration
EP1233927A1 (en) Apparatus and method for forming a membrane with nanometer scale pores
Desai et al. Nanoporous anti-fouling silicon membranes for biosensor applications
Pantoja et al. Bilayer reconstitution of voltage-dependent ion channels using a microfabricated silicon chip
US9555114B2 (en) Methods for in vivo drug delivery with porous nanostructures
JP5712235B2 (en) Ultrathin porous nanoscale membrane, its production method and use
US6180314B1 (en) Method for preparing thin liquid samples for microscopic analysis
US5985164A (en) Method for forming a filter
US6358475B1 (en) Device for preparing thin liquid for microscopic analysis
Chu et al. Silicon membrane nanofilters from sacrificial oxide removal
JP2003527599A (en) Embedded analyte sensor
Peterman et al. Ion channels and lipid bilayer membranes under high potentials using microfabricated apertures
JP2003526491A (en) Embedded analyte sensor
US9089819B2 (en) Particulate nanosorting stack
CN101690871A (en) A method of fabricating a membrane having a tapered pore
CN106289929B (en) Sample collection element, method of making same, and sample collection element array
US10668436B2 (en) Methods for creating fluidic cavities by transmembrane etching through porous membranes and structures made thereby and uses of such structures
Deng et al. Fabrication of inverted-pyramid silicon nanopore arrays with three-step wet etching
Ferrari et al. Silicon nanotechnology for biofiltration and immunoisolated cell xenografts
Lee et al. Construction of Membrane Sieves Using Stoichiometric and Stress‐Reduced Si3N4/SiO2/Si3N4 Multilayer Films and Their Applications in Blood Plasma Separation
JP3766851B2 (en) Carrier matrix for integrated microanalyzer, process for its production and use thereof
Dougherty et al. Microfabrication using one-step LPCVD porous polysilicon films
US20040120854A1 (en) Silicon-wafer based devices and methods for analyzing biological material
WO2002082046A2 (en) Silicon-wafer based devices and methods for analyzing biological material
US6660648B1 (en) Process for manufacture of semipermeable silicon nitride membranes

Legal Events

Date Code Title Description
AS Assignment

Owner name: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA, CALIF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HANSFORD, DEREK J.;FERRARI, MAURO;REEL/FRAME:014094/0043;SIGNING DATES FROM 20030507 TO 20030513

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION