US20040035709A1 - Methods for repairing defects on a semiconductor substrate - Google Patents

Methods for repairing defects on a semiconductor substrate Download PDF

Info

Publication number
US20040035709A1
US20040035709A1 US10/425,783 US42578303A US2004035709A1 US 20040035709 A1 US20040035709 A1 US 20040035709A1 US 42578303 A US42578303 A US 42578303A US 2004035709 A1 US2004035709 A1 US 2004035709A1
Authority
US
United States
Prior art keywords
conductive material
substrate
conductive
depositing
uniform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/425,783
Inventor
Cyprian Uzoh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Priority to US10/425,783 priority Critical patent/US20040035709A1/en
Assigned to NUTOOL, INC. reassignment NUTOOL, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: UZOH, CYPRIAN
Publication of US20040035709A1 publication Critical patent/US20040035709A1/en
Assigned to ASM NUTOOL, INC. reassignment ASM NUTOOL, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NUTOOL, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Definitions

  • the present invention relates to methods for repairing defects on a semiconductor substrate. More particularly, the present invention is directed to methods for repairing defects on a top surface of the substrate by selectively plating over the defective portions while preventing deposition in the non-defective portions. In addition, the present invention relates to planarizing a non-planar conductive surface of a substrate.
  • a conductive material such as metal in damascene type cavities (i.e., trenches, holes, and vias) of a semiconductor substrate (i.e., wiring structure) is an important and necessary process in fabricating integrated chips and devices.
  • the conductive material is deposited in the cavities of the substrate to interconnect layers and components contained therein. Recently, there is great interest in using copper as the conductive material as it provides better conductivity and reliability than, for example, aluminum or aluminum alloys.
  • FIG. 1A illustrates a cross sectional view
  • FIG. 1B illustrates a perspective view of a substrate after depositing the conductive material in the cavities.
  • These figures illustrate a dielectric or insulating layer 2 (e.g., silicon dioxide—SiO 2 ) having deposited thereon an adhesive or barrier layer 4 .
  • the insulating layer 2 is generally etched to form the cavities 12 therein before the barrier layer 4 is deposited thereon.
  • the cavities 12 in the insulating layer 2 are generally etched using a reactive ion etching (RIE) method.
  • RIE reactive ion etching
  • the barrier layer 4 is generally deposited on the insulating layer 2 using any of the various sputtering methods, chemical vapor deposition (CVD), electro-deposition or electroless plating method.
  • the barrier layer 4 may be tantalum (Ta), titanium (Ti), tungsten (W), titanium-tungsten (TiW), titanium nitride (TiN), Nb, CuWP, CoWP, or other materials or combinations thereof that are commonly used in this field.
  • a seed layer (not shown) is generally deposited thereon before the conductive material 6 such as copper is deposited on the substrate.
  • the seed layer is the same material as the conductive material 6 .
  • the conductive material 6 can be deposited using CVD, sputtering, electroless plating, electro-deposition, or combinations thereof.
  • the depths of the cavities 12 in the insulating layer 2 can range from 0.02 to 200 um for interconnects and up to 1000 um or more for packages.
  • the conductive material 6 is generally deposited over the entire top surface of the substrate, i.e. in the cavities 12 as well as on the field regions 3 . It should be noted that the field regions 3 are defined as the top surface area of the substrate between the cavities 12 .
  • the excess material deposited over the top plane of the field regions 3 is known as the overburden.
  • the thickness of the overburden may change over the various features of the substrate depending on their size. For example, in general, the overburden is thicker over the smaller cavities than the larger cavities.
  • the substrate is typically transferred to an apparatus for polishing and removing the overburden from the top surface (i.e., field regions).
  • the substrate is polished using a conventional chemical mechanical polishing (CMP) device and abrasive slurry.
  • CMP chemical mechanical polishing
  • some conductive material 6 grains may be removed from the cavities 12 , thereby resulting in substrates with various defects.
  • certain grains of the conductive material 6 in the cavities 12 may be corroded away because the abrasive slurry may attach itself to the conductive material 6 grains.
  • some grains of the conductive material 6 may be etched away from the cavities 12 , leaving defective portions 8 .
  • defective portions 8 may result from deep scratches during the CMP process.
  • Defective portions 8 may also result from the conductive material 6 deposition process itself.
  • non-optimal deposition processes may give rise to voids in conductive material 6 , and after polishing, such voids may result in the defective portions 8 .
  • residual conductive material 10 may not be completely removed and left on the barrier layer 4 , thereby resulting in additional defects.
  • defects typically reduce the quality of the conductive material 6 and device performance.
  • FIG. 2 illustrates a cross sectional view of a substrate having dishing effects.
  • “dishing” or non-planar polishing may result because of over polishing.
  • a large recess 14 may be formed in a large test pad portion, while a small recess 16 may be formed in a small bus line portion on the cavities 12 of the substrate.
  • corrosion and other undesirable characteristics may result (i.e., dishing). Dishing may also result from wet etching processes. It is well known that existence of any kind of defects in the deposited conductive material results in poor device performance and low process yield.
  • the present invention relates to methods for repairing defects on a semiconductor substrate. This is accomplished by selectively depositing the conductive material in defective portions in the cavities while removing residual portions from the field regions of the substrate. Another method according to the present invention includes forming a uniform conductive material overburden on the top surface of the substrate. The present invention also discloses a method for depositing a second conductive material on the first conductive material of the substrate.
  • FIG. 1A illustrates a cross sectional view of a conductive material disposed on a substrate having defects
  • FIG. 1B illustrates a perspective view of FIG. 1A of a conductive material disposed on a substrate having defects
  • FIG. 2 illustrates a cross sectional view of a conductive material disposed on a substrate having “dishing” characteristics
  • FIGS. 3 A- 3 D illustrate cross sectional views of a method for repairing defects in accordance with the preferred embodiment of the present invention
  • FIGS. 4 A- 4 D illustrate cross sectional views of a method for repairing defects in accordance with another preferred embodiment of the present invention.
  • FIGS. 5 A- 5 B illustrate cross sectional views of a method for depositing a second conductive material on a first conductive material in accordance with yet another preferred embodiment of the present invention.
  • FIGS. 3 - 5 wherein like structures and materials are designated by like reference numerals throughout the various figures. Further, specific details and processing parameters are provided herein and are intended to be explanatory rather than limiting.
  • the inventors of the present invention disclose herein methods for repairing defects on a substrate.
  • the present invention can be used with any substrate or workpiece such as a wafer, flat panel, magnetic film head, integrated circuit, device, chip, and packaging substrate, and it can be used with various conductive materials including, but not limited to copper, copper alloys, magnetic films, ferromagnetic films, lead tin solder alloys or lead free solder alloys.
  • the defective substrate is preferably plated using an electro-deposition or electroless deposition process before removing the barrier layer from the top surface of the substrate.
  • the barrier layer is used to conduct the electric current, and depending on the deposition time and current density, various portions of the substrate is plated accordingly.
  • FIGS. 3 A- 3 D illustrate cross sectional views of a method for repairing defects in accordance with the first preferred embodiment of the present invention.
  • defects in the cavities of the substrate are repaired by selectively plating over the defective regions, while simultaneously preventing deposition in the field regions of the substrate.
  • FIGS. 3 A- 3 D include the step of depositing a conductive material in the cavities of the substrate to repair the defects using an ECMD (electrochemical mechanical deposition) device having a pad type material attached to an anode.
  • ECMD electrochemical mechanical deposition
  • Such apparatus is described in greater detail in the co-pending U.S. application Ser. No. 09/373,681, filed Aug. 13, 1999, entitled “Method and Apparatus for Depositing and Controlling the Texture of A Thin Film”, the contents of which are expressly incorporated herein by reference.
  • FIG. 3A illustrates an insulating layer 2 having deposited thereon a barrier layer 4 , similar to that described above with reference to FIGS. 1A and 1B. Again, the top surface of the insulating layer 2 is patterned/etched with cavities 12 before the barrier layer 4 is deposited thereon.
  • the insulating layer 2 is preferably SiO 2 or polyimide, but it is understood that other materials that are commonly used as the insulating layer 2 may be used in accordance with the present invention.
  • a porous pad type material 100 with or without fixed abrasive particles (not shown) is used to repair the defects 8 , 10 on the substrate. This is accomplished by selectively depositing the conductive material in the defective portion 8 while removing the residual material 10 from the field regions of the substrate.
  • the pad type material 100 is preferably attached to an anode (not shown) and may be rotated in a circular motion, vibrated, moved side to side or vertically when brought into contact with the top surface of the substrate. Likewise, the substrate may be rotated in a circular motion, vibrated, moved side to side or vertically when brought into contact with the pad type material 100 .
  • the pad type material 100 and the substrate may rotate between 1 to 400 rpm, but preferably between 5 to 300 rpm, during the repairing mode.
  • a suitable electrolyte containing the conductive material to be deposited is introduced between the pad and the substrate surface before a potential difference is applied between the anode and the barrier layer 4 causing the conductive material to deposit out of the electrolyte onto the substrate surface.
  • An example of the suitable electrolyte is disclosed in the co-pending provisional U.S. application Serial No. 06/182,100, filed Feb. 11, 2000, entitled “Modified Plating Solution for Plating and Planarization”, the contents of which are expressly incorporated herein by reference.
  • an electrical current with a current density in the range of 0.05 to 20 mA/cm 2 , but preferably between 1 to 5 mA/cm 2 is applied to the substrate using the ECMD device.
  • the repairing process can be performed for a period of 30 to 180 seconds when the pad 100 is in full contact with the substrate as shown in FIG. 3C.
  • the pad type material 100 removes the residual material 10 residing on the field regions of the substrate while depositing the conductive material from the electrolyte into the defective portion 8 .
  • the pad type material 100 makes contact with the top surface of the substrate at a pressure that may range from 0.0 to 15 psi.
  • the electrolyte containing the conductive material may emanate from the pad type material 100 and is applied to the substrate at a rate of 0.2 to 15 liters per minute, but preferably between 0.5 to 10 liters per minute on a conventional 8 inch diameter wafer. Using this method, a planar defect-free structure is obtained as shown in FIG. 3D.
  • the substrate surface with a uniform and planar layer of a conductive material.
  • the method disclosed in FIGS. 4 A- 4 C is used to transform a defective substrate surface into a substrate having a planar conductive layer.
  • FIG. 4A a defective substrate similar to the one depicted in FIG. 3A is shown.
  • a seed layer 5 is deposited on the defective substrate surface.
  • the seed layer 5 may be 50-500 A in thickness. It may be a composite layer consisting of two or more layers. It is important to note that the seed layer 5 allows growth of a uniform conductive layer over it with good adhesion to the substrate surface. If the adhesion of the conductive layer to the barrier layer 4 is adequate, there may not be the need for the seed layer 5 .
  • an electrical current with a current density in the range of 0.05 to 20 mA/cm 2 , but preferably between 1 to 10 mA/cm 2 is applied to the substrate using the ECMD device.
  • the pad 100 does not make contact with the substrate, but does hydroplane over it.
  • the repairing process can be performed for a period of 20 to 300 seconds when the pad 100 is hydroplaning, as shown in FIG. 4B. In this manner, the conductive material is deposited in the defective portion 8 and a uniform metal overburden 7 is built over the entire substrate surface as shown in FIG. 4C, while burying the residual materials 10 .
  • the electrolyte solution containing the conductive material 7 may emanate from the pad type material 100 and may be applied to the substrate at a rate of 0.2 to 6 liters per minute.
  • the pressure may be 0.1 to 2 psi.
  • Low pressure between the substrate and the pad 100 and high electrolyte flow allow the pad 100 to hydroplane over the substrate surface.
  • Both the substrate and the pad 100 may be rotated during deposition at 5 to 300 rpm.
  • the present technique may be used to planarize a defect free but non-uniform or dished conductive surface on a substrate as shown in FIGS. 5A and 5B.
  • the non-uniform layer 110 on the surface of the substrate of FIG. 5A is coated with a planar layer 120 resulting in the structure of FIG. 5B.
  • the conductive materials 110 , 120 may be the same materials. In the alternative, the conductive materials 110 , 120 may be different materials.
  • the second conductive material 120 may be Cu—Sn, Cu—In, WCoP or CoP, or other suitable copper alloys, cobalt alloys, silver alloys, etc.
  • the first conductive material 110 may be Cu.
  • the second conductive material 120 should be a material that will enhance corrosion resistance and electromigration, while providing excellent adhesion to the first conductive material 110 and to other subsequently deposited materials that may be formed thereon.
  • the second conductive material 120 may have an electrical resistivity that is very similar to the first conductive material 110 , preferably within 90-200% of that of the first conductive material 110 .
  • first and second conductive materials 110 , 120 are the same materials, a distinct boundary between them may not exist.
  • first and second conductive materials 110 , 120 are different, a distinct boundary between them may exist before any subsequent thermal process is performed.
  • the distinct boundary layer can be used so that intermixing between the first and second conductive materials 110 , 120 is discouraged.
  • a thin adhesive or barrier layer e.g., alpha Tantalum, chrome layer, CoP, WCoP
  • more than two conductive materials can be formed in the cavities of the substrate using the process disclosed herein.
  • the entire substrate as shown in FIG. 5B may be polished by CMP to produce high yield devices.
  • the overburden can be removed by wet etch, electropolishing, or electroplating.
  • conductive material such as aluminum, iron, nickel, chromium, indium, lead, tin, lead-tin alloys, nonleaded solderable alloys, silver, zinc, cadmium, titanium, tungsten molybdenum, ruthenium, gold, paladium, cobalt, rhondium, platinum, their respective alloys and various combinations of above materials with oxygen, nitrogen, hydrogen and phosphorous may be used in the present invention.
  • the repairing steps described above may be performed in an electroless deposition bath.
  • Various processing conditions such as plating bath temperature, pressure, pad material, pad design, solution flow rate, and the like can be varied to repair the defects in the substrate material.
  • the conductive material or seed layer used for repairing the defect does not need to be homogeneous with the defective conductive material base.
  • the seed layer 5 need not be copper, but may be silver, or a copper or silver based alloy such as copper indium alloy, copper silver alloy or even silver indium alloy.
  • the defects are repaired using the methods described earlier, using the seed layer and barrier to carry the current.
  • the non-homogeneous seed layer is now part of the overburden 7 .

Abstract

The present invention relates to methods for repairing defects on a semiconductor substrate. This is accomplished by selectively depositing the conductive material in defective portions in the cavities while removing residual portions from the field regions of the substrate. Another method according to the present invention includes forming a uniform conductive material overburden on a top surface of the substrate. The present invention also discloses a method for depositing a second conductive material on the first conductive material of the substrate.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. Ser. No. 09/534,704 filed Mar. 24, 2000.[0001]
  • FIELD OF THE INVENTION
  • The present invention relates to methods for repairing defects on a semiconductor substrate. More particularly, the present invention is directed to methods for repairing defects on a top surface of the substrate by selectively plating over the defective portions while preventing deposition in the non-defective portions. In addition, the present invention relates to planarizing a non-planar conductive surface of a substrate. [0002]
  • BACKGROUND OF THE INVENTION
  • Depositing a conductive material such as metal in damascene type cavities (i.e., trenches, holes, and vias) of a semiconductor substrate (i.e., wiring structure) is an important and necessary process in fabricating integrated chips and devices. The conductive material is deposited in the cavities of the substrate to interconnect layers and components contained therein. Recently, there is great interest in using copper as the conductive material as it provides better conductivity and reliability than, for example, aluminum or aluminum alloys. [0003]
  • FIG. 1A illustrates a cross sectional view and FIG. 1B illustrates a perspective view of a substrate after depositing the conductive material in the cavities. These figures illustrate a dielectric or insulating layer [0004] 2 (e.g., silicon dioxide—SiO2) having deposited thereon an adhesive or barrier layer 4. The insulating layer 2 is generally etched to form the cavities 12 therein before the barrier layer 4 is deposited thereon. The cavities 12 in the insulating layer 2 are generally etched using a reactive ion etching (RIE) method. The barrier layer 4 is generally deposited on the insulating layer 2 using any of the various sputtering methods, chemical vapor deposition (CVD), electro-deposition or electroless plating method. The barrier layer 4 may be tantalum (Ta), titanium (Ti), tungsten (W), titanium-tungsten (TiW), titanium nitride (TiN), Nb, CuWP, CoWP, or other materials or combinations thereof that are commonly used in this field.
  • After the [0005] barrier layer 4 is deposited on the insulating layer 2, a seed layer (not shown) is generally deposited thereon before the conductive material 6 such as copper is deposited on the substrate. In general, the seed layer is the same material as the conductive material 6. The conductive material 6 can be deposited using CVD, sputtering, electroless plating, electro-deposition, or combinations thereof.
  • The depths of the [0006] cavities 12 in the insulating layer 2 can range from 0.02 to 200 um for interconnects and up to 1000 um or more for packages. The conductive material 6 is generally deposited over the entire top surface of the substrate, i.e. in the cavities 12 as well as on the field regions 3. It should be noted that the field regions 3 are defined as the top surface area of the substrate between the cavities 12. The excess material deposited over the top plane of the field regions 3 is known as the overburden. The thickness of the overburden may change over the various features of the substrate depending on their size. For example, in general, the overburden is thicker over the smaller cavities than the larger cavities.
  • Once the [0007] conductive material 6 is formed in the cavities 12 and on the field regions 3, the substrate is typically transferred to an apparatus for polishing and removing the overburden from the top surface (i.e., field regions). Typically, the substrate is polished using a conventional chemical mechanical polishing (CMP) device and abrasive slurry. While using this method, some conductive material 6 grains may be removed from the cavities 12, thereby resulting in substrates with various defects. For example, certain grains of the conductive material 6 in the cavities 12 may be corroded away because the abrasive slurry may attach itself to the conductive material 6 grains. Thus, some grains of the conductive material 6 may be etched away from the cavities 12, leaving defective portions 8. Alternatively, defective portions 8 may result from deep scratches during the CMP process.
  • [0008] Defective portions 8 may also result from the conductive material 6 deposition process itself. For example, non-optimal deposition processes may give rise to voids in conductive material 6, and after polishing, such voids may result in the defective portions 8. Further, residual conductive material 10 may not be completely removed and left on the barrier layer 4, thereby resulting in additional defects. As known, defects typically reduce the quality of the conductive material 6 and device performance.
  • FIG. 2 illustrates a cross sectional view of a substrate having dishing effects. During the CMP process, “dishing” or non-planar polishing may result because of over polishing. A [0009] large recess 14 may be formed in a large test pad portion, while a small recess 16 may be formed in a small bus line portion on the cavities 12 of the substrate. In addition, when the substrate is exposed to the abrasives during the CMP process, corrosion and other undesirable characteristics may result (i.e., dishing). Dishing may also result from wet etching processes. It is well known that existence of any kind of defects in the deposited conductive material results in poor device performance and low process yield.
  • Accordingly, there is a need for methods for repairing defects on semiconductor substrates. [0010]
  • SUMMARY OF THE INVENTION
  • In view of the above-described problems of the prior art, it is an object of the present invention to provide a method for repairing defects on a semiconductor substrate. [0011]
  • It is another object of the present invention to provide a method for repairing defects on a semiconductor substrate by selectively plating over the defective portions while preventing or minimizing deposition on the non-defective portions. [0012]
  • It is another object of the present invention to provide a method for depositing a conductive material in defective portions of the cavities on the substrate. [0013]
  • It is a further object of the present invention to provide a method for providing a uniform conductive material overburden on the substrate without depositing the conductive material on the field regions of the substrate. [0014]
  • It is yet another object of the present invention to provide a method for depositing a second conductive material on the first conductive material of a substrate. [0015]
  • It is yet another object of the present invention to provide a method that minimizes the disparity of the conductive material overburden across a substrate while repairing defects on the substrate. [0016]
  • These and other objects are achieved by providing methods for repairing defects on the substrate in an efficient and reliable manner. The present invention relates to methods for repairing defects on a semiconductor substrate. This is accomplished by selectively depositing the conductive material in defective portions in the cavities while removing residual portions from the field regions of the substrate. Another method according to the present invention includes forming a uniform conductive material overburden on the top surface of the substrate. The present invention also discloses a method for depositing a second conductive material on the first conductive material of the substrate. [0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other objects and advantages of the present invention will become apparent and more readily appreciated from the following detailed description of the presently preferred exemplary embodiments of the invention taken in conjunction with the accompanying drawings, of which: [0018]
  • FIG. 1A illustrates a cross sectional view of a conductive material disposed on a substrate having defects; [0019]
  • FIG. 1B illustrates a perspective view of FIG. 1A of a conductive material disposed on a substrate having defects; [0020]
  • FIG. 2 illustrates a cross sectional view of a conductive material disposed on a substrate having “dishing” characteristics; [0021]
  • FIGS. [0022] 3A-3D illustrate cross sectional views of a method for repairing defects in accordance with the preferred embodiment of the present invention;
  • FIGS. [0023] 4A-4D illustrate cross sectional views of a method for repairing defects in accordance with another preferred embodiment of the present invention; and
  • FIGS. [0024] 5A-5B illustrate cross sectional views of a method for depositing a second conductive material on a first conductive material in accordance with yet another preferred embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in greater detail, which may serve to further the understanding of the preferred embodiments of the present invention. As described elsewhere herein, various refinements and substitutions of the various embodiments are possible based on the principles and teachings herein. [0025]
  • The preferred embodiments of the present invention will be described with reference to FIGS. [0026] 3-5, wherein like structures and materials are designated by like reference numerals throughout the various figures. Further, specific details and processing parameters are provided herein and are intended to be explanatory rather than limiting.
  • The inventors of the present invention disclose herein methods for repairing defects on a substrate. The present invention can be used with any substrate or workpiece such as a wafer, flat panel, magnetic film head, integrated circuit, device, chip, and packaging substrate, and it can be used with various conductive materials including, but not limited to copper, copper alloys, magnetic films, ferromagnetic films, lead tin solder alloys or lead free solder alloys. [0027]
  • In accordance with the present invention, the defective substrate is preferably plated using an electro-deposition or electroless deposition process before removing the barrier layer from the top surface of the substrate. The barrier layer is used to conduct the electric current, and depending on the deposition time and current density, various portions of the substrate is plated accordingly. [0028]
  • FIGS. [0029] 3A-3D illustrate cross sectional views of a method for repairing defects in accordance with the first preferred embodiment of the present invention. In this preferred embodiment, defects in the cavities of the substrate are repaired by selectively plating over the defective regions, while simultaneously preventing deposition in the field regions of the substrate.
  • The method shown in FIGS. [0030] 3A-3D include the step of depositing a conductive material in the cavities of the substrate to repair the defects using an ECMD (electrochemical mechanical deposition) device having a pad type material attached to an anode. Such apparatus is described in greater detail in the co-pending U.S. application Ser. No. 09/373,681, filed Aug. 13, 1999, entitled “Method and Apparatus for Depositing and Controlling the Texture of A Thin Film”, the contents of which are expressly incorporated herein by reference.
  • FIG. 3A illustrates an insulating [0031] layer 2 having deposited thereon a barrier layer 4, similar to that described above with reference to FIGS. 1A and 1B. Again, the top surface of the insulating layer 2 is patterned/etched with cavities 12 before the barrier layer 4 is deposited thereon. The insulating layer 2 is preferably SiO2 or polyimide, but it is understood that other materials that are commonly used as the insulating layer 2 may be used in accordance with the present invention.
  • In FIG. 3B, a porous [0032] pad type material 100 with or without fixed abrasive particles (not shown) is used to repair the defects 8, 10 on the substrate. This is accomplished by selectively depositing the conductive material in the defective portion 8 while removing the residual material 10 from the field regions of the substrate. The pad type material 100 is preferably attached to an anode (not shown) and may be rotated in a circular motion, vibrated, moved side to side or vertically when brought into contact with the top surface of the substrate. Likewise, the substrate may be rotated in a circular motion, vibrated, moved side to side or vertically when brought into contact with the pad type material 100. In the preferred embodiment, the pad type material 100 and the substrate may rotate between 1 to 400 rpm, but preferably between 5 to 300 rpm, during the repairing mode. A suitable electrolyte containing the conductive material to be deposited is introduced between the pad and the substrate surface before a potential difference is applied between the anode and the barrier layer 4 causing the conductive material to deposit out of the electrolyte onto the substrate surface. An example of the suitable electrolyte is disclosed in the co-pending provisional U.S. application Serial No. 06/182,100, filed Feb. 11, 2000, entitled “Modified Plating Solution for Plating and Planarization”, the contents of which are expressly incorporated herein by reference.
  • Using the [0033] barrier layer 4, an electrical current with a current density in the range of 0.05 to 20 mA/cm2, but preferably between 1 to 5 mA/cm2 is applied to the substrate using the ECMD device. The repairing process can be performed for a period of 30 to 180 seconds when the pad 100 is in full contact with the substrate as shown in FIG. 3C. When such contact is made, the pad type material 100 removes the residual material 10 residing on the field regions of the substrate while depositing the conductive material from the electrolyte into the defective portion 8. During this step, the pad type material 100 makes contact with the top surface of the substrate at a pressure that may range from 0.0 to 15 psi. Further, the electrolyte containing the conductive material may emanate from the pad type material 100 and is applied to the substrate at a rate of 0.2 to 15 liters per minute, but preferably between 0.5 to 10 liters per minute on a conventional 8 inch diameter wafer. Using this method, a planar defect-free structure is obtained as shown in FIG. 3D.
  • In certain cases it is desirable to have the substrate surface with a uniform and planar layer of a conductive material. The method disclosed in FIGS. [0034] 4A-4C is used to transform a defective substrate surface into a substrate having a planar conductive layer.
  • In FIG. 4A, a defective substrate similar to the one depicted in FIG. 3A is shown. In FIG. 4B, a [0035] seed layer 5 is deposited on the defective substrate surface. The seed layer 5 may be 50-500 A in thickness. It may be a composite layer consisting of two or more layers. It is important to note that the seed layer 5 allows growth of a uniform conductive layer over it with good adhesion to the substrate surface. If the adhesion of the conductive layer to the barrier layer 4 is adequate, there may not be the need for the seed layer 5.
  • During the repair process, using the [0036] barrier layer 4 and seed layer 5, an electrical current with a current density in the range of 0.05 to 20 mA/cm2, but preferably between 1 to 10 mA/cm2 is applied to the substrate using the ECMD device. In this case, the pad 100 does not make contact with the substrate, but does hydroplane over it. The repairing process can be performed for a period of 20 to 300 seconds when the pad 100 is hydroplaning, as shown in FIG. 4B. In this manner, the conductive material is deposited in the defective portion 8 and a uniform metal overburden 7 is built over the entire substrate surface as shown in FIG. 4C, while burying the residual materials 10. During this step, the electrolyte solution containing the conductive material 7 may emanate from the pad type material 100 and may be applied to the substrate at a rate of 0.2 to 6 liters per minute. The pressure may be 0.1 to 2 psi. Low pressure between the substrate and the pad 100 and high electrolyte flow allow the pad 100 to hydroplane over the substrate surface. Both the substrate and the pad 100 may be rotated during deposition at 5 to 300 rpm.
  • It should be noted that the present technique may be used to planarize a defect free but non-uniform or dished conductive surface on a substrate as shown in FIGS. 5A and 5B. The [0037] non-uniform layer 110 on the surface of the substrate of FIG. 5A is coated with a planar layer 120 resulting in the structure of FIG. 5B.
  • In certain embodiments, the [0038] conductive materials 110, 120 may be the same materials. In the alternative, the conductive materials 110, 120 may be different materials. For example, the second conductive material 120 may be Cu—Sn, Cu—In, WCoP or CoP, or other suitable copper alloys, cobalt alloys, silver alloys, etc., and the first conductive material 110 may be Cu. Preferably, the second conductive material 120 should be a material that will enhance corrosion resistance and electromigration, while providing excellent adhesion to the first conductive material 110 and to other subsequently deposited materials that may be formed thereon. Further, the second conductive material 120 may have an electrical resistivity that is very similar to the first conductive material 110, preferably within 90-200% of that of the first conductive material 110.
  • When the first and second [0039] conductive materials 110, 120 are the same materials, a distinct boundary between them may not exist. On the other hand, when the first and second conductive materials 110, 120 are different, a distinct boundary between them may exist before any subsequent thermal process is performed. The distinct boundary layer can be used so that intermixing between the first and second conductive materials 110, 120 is discouraged. For example, a thin adhesive or barrier layer (e.g., alpha Tantalum, chrome layer, CoP, WCoP) may be deposited in between the first and second conductive materials 110, 120 to prevent intermixing between the two materials when such intermixing is undesired. In other embodiments, more than two conductive materials can be formed in the cavities of the substrate using the process disclosed herein.
  • In a further processing step, the entire substrate as shown in FIG. 5B may be polished by CMP to produce high yield devices. Alternatively, the overburden can be removed by wet etch, electropolishing, or electroplating. [0040]
  • Along with using copper and its alloys as the conductive material, other conductive materials such as aluminum, iron, nickel, chromium, indium, lead, tin, lead-tin alloys, nonleaded solderable alloys, silver, zinc, cadmium, titanium, tungsten molybdenum, ruthenium, gold, paladium, cobalt, rhondium, platinum, their respective alloys and various combinations of above materials with oxygen, nitrogen, hydrogen and phosphorous may be used in the present invention. [0041]
  • In addition, the repairing steps described above may be performed in an electroless deposition bath. Various processing conditions such as plating bath temperature, pressure, pad material, pad design, solution flow rate, and the like can be varied to repair the defects in the substrate material. [0042]
  • In other embodiments, the conductive material or seed layer used for repairing the defect does not need to be homogeneous with the defective conductive material base. For example, in FIG. 4B, the [0043] seed layer 5 need not be copper, but may be silver, or a copper or silver based alloy such as copper indium alloy, copper silver alloy or even silver indium alloy. Thus, after depositing the seed layer 5 on the substrate, the defects are repaired using the methods described earlier, using the seed layer and barrier to carry the current. In this case where a substantial uniform overburden 7 of FIG. 4C is left on the field regions, the non-homogeneous seed layer is now part of the overburden 7.
  • In the previous descriptions, numerous specific details are set forth, such as specific materials, structures, current density, chemicals, processes, etc., to provide a thorough understanding of the present invention. However, as one having ordinary skill in the art would recognize, the present invention can be practiced without resorting to the details specifically set forth. [0044]
  • Although various preferred embodiments have been described in detail above, those skilled in the art will readily appreciate that many modifications of the exemplary embodiment are possible without materially departing from the novel teachings and advantages of this invention. [0045]

Claims (25)

What is claimed is:
1. A method for forming a uniform overburden conductive layer over a non-planar first conductive material on a substrate having a barrier layer and a seed layer, the method using an anode and a pad and comprising the steps:
applying the pad proximate to the substrate surface;
applying an electric field between the anode and the first conductive material; and
depositing a second conductive material from a solution over the first conductive material to form the uniform overburden conductive layer.
2. The method according to claim 1, wherein the first and second conductive materials comprise a same material.
3. The method according to claim 1, wherein the first conductive material comprises Cu and second conductive material comprises one of copper alloy, cobalt alloy, and silver alloy.
4. The method according to claim 3, further comprising the step of depositing a boundary layer between the first conductive material and the second conductive material such that the first conductive material does not intermix with the second conductive material.
5. The method according to claim 1, further comprising the step of polishing the uniform overburden conductive layer using chemical mechanical polishing.
6. A method of correcting a non-uniform first deposited conductive material formed on a conductive surface of a substrate comprising the steps:
coating the first deposited conductive material with a second conductive material; and
planarizing the second conductive material and the second conductive material to form a substantially uniform conductive surface on the substrate.
7. The method according to claim 6, wherein the step of coating includes electroless depositing the second conductive material over the first conductive material.
8. The method according to claim 6 further comprising a pad and an anode wherein the step of coating includes:
applying the pad proximate to at least one non-uniform portion of the conductive surface;
applying an electric field between the anode and the substrate; and
depositing the second conductive material from a solution to the at least one non-uniform portion of the conductive surface to form the substantially uniform conductive surface.
9. The method according to claim 8, wherein the pad includes fixed abrasive particles.
10. The method according to claim 8, wherein the substrate includes field regions and the method of depositing the second conductive material includes the step of removing residual conductive materials from the field regions.
11. The method according to claim 8, wherein the conductive surface and the conductive material comprise a same material.
12. The method according to claim 8, wherein the conductive material comprises an alloy.
13. The method according to claim 8, wherein the pad includes a porous material.
14. The method according to claim 8 further comprising the step of applying a thermal process to the substrate after the depositing step.
15. The method according to claim 8, wherein the depositing step creates a distinct boundary between the first deposited conductive material and the second conductive material deposited in the depositing step.
16. The method according to claim 15, further comprising the step of applying a thermal process to the substrate after the depositing step.
17. The method according to claim 8, wherein the depositing step enhances corrosion resistance.
18. The method according to claim 12, wherein the depositing step enhances corrosion resistance.
19. The method according to claim 8, wherein the depositing step enhances electromigration.
20. The method according to claim 12, wherein the depositing step enhances electromigration.
21. The method according to claim 8 further comprising the step of chemically mechanically polishing the substantially uniform conductive surface.
22. An integrated circuit manufactured including the steps of claim 1.
23. An integrated circuit manufactured including the steps of claim 5.
24. An integrated circuit manufactured including the steps of claim 8.
25. An integrated circuit manufactured including the steps of claim 21.
US10/425,783 2000-03-24 2003-04-29 Methods for repairing defects on a semiconductor substrate Abandoned US20040035709A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/425,783 US20040035709A1 (en) 2000-03-24 2003-04-29 Methods for repairing defects on a semiconductor substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/534,704 US6582579B1 (en) 2000-03-24 2000-03-24 Methods for repairing defects on a semiconductor substrate
US10/425,783 US20040035709A1 (en) 2000-03-24 2003-04-29 Methods for repairing defects on a semiconductor substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/534,704 Continuation US6582579B1 (en) 2000-03-24 2000-03-24 Methods for repairing defects on a semiconductor substrate

Publications (1)

Publication Number Publication Date
US20040035709A1 true US20040035709A1 (en) 2004-02-26

Family

ID=24131177

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/534,704 Expired - Lifetime US6582579B1 (en) 2000-03-24 2000-03-24 Methods for repairing defects on a semiconductor substrate
US10/425,783 Abandoned US20040035709A1 (en) 2000-03-24 2003-04-29 Methods for repairing defects on a semiconductor substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/534,704 Expired - Lifetime US6582579B1 (en) 2000-03-24 2000-03-24 Methods for repairing defects on a semiconductor substrate

Country Status (3)

Country Link
US (2) US6582579B1 (en)
AU (1) AU2001245980A1 (en)
WO (1) WO2001078135A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100084766A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
WO2015171180A1 (en) * 2014-05-05 2015-11-12 Apple Inc. Methods for forming defect-free anodized parts
US20180096902A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Metalization repair in semiconductor wafers

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US6943112B2 (en) * 2002-07-22 2005-09-13 Asm Nutool, Inc. Defect-free thin and planar film processing
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US20040253809A1 (en) * 2001-08-18 2004-12-16 Yao Xiang Yu Forming a semiconductor structure using a combination of planarizing methods and electropolishing
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6815354B2 (en) * 2001-10-27 2004-11-09 Nutool, Inc. Method and structure for thru-mask contact electrodeposition
US6780772B2 (en) * 2001-12-21 2004-08-24 Nutool, Inc. Method and system to provide electroplanarization of a workpiece with a conducting material layer
US6864181B2 (en) * 2003-03-27 2005-03-08 Lam Research Corporation Method and apparatus to form a planarized Cu interconnect layer using electroless membrane deposition
US20060104855A1 (en) * 2004-11-15 2006-05-18 Metallic Resources, Inc. Lead-free solder alloy
US7247558B2 (en) 2004-12-03 2007-07-24 Novellus Systems, Inc. Method and system for electroprocessing conductive layers
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US7625814B2 (en) 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7485561B2 (en) 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7884016B2 (en) 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US9837341B1 (en) * 2016-09-15 2017-12-05 Intel Corporation Tin-zinc microbump structures
US10741748B2 (en) * 2018-06-25 2020-08-11 International Business Machines Corporation Back end of line metallization structures

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5142828A (en) * 1990-06-25 1992-09-01 Microelectronics And Computer Technology Corporation Correcting a defective metallization layer on an electronic component by polishing
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5558568A (en) * 1994-10-11 1996-09-24 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings
US5692947A (en) * 1994-08-09 1997-12-02 Ontrak Systems, Inc. Linear polisher and method for semiconductor wafer planarization
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5855804A (en) * 1996-12-06 1999-01-05 Micron Technology, Inc. Method and apparatus for stopping mechanical and chemical-mechanical planarization of substrates at desired endpoints
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5928492A (en) * 1997-06-05 1999-07-27 Lucid Treatment Systems, Inc. Method and apparatus for recovery of water and slurry abrasives used for chemical and mechanical planarization
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6107186A (en) * 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US6612915B1 (en) * 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933758A (en) 1997-05-12 1999-08-03 Motorola, Inc. Method for preventing electroplating of copper on an exposed surface at the edge exclusion of a semiconductor wafer
WO1999026758A1 (en) * 1997-11-25 1999-06-03 John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6169664B1 (en) 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6218290B1 (en) * 1998-11-25 2001-04-17 Advanced Micro Devices, Inc. Copper dendrite prevention by chemical removal of dielectric
US6168704B1 (en) * 1999-02-04 2001-01-02 Advanced Micro Device, Inc. Site-selective electrochemical deposition of copper

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5142828A (en) * 1990-06-25 1992-09-01 Microelectronics And Computer Technology Corporation Correcting a defective metallization layer on an electronic component by polishing
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5429733A (en) * 1992-05-21 1995-07-04 Electroplating Engineers Of Japan, Ltd. Plating device for wafer
US5692947A (en) * 1994-08-09 1997-12-02 Ontrak Systems, Inc. Linear polisher and method for semiconductor wafer planarization
US5558568A (en) * 1994-10-11 1996-09-24 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5855804A (en) * 1996-12-06 1999-01-05 Micron Technology, Inc. Method and apparatus for stopping mechanical and chemical-mechanical planarization of substrates at desired endpoints
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5928492A (en) * 1997-06-05 1999-07-27 Lucid Treatment Systems, Inc. Method and apparatus for recovery of water and slurry abrasives used for chemical and mechanical planarization
US5833820A (en) * 1997-06-19 1998-11-10 Advanced Micro Devices, Inc. Electroplating apparatus
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US5882498A (en) * 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6107186A (en) * 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6103624A (en) * 1999-04-15 2000-08-15 Advanced Micro Devices, Inc. Method of improving Cu damascene interconnect reliability by laser anneal before barrier polish
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
US6612915B1 (en) * 1999-12-27 2003-09-02 Nutool Inc. Work piece carrier head for plating and polishing

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100084766A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Surface repair structure and process for interconnect applications
WO2010042263A1 (en) * 2008-10-08 2010-04-15 International Business Machines Corporation Surface repair structure and process for interconnect applications
US20120329270A1 (en) * 2008-10-08 2012-12-27 International Business Machines Corporation Surface repair structure and process for interconnect applications
US8802563B2 (en) * 2008-10-08 2014-08-12 International Business Machines Corporation Surface repair structure and process for interconnect applications
WO2015171180A1 (en) * 2014-05-05 2015-11-12 Apple Inc. Methods for forming defect-free anodized parts
US9279189B2 (en) 2014-05-05 2016-03-08 Apple Inc. Methods for forming defect-free anodized parts
US20180096902A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Metalization repair in semiconductor wafers
US20180096858A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Metalization repair in semiconductor wafers
US20190006248A1 (en) * 2016-09-30 2019-01-03 International Business Machines Corporation Metalization repair in semiconductor wafers
US11171064B2 (en) * 2016-09-30 2021-11-09 International Business Machines Corporation Metalization repair in semiconductor wafers
US11171063B2 (en) * 2016-09-30 2021-11-09 International Business Machines Corporation Metalization repair in semiconductor wafers

Also Published As

Publication number Publication date
US6582579B1 (en) 2003-06-24
WO2001078135A3 (en) 2002-02-21
AU2001245980A1 (en) 2001-10-23
WO2001078135A2 (en) 2001-10-18

Similar Documents

Publication Publication Date Title
US6582579B1 (en) Methods for repairing defects on a semiconductor substrate
US7172497B2 (en) Fabrication of semiconductor interconnect structures
US7129165B2 (en) Method and structure to improve reliability of copper interconnects
US6610596B1 (en) Method of forming metal interconnection using plating and semiconductor device manufactured by the method
US20060076244A1 (en) Barrier enhancement process for copper interconnects
US10804151B2 (en) Systems and methods for producing flat surfaces in interconnect structures
US6506668B1 (en) Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
JP2006066930A (en) Soft metal conductor and method of forming it
JPH11274208A (en) Method for obtaining copper stud by c4 plating
US6974769B2 (en) Conductive structure fabrication process using novel layered structure and conductive structure fabricated thereby for use in multi-level metallization
CN101577247B (en) Integrating metal with ultra low-k dielectrics
TW508687B (en) Local area alloying for preventing dishing of copper during chemical-mechanical polishing(CMP)
US6319834B1 (en) Method and apparatus for improved planarity metallization by electroplating and CMP
US7247558B2 (en) Method and system for electroprocessing conductive layers
US7204743B2 (en) Integrated circuit interconnect fabrication systems
JP4297292B2 (en) Semiconductor device wiring formation method and semiconductor device
US20040259348A1 (en) Method of reducing post-CMP defectivity
US20040241985A1 (en) Substrate processing method and apparatus
US7597787B2 (en) Methods and apparatuses for electrochemical deposition
US6777807B1 (en) Interconnect integration
US20030168345A1 (en) In-situ monitor seed for copper plating
US6797144B2 (en) Method for reducing surface defects in an electrodeposition process

Legal Events

Date Code Title Description
AS Assignment

Owner name: NUTOOL, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:UZOH, CYPRIAN;REEL/FRAME:014039/0672

Effective date: 20000322

AS Assignment

Owner name: ASM NUTOOL, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:NUTOOL, INC.;REEL/FRAME:016335/0131

Effective date: 20040729

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION