US20040157426A1 - Fabrication of advanced silicon-based MEMS devices - Google Patents

Fabrication of advanced silicon-based MEMS devices Download PDF

Info

Publication number
US20040157426A1
US20040157426A1 US10/410,158 US41015803A US2004157426A1 US 20040157426 A1 US20040157426 A1 US 20040157426A1 US 41015803 A US41015803 A US 41015803A US 2004157426 A1 US2004157426 A1 US 2004157426A1
Authority
US
United States
Prior art keywords
layer
doped
silicon
amorphous silicon
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/410,158
Inventor
Luc Ouellet
Robert Antaki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Teledyne Digital Imaging Inc
Original Assignee
Dalsa Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dalsa Semiconductor Inc filed Critical Dalsa Semiconductor Inc
Priority to US10/410,158 priority Critical patent/US20040157426A1/en
Assigned to DALSA SEMICONDUCTOR INC. reassignment DALSA SEMICONDUCTOR INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANTAKI, ROBERT, OUELLET, LUC
Priority to EP04100440A priority patent/EP1452481B1/en
Priority to DE602004010729T priority patent/DE602004010729T2/en
Publication of US20040157426A1 publication Critical patent/US20040157426A1/en
Priority to US11/242,960 priority patent/US7160752B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00222Integrating an electronic processing unit with a micromechanical structure
    • B81C1/00246Monolithic integration, i.e. micromechanical structure and electronic processing unit are integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0164Controlling internal stress of deposited layers by doping the layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0167Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0169Controlling internal stress of deposited layers by post-annealing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0735Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit

Definitions

  • This invention relates to the fabrication of MEMS (Micro-Electro-Mechanical Systems) devices, and more particularly to a method of fabricating MEMS devices that permits the fabrication of advanced integrated circuits prior to the fabrication of the mechanical components on the same device.
  • MEMS Micro-Electro-Mechanical Systems
  • MEMS micro-electro-mechanical systems
  • a first well known example of a restrictive high-temperature stress-relief process is Analog Devices' Modular-MEMS process involving the integration of a 6 ⁇ m thick moving polysilicon structural material and a 5V 0.8 ⁇ m CMOS process for the control, sensing and interfacing functions.
  • An example of this process is described in the following reference: Moorthi Palaniapan, Roger T. Howe, John Yasaitis, “Integrated Surface-Micromachined Z-axis Frame Microgyroscope”, International Electron Device Meeting 2002, San Francisco, Dec. 8-11, 2002, Session 8: Detectors, sensors and displays—Recent advances in inertial and biological MEMs.
  • Analog Devices' Modular MEMS process uses a high-temperature stress-relief of a 6 ⁇ m thick moving polysilicon structural layer forming the mechanical parts. Following this high-temperature stress-relief a thick selective epitaxial silicon layer is epitaxially grown and used as substrate for the integrated circuit fabricated after a chemical-mechanical polishing, CMP, is performed as to achieve a smooth surface.
  • the epitaxial silicon deposition is typically performed at a temperature of about 1000-1200° C. and then result in another stress relief of the structural polysilicon.
  • the fabrication of the integrated circuit in this grown and polished epitaxial silicon will result in advanced MEMS such as Analog Devices' accelerometers and micro-gyroscopes.
  • Analog Devices' Modular MEMS process shown at FIG. 1 is characterized by the following requirements:
  • the integrated circuit must be fabricated after the high surface topology mechanical parts. Since advanced integrated circuits involve very fine structures to be fabricated into a smooth substrate using short depth-of-focus photo equipment, a planar substrate with smooth surface finish is to be achieved following the micro-machining of these mechanical parts.
  • the integrated circuit must be fabricated after the CMP in the polished epitaxial silicon regions.
  • Analog Devices' Modular MEMS process requires the use of a high-temperature stress-relief step of the MEMS structures during the epitaxial growth of the substrate to be used for the integrated circuit portion. This in turn imposes the use of CMP and the fabrication of the integrated circuit after fabrication of the mechanical parts.
  • a second example of such a restrictive high-temperature stress-relief process is Samsung Advanced Institute of Technology's (SAIT's) MEMS process involving the integration of a double polysilicon MEMS device with a standard integrated circuit.
  • SAIT's Samsung Advanced Institute of Technology's
  • An example of this process is shown in the following reference: Y B Gianchandani, H Kim, M Shinn, B Ha, B Lee, K Najafi and C Song, “A fabrication process for integrating polysilicon microstructures with post-processed CMOS circuits”, J. Micromech. Microeng. 10 (2000) 380-386.
  • SAIT's MEMS process uses a trench created using KOH to recess the microstructural polysilicon of the mechanical parts protected by an oxide/nitride cap layer during the fabrication of the integrated circuit AFTER the fabrication of the mechanical parts.
  • the 1200° C. and 16 hours drive-in diffusion of the p-well implant is used as stress-relief of the microstructural polysilicon as to achieve advanced MEMS.
  • SAIT's MEMS process is shown in FIG. 2 and comprises the following steps:
  • SAIT's MEMS process also imposes the use of a high-temperature stress-relief of the MEMS structures at 1200° C. for 16 hours during the drive-in diffusion of the p-well implant of the integrated circuit and imposes the fabrication of the integrated circuit AFTER the mechanical parts.
  • a third example of such a restrictive high-temperature stress-relief process is Sandia National Laboratories' (Sandia's) Integrated MicroElectroMechanical Systems (IMEMS) process involving the integration of a MEMS device incorporating at least two levels of polysilicon with an integrated circuit.
  • IMEMS Integrated MicroElectroMechanical Systems
  • An example of this process is shown in the following reference: J. H. Smith, S. Montague, J. J. Sniegowski, J. R. Murray, and P. J. McWhorter, “Embedded micromechanical devices for the monolithic integration of MEMS with CMOS”, IEDM 1995 proceedings, pp. 609-612.
  • Sandia's MEMS process uses a trench created using KOH to recess the mechanical parts in a shallow trench, requires polishing the wafer using CMP, and requires sealing the mechanical parts in the trench using a silicon nitride layer.
  • a high-temperature stress-relief is performed after the mechanical parts are embedded in the trench prior to processing conventional CMOS, bipolar or BiCMOS processes.
  • This high-temperature stress-relief of the micro-structural polysilicon ensures that the subsequent thermal budget of the CMOS, bipolar or BiCMOS processing will not affect the mechanical properties of the polysilicon and will achieve an operational IMEMS.
  • Sandia's IMEMS process shown at FIG. 3 is then characterized by the following:
  • CMOS bipolar or BiCMOS devices require the CMOS bipolar or BiCMOS devices to be fabricated after the high surface topology mechanical parts. Again, since advanced integrated circuits involve very fine structures to be fabricated into a smooth substrate using short depth-of-focus photo equipment, a planar substrate with smooth surface finish is to be achieved following the micro-machining of these mechanical parts;
  • CMOS bipolar or BiCMOS it requires the CMOS bipolar or BiCMOS to be performed after the CMP into the polished silicon regions.
  • the Sandia's IMEMS process also imposes the use of a high-temperature stress-relief of the MEMS structures prior the integrated circuit processing, imposes the use of CMP and imposes the fabrication of the integrated circuit after the mechanical parts.
  • a fourth example of such a restrictive process is MEMSCAP's Polysilicon Multi-User MEMS process, MUMP, of the following reference: David A. Koester, Allen Cowen, Ramaswamy Mahadevan and Busbee Hardy, “PolyMUMPs design handbook, revision 8.0”, 2002.
  • This surface micromachining process uses three high-temperature stress-relieves of phosphorus-doped polysilicon, namely:
  • MEMSCAP's MUMPs process shown in FIG. 4 is then characterized by the following:
  • a fifth example of such a restrictive high-temperature stress-relief process is Robert Bosch GmbH's surface micromachining process described in the following three references: Horst Münzel, Michael Offenberg, Klaus Heyers, Bernhard Elsner, Markus Lutz, Helmut Skapa, Heinz-Georg Vossenberg, Nicholas Buchan, Eckhard Graf, U.S. Pat. No. 5,937,275, “Method fof producing acceleration sensors”, Robert Bosch GmbH, filed on Jul. 9, 1996 and granted on Aug. 10, 1999; M. Furtsch, M. Offenberg, H. Muenzel, J. R.
  • This process uses two levels of polysilicon, namely:
  • LPCVD Low Pressure Chemical Vapor Deposition
  • a second 10.3 ⁇ m thick structural epipoly layer is deposited as a 11.8 ⁇ m thick layer at a rate of 3.5 ⁇ m/minute and at a temperature of 1180° C. in a ASM Epsilon One Model-E2 single wafer epitaxy reactor using a trichlorosilane (SiHCl3), hydrogen (H2), and phosphine (PH3) process.
  • SiHCl3 trichlorosilane
  • H2 hydrogen
  • PH3 phosphine
  • any tentative of integrating an integrated circuit to Robert Bosch GmbH's surface micromachining process would also require the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • a sixth example of such a restrictive high-temperature stress-relief process is reported by Sandia's CMOS-first, micromechanics-last MEMS process involving the integration of a MEMS device with an integrated circuit where conventional aluminium interconnects are completely replaced by tungsten interconnects to prevent melting the aluminium interconnects and allow the integrated circuit to withstand the 1100° C., 3 hours heat treatment required for the stress-relief of the micro-machined polysilicon.
  • An example of this all-tungsten process is shown in the following three references: Stephen Montague, James H. Smith, Jeffrey. J. Sniegowski, Paul J. McWhorter, U.S. Pat. No.
  • a manually-aligned contact aligner has to be used; the TiN diffusion barrier sometimes fail during the 3 hours, 1100° C. stress-relief of the structural polysilicon, thus forming porous WTiSi and WSi at the basis of the contacts; and the out-diffusion of boron from the p+ source/drain implants in silicon causes a severe performance degradation of the p-channel devices due to an increase in contact resistance between tungsten and p-type silicon during the 3 hours, 1100° C. stress-relief of the structural polysilicon;
  • a first example of a technique used to reduce the stress-relief temperature of un-doped silicon films is provided by the following publications from Universitry of Michigan: Khalil Najafi and Carlos. H. Mastrangelo, “Solid-state microsensors and smart structures”, 1993 IEEE Ultrasonics Symposium, pp. 341-350; Carlos. H. Mastrangelo and William C. Tang, “Surface-micromachined capacitive differential pressure sensor with lithographically defined silicon diaphragm”, Journal of microelectromechanical systems, Vol. 5, No. 2, June 1996; P.-C. Hsu, C. H. Mastrangelo, and K. D.
  • This technique describes the deposition of a low-stress un-doped polysilicon at a pressure of 160 mTorr and at a temperature of about 590-610° C.
  • This un-doped polysilicon is electrically non-conductive and a phosphorus implantation at an energy of 100 keV and a dose of about 1-2E16/cm 2 followed by an anneal at 950° C. for a few hours in nitrogen is required as to activate the phosphorus dopants and increase its electrical conductivity.
  • This high-temperature stress-relief allow the mechanical stress of the electrically conductive polysilicon to be reduced to about 25 MPa but again limits the integration over an integrated circuit.
  • this technique describes the deposition of an un-doped polysilicon film at a temperature of 588° C. resulting in a non-conductive polysilicon having an as-deposited tensile stress of less then 100 MPa.
  • a phosphorus implantation at an energy of 50 keV and a dose of about 7E15/cm 2 , followed by a one hour duration 1050° C. phosphorus activation and stress-relief in nitrogen reduce its residual stress as much as possible.
  • This technique then requires a post-deposition implantation and a few hours duration 950° C. stress-relief and activation or a one hour duration 1050° C. stress-relief and actuation of the deposited polysilicon.
  • the few hours duration 950° C. stress-relief and activation is marginally better then the one associated with the upper-described Prior Art references and, again, any tentative of an integrating integrated circuit to University of Michigan's surface micromachining process would also require the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • a second example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from UC Berkeley: K. S. Lebouitz, A. Mazaheri, R. T. Howe, and A. P. Pisano, “Vacuum Encapsulation of Resonant Devices Using Permeable Polysilicon,” Proc. 12th International IEEE Conference on Micro Electro Mechanical Systems (MEMS '99), Orlando, Fla., pp. 470-5; Jeffrey D. Zahn, David Trebotich and Dorian Liepmann, “Microfabricated microdialysis microneedles for continuous medical monitoring”, 1st Annual International IEEE-EMBS Special Topic Conference on Microtechnologies in Medicine & Biology 1, Oct.
  • a third example of a technique used to reduce the stress-relief temperature of un-doped silicon films is provided by the following publications from U. of Wisconsin: Henry Guckel and David W. Burns, U.S. Pat. No. 4,897,360, “Polysilicon thin film process”, Wisconsin Alumni Research Foundation, filed on Dec. 9, 1987 and granted on Jan. 30, 1990; Henry Guckel and Jeffry Sniegowski, U.S. Pat. No. 5,090,254, “Polysilicon resonating beam transducers”, Wisconsin Alumni Research Foundation, filed on Apr. 11, 1990 and granted on Feb. 25, 1992; Henry Guckel and Jeffry Sniegowski, U.S. Pat. No. 5,188,983, “Polysilicon resonating beam transducers and method of producing the same”, Wisconsin Alumni Research Foundation, filed on Jan. 3, 1992 and granted on Feb. 23, 1993.
  • the 2.0 ⁇ m thick as-deposited un-doped film shows two phases: A first phase, located between the substrate and the film interior, characterized by an un-doped polycrystalline material with 30 nm to 300 nm grains having no measurable preferred orientation, and a second phase, located above the first polycrystalline phase and extending up to the film surface, characterized by an un-doped amorphous material with a measured surface roughness of as low as 0.8 nm rms.
  • the mechanical stress of this two-phase as-deposited un-doped film is typically 300 MPa compressive and can be converted to predetermined tensile stress levels by a re-crystallization using a post-deposition stress-relief in nitrogen during which the un-doped amorphous phase changes to the un-doped crystalline phase.
  • a 60 minutes duration 835° C. stress-relief in nitrogen produces a single phase re-crystallized un-doped polycrystalline film having a final tensile stress of 130 MPa and no measurable increase in surface roughness.
  • a lower stress-relief temperature results in a re-crystallized un-doped film with a tensile stress of as low as 20 MPa.
  • a fourth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from Siemens Aktiengesellschaft: Markus Biebl, U.S. Pat. No. 5,753,134, “Method for producing a layer with reduced mechanical stresses”, Siemens Aktiengesellschaft, filed on Nov. 23, 1994 and granted on May 19, 1998.
  • this cited prior art patent protects a technique to form an un-doped silicon-based laminated structure having a reduced mechanical stress.
  • this low-stress un-doped laminated structure 30 could be deposited (respectively in order, from the underlying sacrificial layer to the top surface) using a first high-temperature compressive stress un-doped polysilicon 23 , a first 0.1 to 3 nm thick auxiliary SiO 2 layer 24 (produced, for example, by a waiting time at atmosphere), a second low-temperature tensile stress un-doped amorphous silicon 25 , a second 0.1 to 3 nm thick auxiliary SiO 2 layer 26 , a third high-temperature compressive stress un-doped polysilicon 27 , a third 0.1 to 3 nm thick auxiliary SiO 2 layer 28 and a fourth low-temperature tensile stress amorphous un-doped silicon 29 .
  • the auxiliary SiO 2 layers 24 are examples of the first high
  • This cited prior art patent discloses that the un-doped layers 23 , 25 , 27 , 29 , of individual thickness ranging between 50 nm and 1 ⁇ m, have to be implanted and high-temperature activated using rapid thermal activation, RTA, at approximately 1000° C. after the deposition of the corresponding layers as to reduce the electrical resistance of the laminated structure 30 . Following these four RTA, the second amorphous un-doped silicon layer 25 and the fourth amorphous un-doped silicon layer 29 are converted into doped polycrystalline silicon, similar to doped polysilicon layers 23 and 27 .
  • RTA rapid thermal activation
  • these four implantations and four high-temperature RTA steps should break up the auxiliary SiO 2 layers 24 , 26 , 28 . Again, the required four RTA steps at a temperature of about 1000° C. is too restrictive and do not allow MEMS integration AFTER the integrated circuit.
  • a fifth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from Case Western Reserve University: Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,268,068, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Mar. 1, 1999 and granted on Jul. 31, 2001; Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,465,045, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Oct. 18, 2000 and granted on Oct. 15, 2002; Arthur H. Heuer, Harold Kahn, Jie Yang and Stephen M. Phillips, U.S. Pat. No.
  • an example of such low-stress laminated structure combining tensile stress (about +200 MPa) un-doped amorphous silicon layers and compressive stress (about ⁇ 200 MPa) un-doped polysilicon layers is a 3.0 ⁇ m thick laminated structure having an overall tensile mechanical stress of only 7 MPa using the following nine (9) layers:
  • This cited prior art patent indicates that the 59 minutes duration deposition of the first and ninth un-doped layers (one-half the deposition time for each of un-doped layers 3 , 5 , and 7 ) provides a low residual stress and a relatively smooth outer finish.
  • the laminated structure of this cited prior art patent has to be implanted and high-temperature activated using either RTA or furnace activation at approximately 1000° C. and for a duration sufficiently long as to uniformly dope and reduce the electrical resistance of the obtained 3 ⁇ m thick laminated structure.
  • the first, third, fifth, seventh and ninth devitrified un-doped silicon layers will be converted into doped polycrystalline silicon, similar to the second, fourth, sixth and eight doped polysilicon layers.
  • This required RTA or furnace activation at a temperature of about 1000° C. is again too restrictive and do not allow MEMS integration AFTER the integrated circuit.
  • a sixth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from UC Berkeley: James M. Bustillo, Roger T. Howe and Richard S. Muller, “Surface Micromachining for Microelectromechanical Systems”, Proceedings of the IEEE, Vol. 86, No. 8, August 1998; Jocelyn Tsekan Nee, “Hybrid surface-/bulk micromachining processes for scanning micro-optical components”, Ph.D. thesis, UC Berkeley, Fall 2001.
  • a low-stress re-crystallized in-situ doped polysilicon has been investigated at UC Berkeley.
  • a deposition temperature of 585-590° C. an in-situ doped low-resistivity polysilicon can be deposited at a relatively rapid rate.
  • this as-deposited low-resistivity phosphorus-doped polysilicon obtained at such 585-590° C. temperature suffers from crystallographic inhomogeneity observed as polysilicon grains near the underlying oxide interface which progressively disappear toward the upper surface. This non-homogeneous crystalline structure results in a stress gradient and requires a short duration 950° C.
  • RTA rapid-thermal annealing
  • Analog Devices' Modular MEMS process requires the CMOS devices to be fabricated in a thick polished (using CMP) selective epitaxial silicon layer AFTER the mechanical parts are fabricated. In that case, the epitaxial silicon deposition at a temperature of about 1000-1200° C. and the well diffusions of the integrated circuit allow the stress relief of the structural polysilicon.
  • CMOS, bipolar or BiCMOS devices are fabricated after the mechanical parts. Again, a high-temperature stress-relief at temperatures of the order of 1000° C. is performed after the mechanical parts prior fabricating the integrated circuit as to ensure that the subsequent thermal budget required integrated circuit processing will not affect the mechanical properties of the mechanical parts.
  • MEMSCAP's Polysilicon Multi-User MEMS (PolyMUMPs) process requires three successive (1 hour each) 1050° C. exposures to dope and stress-relief the three layers of polysilicon doped by the phosphorus diffusing from the neighboring phosphosilicate layers. Again, any tentative of integrating CMOS devices to the MUMPs process would require the integrated circuit to be fabricated after the mechanical parts as to prevent the destruction of the junctions during these three 1050° C. exposures.
  • Robert Bosch GmbH's surface micromachining process requires two high-temperature exposures: A first one, at 1000° C. in an oxygen ambient, to drive and activate the Sb dopant of a first polysilicon layer; and a second one, at about 1100° C., for the deposition of the second structural epipoly layer. Again, this process requires the integrated circuit to be fabricated after the mechanical parts as to prevent the destruction of the junctions during such restrictive heat treatments.
  • CMOS-first, micromechanics-last MEMS process decribes the fabrication the CMOS devices before the mechanical parts but still requires a very restrictive 3 hours duration and 1100° C. stress-relief of the structural polysilicon following the integrated circuit fabrication.
  • aluminum interconnects are completely replaced by 1.0 ⁇ m thick low-stress tungsten interconnects deposited by chemical vapor deposition, CVD over a titanium nitride, TiN, barrier layer.
  • CVD chemical vapor deposition
  • TiN titanium nitride
  • barrier layer The process is yet unstable because of various issues related to: tungsten delamination and lifting at bond pads, tungsten surface roughness imposing manual photolithography, TiN barrier layer failure during the 3 hours, 1100° C.
  • UC Berkeley's low-stress un-doped porous polysilicon process describes an un-doped low residual stress porous polysilicon deposited at 597° C.-605° C. under special conditions which requires its doping and activation at a high-temperature ranging between 950° C. and 1050° C. as to achieve low-stress conductive permeable polysilicon material. Again, the required 950° C.-1050° C. diffusion and activation of the low residual stress porous polysilicon again forces the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • U. of Wisconsin's low-stress re-crystallized polysilicon process describes the 591° C. deposition of a 2.0 ⁇ m thick 300 MPa compressive stress un-doped silicon film having two phases: A bottom un-doped polycrystalline phase and an upper un-doped amorphous phase.
  • a post-deposition stress relief at a temperature below 835° C. allows this film to be converted to a re-crystallized un-doped film with a tensile stress of as low as 20 MPa.
  • the post-deposition diffusion and activation of these un-doped re-crystallized films at a temperature between 950° C.-1050° C. again forces the integrated circuit to be fabricated after the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • Siemens Aktiengesellschaft's low-stress layered polysilicon process describes a technique to form an low mechanical stress un-doped silicon-based laminated structure using a first high-temperature compressive stress un-doped polysilicon, a first auxiliary SiO 2 layer, a second low-temperature tensile stress un-doped amorphous silicon, a second auxiliary SiO 2 layer, a third high-temperature compressive stress un-doped polysilicon, a third auxiliary SiO 2 layer and a fourth low-temperature tensile stress amorphous un-doped silicon.
  • Case Western Reserve University's low-stress layered polysilicon process describes the fabrication of a 3.0 ⁇ m thick low tensile stress (+7 MPa) laminated structures involving the sequential combination of five low-temperature (570° C. or lower) +200 MPa tensile stress amorphous (or devitrified) un-doped silicon layers and of four high-temperature (615° C.) ⁇ 200 MPa compressive stress un-doped polysilicon layers with no auxiliary SiO 2 layers in a single deposition run, without atmospheric exposure.
  • the post-deposition diffusion and activation of this un-doped laminated structure by RTA or furnace activation at approximately 1000° C. for a duration sufficiently long as to uniformly dope and reduce the electrical resistance of the obtained 3 ⁇ m thick laminated structure again forces the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • UC Berkeley's low-stress re-crystallized in-situ doped polysilicon process describes a low-stress re-crystallized in-situ doped polysilicon deposited at a temperature of about 585-590° C. at a relatively rapid rate providing a film with a crystallographic inhomogeneity observed as polysilicon grains near the underlying oxide interface which progressively disappear toward the upper surface.
  • This non-homogeneous crystalline structure results in a stress gradient and requires a short duration 950° C. RTA to achieve a low tensile stress with negligible stress gradient throughout the film thickness. Again, the short 950° C. RTA of the non-homogeneous crystalline structure forces the integrated circuit to be fabricated after the MEMS process as to prevent the destruction of the junctions and the interconnects during such restrictive heat treatments.
  • the present invention discloses an improved technique allowing the fabrication of advanced MEMS after the integrated circuit. This technique eliminates the excessive high-temperature treatment to stress-relief, dope or activates the dopants of the deposited silicon. avoids the use of CMP and allows advanced integrated circuits to be fabricated before the mechanical parts
  • a method fabricating a micro-electro-mechanical (MEM) device and an electronic device on a common substrate comprising the steps of fabricating an electronic device comprising a plurality of electronic components on said common substrate; depositing a thermally stable interconnect layer on said electronic device; encapsulating the interconnected electronic device with a protective layer; forming a sacrificial layer over said protective layer; opening holes in the sacrificial layer and said protective layer to allow the connection of the MEM device to said electronic device; fabricating said MEM device by depositing and patterning at least one layer of amorphous silicon; and removing at least a portion of said sacrificial layer.
  • MEM micro-electro-mechanical
  • the interconnect layer may involve only one level of interconnections, or alternatively it may two, three, four, or more than four levels of interconnections.
  • the MEM device can, for example, be a photonics MEM device; a bioMEM device; an RF MEM device; an automotive MEM device; an industrial MEM device; a spatial MEM device; a military MEM device; a MEM device fabricated, at least partially, by surface micro-machining; or a MEM device fabricated, at least partially, by bulk micro-machining.
  • the at least one amorphous silicon layer is deposited at a temperature of less than 580° C., preferably less than 570° C., preferably less than 560° C., and more preferably between 520 and 550° C.
  • the at least one layer of amorphous silicon is preferably deposited using silane at a partial pressure of less than 500 mTorr, preferably less than 2000 mTorr, preferably less than 1000 mTorr; preferably less than 750 mTorr; preferably less than than 500 mTorr; and most preferably between 100 and 500 mTorr.
  • the at least one layer of amorphous silicon may be phosphorus-doped using a phosphine partial pressure of less than 5 mTorr, preferably less than 2 mTorr; preferably less than 1 mTorr; preferably less than 0.75 mTorr; preferably less than 0.50 mTorr; and preferably between 0.10 and 0.50 mTorr.
  • the at least one layer of amorphous silicon may be phosphorus-doped to provide a bulk resistivity of less than 1000 mohm.cm; less than 100 mohm.cm; less than 10 mohm.cm; less than 5 mohm.cm; less than 2 mohm.cm; less than 1 mohm.cm, or between 0.1 and 1 mohm.cm.
  • the at least one layer of amorphous silicon may be un-doped and have a compressive mechanical stress of less than ⁇ 400 MPa; less than ⁇ 300 MPa; less than ⁇ 200 MPa; less than ⁇ 100 MPa; less than ⁇ 50 MPa; less than ⁇ 20 MPa; less than ⁇ 10 MPa; or between ⁇ 0.01 MPa and ⁇ 10 MPa.
  • the at least one layer of amorphous silicon is phosphorus-doped and has a tensile mechanical stress of less than +400 MPa; less than +300 MPa; less than +200 MPa; less than +100 MPa; less than +50 MPa; less than +20 MPa; less than +10 MPa, or between +0.01 MPa and +10 MPa.
  • the at least one layer of amorphous silicon may be slightly phosphorus-doped and have a low residual mechanical stress of less than ⁇ 100 MPa; less than ⁇ 50 MPa; less than ⁇ 20 MPa; less than ⁇ 10 MPa; between ⁇ 0.01 MPa and ⁇ 10 MPa; between +0.01 MPa and +10 MPa; less than +10 MPa; less than +20 MPa; less than +50 MPa; or ess than +100 MP.
  • several said layers of amorphous silicon form a laminated structure combining un-doped and phosphorus-doped layers.
  • the laminated structure has a low residual mechanical stress of less than ⁇ 100 MPa; less than than ⁇ 50 MPa; less than ⁇ 20 MPa; less than ⁇ 10 MPa; between ⁇ 0.01 MPa and ⁇ 10 MPa; between +0.01 MPa and +10 MPa; less than +10 MPa; less than +20 MPa; less than +50 MPa; or less than +100 MPa.
  • the at least one layer of amorphous silicon is un-doped and has an absolute stress gradient of less than 20 MPa/ ⁇ m; less than 10 MPa/ ⁇ m; less than 5 MPa/ ⁇ m; less than 3 MPa/ ⁇ m; less than 2 MPa/ ⁇ m; less than 1 MPa/ ⁇ m; or between 0.1 MPa/ ⁇ m and 1 MPa/ ⁇ m;
  • the at least one layer of amorphous silicon can be phosphorus-doped and have an absolute stress gradient of less than 20 MPa/ ⁇ m; less than 10 MPa/ ⁇ m; less than 5 MPa/ ⁇ m; less than 3 MPa/ ⁇ m; less than 2 MPa/ ⁇ m; less than 1 MPa/ ⁇ m; or between 0.1 MPa/ ⁇ m and 1 MPa/ ⁇ m.
  • the at least one layer of amorphous silicon is slightly phosphorus-doped and has a low absolute stress gradient of less than 5 MPa/ ⁇ m; less than 3 MPa/ ⁇ m; less than 2 MPa/ ⁇ m; less than 1 MPa/ ⁇ m; or between 0.1 MPa/ ⁇ m and 1 MPa/ ⁇ m.
  • the amorphous silicon can comprise several layers forming a laminated structure combining un-doped and phosphorus-doped layers, the laminated having a low absolute stress gradient of ⁇ less than 5 MPa/ ⁇ m; less than 3 MPa/ ⁇ m; less than 2 MPa/ ⁇ m; less than 1 MPa/ ⁇ m; between 0.1 MPa/ ⁇ m and 1 MPa/ ⁇ m.
  • the present invention can produce at a temperature compatible with an underlying integrated circuit an electrically conductive and low mechanical stress and stress gradient laminated structure in a single integrated cycle in a low-temperature reactor without the need for air exposures, without the need for interfacial auxiliary oxide layers, without the need for dopant implantation, without the need for high temperature dopant diffusion and/or activation in a furnace or a rapid thermal processor in order to produce by surface micro-machining over a previously fabricated integrated circuit incorporating CMOS, bipolar, Bi-CMOS and/or high-voltage devices the electrically conductive low-stress mechanical structures allowing the mechanical functions of the integrated MEMS device over its control electronics.
  • RF MEMS and such RF telecommunications
  • Photonics MEMS biomedical and medicine
  • biochips, lab-on-a-chip and such automotive (pressure sensors, inertial sensors and such), information technology (printer heads, magnetic components for hard disks, and such), industrial (gas sensors and such).
  • RF MEMS and such RF telecommunications
  • Photonics MEMS biomedical and medicine
  • automotive pressure sensors, inertial sensors and such
  • information technology printinger heads, magnetic components for hard disks, and such
  • industrial gas sensors and such
  • FIG. 1 illustrates Analog Devices' Modular prior art MEMS process
  • FIG. 2 illustrates SAIT's prior art MEMS process
  • FIG. 3 illustrates Sandia's prior art IMEMS process
  • FIG. 4 illustrates MEMSCAP's MUMPs prior art process
  • FIG. 5 illustrates Robert Bosch's prior art surface micromachining process
  • FIG. 6 illustrates Sandia's prior art CMOS-first, micromechanics-last MEMS process
  • FIG. 7 illustrates UC Berkeley's prior art low-stress un-doped porous polysilicon
  • FIG. 8 illustrates prior art Siemens Aktiengesellschaft's low-stress laminated silicon structure
  • FIG. 9 illustrates Case Western Reserve prior art University' slow-stress laminated silicon structures
  • FIG. 10 illustrates UC Berkeley's prior art low-stress re-crystallized in-situ doped polysilicon
  • FIG. 11 illustrates a strategy allowing the fabrication of advanced MEMS after the integrated circuit in accordance with one embodiment of the invention
  • FIG. 12 shows Al—Si and Al—Cu phase diagrams
  • FIG. 13 illustrates Samsung's 16 Mb DRAM using a high-temperature aluminium reflow technique
  • FIG. 14 illustrates an interconnection strategy allowing the fabrication of advanced MEMS after the integrated circuit in accordance with an embodiment of the invention
  • FIG. 15 shows atomic force microscopy measurements of the surface roughness of amorphous and polysilicon layers
  • FIG. 16 shows the deposition of un-doped amorphous silicon using the pyrolisis of silane
  • FIG. 17 shows the deposition of un-doped amorphous silicon using the pyrolisis of silane
  • FIG. 18 shows the deposition rates of un-doped and in-situ doped amorphous silicon and polysilicon layers
  • FIG. 19 is an example of non-repeatable mechanical properties of amorphous silicon deposited at temperatures between 550° C. and 575° C. under diffusion rate limited conditions;
  • FIG. 20 shows the compressive mechanical stress of un-doped amorphous silicon using the pyrolisis of silane close to the reaction rate limited conditions
  • FIG. 21 shows the atomic radii of phosphorus and silicon
  • FIG. 22 shows the relative variation of lattice spacing of single crystal silicon wafers and the phosphorus doping level
  • FIG. 23 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine
  • FIG. 24 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine
  • FIG. 25 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine.
  • FIG. 26 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine.
  • the MEMS device shown in FIG. 11 has a mechanical MEMS part 10 and an integrated circuit part 11 . These are formed on a silicon substrate 12 having a well 13 with tungsten plugs 14 over Ti/TiN at the contacts. A TiN/Al/TiN layer 15 provides the interconnects.
  • a first silicon layer 15 interconnects the integrated circuit 11 and MEMS device 10 , which is made out of structural silicon layers 17 over a region 18 where sacrificial material has been removed.
  • the maximum temperature at which typical Al—Si binary alloy, Al—Cu binary alloy or Al—Si—Cu ternary alloy interconnections can be exposed is largely dependant upon the eutectic temperature of these aluminium alloys.
  • the aluminium-rich side of the Al—Si and Al—Cu phase diagram are shown on FIG. 12.
  • the aluminium-rich side of the Al—Si—Cu phase diagram is built from the aluminium-rich side of the individual Al—Si, Al—Cu phase diagrams. It is clear from FIG. 12 that aluminium can dissolve the silicon of a binary Al-1 wt % Si alloy and be exposed to a temperature as high as 622° C.
  • aluminium can dissolve the copper of a binary Al-1 wt % Cu alloy and be exposed to a temperature as high as 645° C. before any eutectic formation while the copper of a binary Al-6 wt % Cu would provoke the formation of a eutectic when exposed to a temperature as low as 548° C. Combining these results, it is anticipated that aluminium could dissolve the silicon and the copper of a ternary Al-1 wt % Si-1 wt % Cu alloy and be exposed to a! temperature as high as 622° C. before any Al—Si eutectic formation.
  • FIG. 13 shows some pictures taken from the ICE's Construction analysis report of Samsung's 16 Mb DRAM and clearly demonstrate that this 16 Mb DRAM device used the upper disclosed high-temperature aluminium reflow technique.
  • the two levels of interconnects are the following:
  • a first metal composed of a 0.15 ⁇ m thick TiN barrier, a reflowed 0.55 ⁇ m thick aluminium alloy and a 0.04 ⁇ m thick TiN anti-reflective (cap) layer;
  • a second metal composed of a non-reflowed 0.91 ⁇ m thick silicon-containing aluminium alloy.
  • Al-1 wt % Si, Al-0.5 wt % Cu or Al-1 wt % Si-0.5 wt % Cu alloys are heated for a few minutes at a temperature of 550° C. to provoke this the aluminium reflow in the contacts to N+, P+, Poly 1 and Polycide regions and ensure a smooth and planarized top surface.
  • the underlying TiN barrier layer prevents the underlying silicon material from being dissolved in the aluminium alloy, which would result in electrical shorts of the underlying N+ and P+ junctions.
  • the resistance of the TiN barrier at such a high temperature can be improved by some techniques, such as the one described in the following USA patent: Luc Ouellet, Yves Tremblay and Luc Gendron, U.S. Pat. No. 6,127,266, “Stabilization of the interface between TiN and Al alloys”, Mitel Corporation, filed on Nov. 26, 1997 and granted on Oct. 3, 2000; but it would still not resist very long exposures at such a high temperature, thus causing breakdown of the TiN barrier and junction leakage.
  • the tungsten plug shown in FIG. 11 is used to contact N+, P+, Poly 1 and other underlying materials under the first level of interconnection of the integrated circuit.
  • the tungsten-TiN interface is very resistant to prolonged exposures to very high temperatures.
  • FIG. 14 shows the interconnection strategy allowing the fabrication of advanced MEMS after the integrated circuit. It involves the following steps:
  • a Ti/TiN contact layer is deposited on the active components to ensure low contact resistance to N+, P+, Poly 1 and other underlying materials.
  • a tungsten layer is deposited on the contact layer using chemical vapour deposition (CVD).
  • the TiN/AlSiCu/TiN interconnect layer is patterned to form a high temperature stability and low resistance interconnections over which a MEMS device can be fabricated at temperatures up to 550° C.
  • the interconnect layer should include a contact material incorporating a refractory material ensuring thermally stable contact resistance to N+ doped silicon, P+ doped silicon, and polysilicon.
  • a contact material incorporating a refractory material ensuring thermally stable contact resistance to N+ doped silicon, P+ doped silicon, and polysilicon.
  • it can be titanium Ti; titanium-tungsten alloy, TiW; titanium nitride, TiN; titanium silicide, TiSi2; or a combination thereof.
  • the interconnect layer can also comprise a material such as aluminum; an aluminum-silicon binary alloy plug containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy plug containing less than 6.0 wt % of copper to ensure a silicon-eutectic temperature of more than 548° C.; another binary aluminum alloy plug having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C., Copper; Tungsten; a combination thereof.
  • a material such as aluminum
  • the interconnect layer can be deposited by Physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Electroplating; Electroless plating; a combinations thereof.
  • the interconnect layer preferably comprises a layered structure ensuring thermally stable interconnects, said layered interconnection structure comprising a titanium-based under-layer, an aluminum-based middle-layer, and a titanium-based over-layer.
  • the titanium-based under-layer is titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN;
  • the an aluminum-based middle-layer is aluminum, Al; an aluminum-silicon binary alloy containing less than 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy containing less than 6.0 wt % of copper, as to ensure a silicon-eutectic temperature of more than 548° C.; a binary aluminum alloy having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having
  • the contact material can be deposited by Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof.
  • a protective layer of undoped amorphous silicon is deposited over the interconnect layer.
  • the protective layer can be a titanium, Ti, layer; a titanium nitride, TiN, layer; an aluminum alloy layer; a plasma-enhanced chemical vapor deposited, PECVD, silicon nitride layer; a spin-on polymer; or a combination thereof.
  • the protective layer can be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or Combinations thereof.
  • a sacrificial layer is deposited over the protective layer composed of: a silicate glass, SG, layer; a phosphorus-doped silicate glass, PSG, layer; a boron-doped silicate glass, BSG, layer; a boron- and phosphorus-doped silicate glass, BPSG, layer; a tetraethyl-ortho-silicate-glass, TEOS, layer; a fluorinated dielectric; a highly porous dielectric; a silicate spin-on glass, SOG, layer; a phosphorus-doped silicate SOG layer; or combinations thereof.
  • an amorphous silicon layer is deposited on the sacrificial layer and patterned.
  • the sacrificial layer is then at least partially etched away to expose the MEM device.
  • the sacrificial layer can be etched away using concentrated (49%) hydrofluoric, HF, liquid acid; Concentrated (49%) hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Ammonium fluoride, HN 4 F buffered concentrated (49%) hydrofluoric, HF, liquid acid; Ammonium fluoride, HN 4 F buffered concentrated (49%) hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Water diluted hydrofluoric, HF, liquid acid; Water diluted hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Water diluted and ammonium fluoride, HN 4 F buffered diluted hydrofluoric, HF, liquid acid; Water diluted and ammonium fluoride, HN 4 F buffered diluted hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Vapor hydrofluoric, HF, liquid acid; Vapor hydrofluoric, HF, liquid
  • the layer of amorphous silicon can be patterned using wet etching; plasma etching; Reactive ion etching, RIE; Deep reactive ion etching, DRIE; Combinations thereof.
  • the opening of the holes in the sacrificial layer and in the protective layer can permit the establishment of connections to circuit elements such as an N+ junction; a P+ junction; a polysilicon layer; an interconnection; or combinations thereof.
  • the opening of the holes can be performed by: wet etching; Plasma etching; Reactive ion etching, RIE; Deep reactive ion etching, DRIE; Combinations thereof.
  • the interconnection strategy described in FIG. 14 allows the fabrication of advanced MEMS after the integrated circuit as shown in FIG. 11.
  • This interconnection strategy constitutes an important element of the present invention because it enables the integration of mechanical parts fabricated at a temperature of ranging between 530° C. and 550° C.
  • the invention describes a new way to use in-situ doped amorphous silicon films as to achieve low-temperature conductive laminated structures having low residual stress.
  • Polysilicon resistivity can be largely reduced by an in-situ doping during deposition by adding to silane some reaction gases such as phosphine (phosphorus n-type doping), arsine (arsenic n-type doping) or diborane (boron p-type doping), for example:
  • silane some reaction gases such as phosphine (phosphorus n-type doping), arsine (arsenic n-type doping) or diborane (boron p-type doping), for example:
  • FIG. 15 shows the improved surface finish (measured by atomic force microscopy) associated with thick layers of amorphous silicon. Since most MEMS devices use very thick layers of structural material, the improved surface roughness associated with amorphous silicon is a major advantage since it allows the thick structural amorphous silicon to be finely patterned using advanced photolithography equipment.
  • the amorphous silicon growth rate should be reaction rate limited and should follow the following Arrhenius equation:
  • Ea silane's pyrolisis activation energy (eV)
  • k is Boltzmann's constant
  • T is the temperature (K).
  • This expectation can be easily verified at a given growth temperature by varying the flux of incoming silane on the surface (by varying the rate of incoming silane “feeding” the pyrolisis reaction via adjustments of the mass flow of silane incoming the pyrolisis equipment or, alternately, by varying the partial pressure of silane in equilibrium into the pyrolisis equipment via adjustments of the pumping rate at a given incoming rate of silane). If the pyrolisis reaction is rate limited, the growth rate would then be unaffected by these adjustments (i.e. there would be enough silane and not too much hydrogen to block the pyrolisis reaction) and the growth rate would be the maximum growth rate predicted by the Arrhenius equation for that growth temperature.
  • FIG. 16 shows that the pyrolisis of silane is in fact not reaction rate limited in most cases and that the lack of silane raw material at the surface slows the deposition rate below the maximum deposition rate at any given temperature.
  • Silane pyrolisis is mass transport rate limited in most cases between 530° C. and 570° C.
  • FIG. 17 also shows that the pyrolisis of silane at 550° C. is not reaction rate limited at a mass flow rate of 133 sccm and does not allow the maximum un-doped amorphous silicon growth rate of 3.4 nm/min @ 550° C. In fact, its pyrolisis is clearly transport rate limited at this mass flow rate of 133 sccm and at the maximum partial pressure of 850 mTorr. A mass flow rate 253 sccm of silane is also too low to reach the maximum deposition rate of about 5.3 nm/min at 570° C. and its pyrolisis is still mass transport rate limited at 570° C.
  • a mass flow rate 312 sccm of silane is still slightly too low to reach the maximum deposition rate of about 5.3 nm/min at 570° C. and at a partial pressure of 600 mTorr.
  • a silane mass flow rate of 253 sccm at 550° C. could be sufficient and could allow a reaction rate limited condition.
  • a silane mass flow rate of about 350 to 400 sccm at 550° C. would be preferred to ensure a reaction rate limited condition.
  • FIG. 18 shows the deposition rates of un-doped and in-situ doped amorphous silicon and polyslicon layers. The graph is taken from the following Ph.D. thesis: Helmut Puchner, “Advanced Process Modeling for VLSI Technology”, Ph.D. thesis, Institute for microelectronics, Technical University of Vienna, June 1996.
  • Non-repeatable results can be observed in the various papers describing the mechanical properties of un-doped amorphous silicon deposited at temperatures between 550 and 575° C.
  • An example of such non-repeatable results is shown in FIG. 19 extracted from the following reference: Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips and Arthur H. Heuer, “A new technique for producing large-area as-deposited zero-stress LPCVD polysilicon films: The multipoly process”, IEEE Journal of microelectromechanical systems, Vol. 9, No. 4, December 2000, pp. 485-494.
  • FIG. 20 shows the compressive mechanical stress achieved with amorphous silicon layers deposited close to the reaction rate limited conditions:
  • the upper reference shows that phosphorus atoms implanted in the silicon lattice of single crystal silicon wafers give rise to a lattice contraction and a tensile stress linearly proportional to the phosphorus doping. This tensile stress is reduced after high-temperature annealing.
  • the relationship between the relative variation of lattice spacing of the single crystal silicon wafers and the phosphorus doping level is calculated from a high-resolution x-ray rocking curve as ⁇ 4.510 ⁇ 24 cm3 for phosphorus.
  • the resulting lattice dilation coefficient curve for phosphorus is reproduced in FIG. 22.
  • This upper reference also reports that these results are contrary to the results observed for polysilicon samples and explains the difference by the existence of grain boundaries in the polysilicon samples.
  • Ea′ is phosphine's pyrolisis activation energy (eV)
  • k is Boltzmann's constant
  • T is the temperature (K).
  • the amount of incoming phosphine is controlled such that it does not limit phosphine's pyrolisis reaction at the amorphous silicon growth temperature (between 530° C. and 550° C.) then the phosphorus incorporation will also reach a reaction rate limited condition and the phosphorus incorporation into the growing phosphorus-doped amorphous silicon will be fixed and only dictated by the deposition temperature because the ratio of silane's and phosphine's activation energies is constant at a given growth temperature. This will result in a fixed phosphorus incorporation and potentially a fixed mechanical stress at a given growth temperature.
  • the amorphous silicon deposition is performed at relatively lower temperatures between 530° C. and 550° C. it is expected that the phosphorus incorporation and phosphorus-doped amorphous silicon growth rate could easily be adjusted in the reaction rate limited conditions by varying the flux of incoming phosphine on the surface (by varying the rate of incoming phosphine “feeding” the pyrolisis reaction via adjustments of the mass flow of phosphine incoming the pyrolisis equipment or, alternately, by varying the partial pressure of phosphine in equilibrium into the pyrolisis equipment via adjustments of the pumping rate at a given incoming rate of phosphine).
  • the phosphorus incorporation rate (bulk resistivity) and phosphorus-doped amorphous silicon growth rate would then be unaffected by these adjustments (i.e. there would be enough phosphine and not too much hydrogen to block the pyrolisis reactions of both, phosphine AND silane) and the phosphorus incorporation rate and phosphorus-doped amorphous silicon growth rate would then reach the maximum rates predicted by the two Arrhenius equations for that growth temperature:
  • FIG. 23 shows the growth rates of various phosphorus-doped layers deposited under various deposition conditions.
  • Phosphine has a poisoning effect on the surface and that an excessive amount of phosphine slows-down the phosphorus-doped amorphous silicon growth rate between 530° C. and 570° C.
  • the 253 sccm of silane is a slightly too low mass flow of silane in the equipment to ensure a reaction rate limited condition when phosphine is added to the gas mixture to incorporate phosphorus. Again, a slight increase in silane mass flow rate and a slight optimization (reduction) of the phosphine flow rate will ensure the desirable reaction rate limited condition.
  • FIG. 24 shows that the deposition rate of phosphorus-doped amorphous silicon is strongly affected by an increase in phosphine partial pressure when the deposition temperature increases above 550° C. This again supports the argument that the mass flow rate of silane for deposition at temperatures about 550° C. is slightly too low to ensure a reaction rate limited condition when a partial pressure of phosphine is gradually added to the gas mixture to incorporate phosphorus. Again, a slight increase in silane mass flow rate and a restriction of the phosphine flow rate to the optimum value will ensure the desirable reaction rate limited conditions allowing reproducible mechanical properties:
  • FIG. 25 shows the spectacular result of the invention: Tensile mechanical stress phosphorus-doped electrically conductive amorphous silicon layers can be obtained when deposited close to these reaction rate limited conditions.
  • FIG. 26 shows the bulk resistivity of the obtained in-situ phosphorus-doped tensile mechanical stress amorphous silicon deposited at a temperature of only 550° C.
  • the electronic components in the device can, for example, be an N-channel metal oxide semiconductor (NMOS) device; a P-channel metal oxide semiconductor (PMOS) device; a complementary metal oxide semiconductor (CMOS) device; an extended drain metal oxide semiconductor (DMOS) device; a bipolar device; a bipolar-CMOS or BiCMOS device; a bipolar-DMOS or BCDMOS device; a high-voltage NMOS device; a high-voltage PMOS device; a high-voltage CMOS device; a high-voltage DMOS device; a high-voltage bipolar device; a high-voltage BiCMOS device; a bipolar-DMOS or BCDMOS device, or combinations thereof.
  • NMOS N-channel metal oxide semiconductor
  • PMOS complementary metal oxide semiconductor
  • CMOS complementary metal oxide semiconductor
  • DMOS extended drain metal oxide semiconductor
  • bipolar device a bipolar-CMOS or BiCMOS device
  • a bipolar-DMOS or BCDMOS device a high-voltage NMOS
  • the high-voltage can be higher than 5 volts but lower than 1,200 volts; higher than 5 volts but lower than 600 volts; higher than 5 volts but lower than 300 volts; higher than 5 volts but lower than 200 volts; higher than 5 volts but lower than 100 volts; higher than 5 volts but lower than 40 volts; higher than 5 volts but lower than 20 volts; higher than 5 volts but lower than 10 volts.
  • the interconnect layer can comprise a layered structure ensuring thermally stable interconnects, comprising a titanium-based under-layer, an aluminum-based middle-layer, and a titanium-based over-layer.
  • the titanium-based under-layer may be titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN;
  • the aluminum-based middle-layer may be aluminum, Al; an aluminum-silicon binary alloy containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy containing less than 6.0 wt % of copper, as to ensure a silicon-eutectic temperature of more than 548° C.; a binary aluminum alloy having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than
  • the under-layer may be deposited by a Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof.
  • the aluminum-based middle-layer may be deposited Physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof.
  • the over-layer may be deposited by Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof.
  • the interconnect layer can also have a layered structure comprising a tantalum-based under-layer; a copper-based middle layer; a tantalum-based over-layer.
  • the tantalum based under-layer can be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof.
  • the tantalum-based underlayer may be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof
  • the copper-based middle-layer may be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Metal-organic chemical vapor deposition, MOCVD; Electroplating; Electroless plating; or combinations thereof; and the over-layer may be deposited by physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or combinations thereof.
  • the interconnect layer can also have a layered structure comprising a titanium-based under-layer and a tungsten-based layer.
  • the titanium-based under-layer may be titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN; and the tungsten-based layer is CVD-W.
  • the titanium-based under-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; Combinations thereof.
  • the tungsten-based layer may be deposited by: Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma-enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; combinations thereof.
  • the interconnect layer may also be a layered interconnection structure comprising a titanium-based under-layer; a tungsten-based middle-layer, such as CVD-W; a titanium-based over-layer, such as titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN.
  • the under-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; Combinations thereof.
  • the tungsten-based middle-layer may be deposited by: Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma-enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Combinations thereof these.
  • the over-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or combinations thereof.
  • the protective layer may be an un-doped amorphous silicon layer a-Si; a phosphorus-doped amorphous silicon layer a-Si(P); a titanium, Ti, layer; a titanium nitride, TiN, layer; an aluminum alloy layer; a plasma-enhanced chemical vapor deposited, PECVD, silicon nitride layer; a spin-on polymer layer; or a combination thereof.
  • the protective layer may be deposited by physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP, or combinations thereof.
  • the sacrificial layer may be a silicate glass, SG, layer; a phosphorus-doped silicate glass, PSG, layer; a boron-doped silicate glass, BSG, layer; a boron- and phosphorus-doped silicate glass, BPSG, layer; a tetraethyl-ortho-silicate-glass, TEOS, layer; a fluorinated dielectric; a highly porous dielectric; a silicate spin-on glass, SOG, layer; a phosphorus-doped silicate SOG layer or; combinations thereof.
  • the sacrificial layer may be deposited by: chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Spin-on; combinations thereof.

Abstract

A micro-electro-mechanical (MEM) device and an electronic device are fabricated on a common substrate by fabricating the electronic device comprising a plurality of electronic components on the common substrate, depositing a thermally stable interconnect layer on the electronic device, encapsulating the interconnected electronic device with a protective layer, forming a sacrificial layer over the protective layer, opening holes in the sacrificial layer and the protective layer to allow the connection of the MEM device to the electronic device, fabricating the MEM device by depositing and patterning at least one layer of amorphous silicon, and removing at least a portion of the sacrificial layer. In this way, the MEM device can be fabricated after the electronic device on the same substrate.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application claims the benefit under 35 USC 119(e) of prior U.S. provisional applications serial No. 60/445,426 filed Feb. 7, 2003 and serial No. 60/447,019 filed Feb. 13, 2003.[0001]
  • FIELD OF THE INVENTION
  • This invention relates to the fabrication of MEMS (Micro-Electro-Mechanical Systems) devices, and more particularly to a method of fabricating MEMS devices that permits the fabrication of advanced integrated circuits prior to the fabrication of the mechanical components on the same device. [0002]
  • BACKGROUND OF THE INVENTION
  • The production of advanced silicon-based micro-electro-mechanical systems, MEMS, requires the integration of moving mechanical parts and controlling, sensing or interfacing integrated circuits, and sometimes high-voltage integrated circuits capable actuating the mechanical parts. [0003]
  • The processes used to produce advanced MEMS from silicon-based structural materials typically require a high-temperature stress-relief step to reduce the mechanical stress of silicon-based structural material and allow its proper machining and mechanical release of the moving parts. This high-temperature stress-relief imposes the integrated circuit to be fabricated after the high surface topology mechanical parts of these advanced MEMS. This is an undesirable combination since advanced integrated circuits require very fine lithography to be performed using short depth-of-focus equipment. The high surface topology imposed by the mechanical parts is then to be eliminated by proper chemical-mechanical polishing (CMP), or other means, to allow the integrated circuit to be fabricated. The high-temperature stress-relief restriction, the extra cost associated with CMP planarization, and the need to fabricate the integrated circuit after the mechanical parts are significant restrictions on existing processes. [0004]
  • The integration of moving mechanical parts, of controlling, sensing or interfacing integrated circuits and, sometimes, of high-voltage integrated circuits capable of powerful actuation of the mechanical parts has been so far limited by the high-temperature stress-relief of polysilicon at temperatures exceeding 1000° C. [0005]
  • Examples of Restrictive High-Temperature Stress-Relief Processes of Polysilicon at Temperatures Exceeding 1000° C. [0006]
  • Analog Devices' Modular MEMS Process [0007]
  • A first well known example of a restrictive high-temperature stress-relief process is Analog Devices' Modular-MEMS process involving the integration of a 6 μm thick moving polysilicon structural material and a 5V 0.8 μm CMOS process for the control, sensing and interfacing functions. An example of this process is described in the following reference: Moorthi Palaniapan, Roger T. Howe, John Yasaitis, “Integrated Surface-Micromachined Z-axis Frame Microgyroscope”, International Electron Device Meeting 2002, San Francisco, Dec. 8-11, 2002, Session 8: Detectors, sensors and displays—Recent advances in inertial and biological MEMs. This reference shows that Analog Devices' Modular MEMS process uses a high-temperature stress-relief of a 6 μm thick moving polysilicon structural layer forming the mechanical parts. Following this high-temperature stress-relief a thick selective epitaxial silicon layer is epitaxially grown and used as substrate for the integrated circuit fabricated after a chemical-mechanical polishing, CMP, is performed as to achieve a smooth surface. The epitaxial silicon deposition is typically performed at a temperature of about 1000-1200° C. and then result in another stress relief of the structural polysilicon. The fabrication of the integrated circuit in this grown and polished epitaxial silicon will result in advanced MEMS such as Analog Devices' accelerometers and micro-gyroscopes. [0008]
  • The Analog Devices' Modular MEMS process shown at FIG. 1 is characterized by the following requirements: [0009]
  • a. The integrated circuit must be fabricated after the high surface topology mechanical parts. Since advanced integrated circuits involve very fine structures to be fabricated into a smooth substrate using short depth-of-focus photo equipment, a planar substrate with smooth surface finish is to be achieved following the micro-machining of these mechanical parts. [0010]
  • b. High-temperature stress-relief must be performed on the structural polysilicon after the MEMS fabrication, during the epitaxial growth of the substrate to be used for the integrated circuit portion. [0011]
  • c. It requires a CMP step after the epitaxial growth to achieve the required planarity and surface finish. [0012]
  • d. The integrated circuit must be fabricated after the CMP in the polished epitaxial silicon regions. [0013]
  • Analog Devices' Modular MEMS process requires the use of a high-temperature stress-relief step of the MEMS structures during the epitaxial growth of the substrate to be used for the integrated circuit portion. This in turn imposes the use of CMP and the fabrication of the integrated circuit after fabrication of the mechanical parts. [0014]
  • SAIT's MEMS Process [0015]
  • A second example of such a restrictive high-temperature stress-relief process is Samsung Advanced Institute of Technology's (SAIT's) MEMS process involving the integration of a double polysilicon MEMS device with a standard integrated circuit. An example of this process is shown in the following reference: Y B Gianchandani, H Kim, M Shinn, B Ha, B Lee, K Najafi and C Song, “A fabrication process for integrating polysilicon microstructures with post-processed CMOS circuits”, J. Micromech. Microeng. 10 (2000) 380-386. This reference shows that SAIT's MEMS process uses a trench created using KOH to recess the microstructural polysilicon of the mechanical parts protected by an oxide/nitride cap layer during the fabrication of the integrated circuit AFTER the fabrication of the mechanical parts. The 1200° C. and 16 hours drive-in diffusion of the p-well implant is used as stress-relief of the microstructural polysilicon as to achieve advanced MEMS. SAIT's MEMS process is shown in FIG. 2 and comprises the following steps: [0016]
  • a. Create trench using KOH and a thermal oxide mask, deposit bottom oxide and nitride insulation layers, deposit and pattern first polysilicon layer. [0017]
  • b. Deposit and pattern first sacrificial oxide layer, deposit and pattern microstructural (second) polysilicon layer. In both of these steps the films are stripped from the CMOS circuit region before patterning the layers at the bottom of the trench. [0018]
  • c. Deposit and pattern second sacrificial oxide, deposit and pattern third polysilicon layer. [0019]
  • d. Strip the nitride from unrecessed regions and pattern the top cap layer protecting the MEMS parts. Perform the complete CMOS process until the metal interconnect layer. The p-well implant followed by a drive-in diffusion performed at 1200° C. for 16 h in an ambient of nitrogen and oxygen ensures stress relieving of the microstructural polysilicon. [0020]
  • e. Open contact holes in the MEMS regions, deposit and pattern aluminum for circuit interconnect and lead transfers between circuit and MEMS regions. [0021]
  • f. Cover aluminum metallization with a protective layer, remove protective cap layer from the MEMS regions, etch all sacrificial layers in BHF, remove protective layer from CMOS regions. [0022]
  • The SAIT Process Shown in FIG. 2 is then Characterized by the Following Requirements: [0023]
  • a. It also requires the integrated circuit to be fabricated after the high surface topology mechanical parts. This integrated circuit is fabricated at least 100 μm away from the recessed region where the mechanical parts are located. All layers constituting the integrated circuit are removed from the recessed region using the protective oxide/nitride cap layer as etch-stop and protection of the mechanical parts. Keeping the integrated circuit in the planar region of the silicon wafer and away from the recessed region eliminates the depth-of-focus problem. [0024]
  • b. It also requires a high-temperature stress-relief of the structural polysilicon. This is performed at 1200° C. for 16 hours during the drive-in diffusion of the p-well implant after the MEMS fabrication. [0025]
  • c. It does not require a CMP to achieve the required planarity and surface finish because the integrated circuit is fabricated at least 100 μm away from the recessed region where the mechanical parts are located and because the interconnections between the integrated circuit and mechanical parts are performed over the sloped region achieved by the KOH etch. [0026]
  • d. It requires the integrated circuit to be fabricated after the mechanical parts, into the horizontal regions, away from the recessed region where the mechanical parts are located. [0027]
  • SAIT's MEMS process also imposes the use of a high-temperature stress-relief of the MEMS structures at 1200° C. for 16 hours during the drive-in diffusion of the p-well implant of the integrated circuit and imposes the fabrication of the integrated circuit AFTER the mechanical parts. [0028]
  • Sandia's IMEMS Process: [0029]
  • A third example of such a restrictive high-temperature stress-relief process is Sandia National Laboratories' (Sandia's) Integrated MicroElectroMechanical Systems (IMEMS) process involving the integration of a MEMS device incorporating at least two levels of polysilicon with an integrated circuit. An example of this process is shown in the following reference: J. H. Smith, S. Montague, J. J. Sniegowski, J. R. Murray, and P. J. McWhorter, “Embedded micromechanical devices for the monolithic integration of MEMS with CMOS”, IEDM 1995 proceedings, pp. 609-612. This reference shows that Sandia's MEMS process uses a trench created using KOH to recess the mechanical parts in a shallow trench, requires polishing the wafer using CMP, and requires sealing the mechanical parts in the trench using a silicon nitride layer. A high-temperature stress-relief is performed after the mechanical parts are embedded in the trench prior to processing conventional CMOS, bipolar or BiCMOS processes. This high-temperature stress-relief of the micro-structural polysilicon ensures that the subsequent thermal budget of the CMOS, bipolar or BiCMOS processing will not affect the mechanical properties of the polysilicon and will achieve an operational IMEMS. Sandia's IMEMS process shown at FIG. 3 is then characterized by the following: [0030]
  • a. It requires the CMOS bipolar or BiCMOS devices to be fabricated after the high surface topology mechanical parts. Again, since advanced integrated circuits involve very fine structures to be fabricated into a smooth substrate using short depth-of-focus photo equipment, a planar substrate with smooth surface finish is to be achieved following the micro-machining of these mechanical parts; [0031]
  • b. It requires a high-temperature stress-relief of the structural polysilicon. This is performed after the MEMS fabrication and prior the CMOS bipolar or BiCMOS processing; [0032]
  • c. It requires a CMP to be performed after the MEMS fabrication and prior the CMOS bipolar or BiCMOS processing; [0033]
  • d. It requires the CMOS bipolar or BiCMOS to be performed after the CMP into the polished silicon regions. [0034]
  • The Sandia's IMEMS process also imposes the use of a high-temperature stress-relief of the MEMS structures prior the integrated circuit processing, imposes the use of CMP and imposes the fabrication of the integrated circuit after the mechanical parts. [0035]
  • MEMSCAP's PolyMUMPs Process: [0036]
  • A fourth example of such a restrictive process is MEMSCAP's Polysilicon Multi-User MEMS process, MUMP, of the following reference: David A. Koester, Allen Cowen, Ramaswamy Mahadevan and Busbee Hardy, “PolyMUMPs design handbook, revision 8.0”, 2002. This surface micromachining process uses three high-temperature stress-relieves of phosphorus-doped polysilicon, namely: [0037]
  • a. A high-temperature stress-relief of a 0.5 μm [0038] thick Poly 0 while diffusing the phosphorus atoms of a 2.0 μm thick phosphosilicate layer at 1050° C. for 1 hour in argon;
  • b. A high-temperature stress-relief of a 2.0 μm [0039] thick Poly 1 while diffusing the phosphorus atoms of a 0.2 μm thick phosphosilicate layer at 1050° C. for 1 hour in argon;
  • c. A high-temperature stress-relief of a 1.5 μm [0040] thick Poly 2 while diffusing the phosphorus atoms of a 0.2 μm thick phosphosilicate layer at 1050° C. for 1 hour in argon.
  • MEMSCAP's MUMPs process shown in FIG. 4 is then characterized by the following: [0041]
  • a. It requires three 1050° C. 1 hour each high-temperature stress-relieves to dope and stress-relieve the three layers of polysilicon; [0042]
  • b. Because of these three successive 1050° C. 1 hour each dopant diffusions and stress-relieves, any tentative of integrating an integrated circuit to the MUMPs process would require the integrated circuit to be fabricated AFTER the MUMPs as to prevent the destruction of the junctions during such restrictive stress-relief steps. [0043]
  • Robert Bosch GmbH's Surface Micromachining Process [0044]
  • A fifth example of such a restrictive high-temperature stress-relief process is Robert Bosch GmbH's surface micromachining process described in the following three references: Horst Münzel, Michael Offenberg, Klaus Heyers, Bernhard Elsner, Markus Lutz, Helmut Skapa, Heinz-Georg Vossenberg, Nicholas Buchan, Eckhard Graf, U.S. Pat. No. 5,937,275, “Method fof producing acceleration sensors”, Robert Bosch GmbH, filed on Jul. 9, 1996 and granted on Aug. 10, 1999; M. Furtsch, M. Offenberg, H. Muenzel, J. R. Morante, ‘Comprehensive study of processing parameters influencing the stress and stress gradient of thick polysilicon layers’, SPIE Conference Proceedings ‘Micromachining and microfabrication process technology III, conference proceedings’, SPIE Vol. 3223, pp. 130-141, Austin Tex., Sep. 29-30, 1997. http://www.europractice.bosch.com/en/download/customer_support.pdf [0045]
  • This process uses two levels of polysilicon, namely: [0046]
  • a. A first 0.45 μm thick surface polysilicon layer deposited at 630° C. by Low Pressure Chemical Vapor Deposition (LPCVD), implanted with antimony and annealed at 1000° C. in an oxygen ambient as to drive and activate the Sb dopant; [0047]
  • b. A second 10.3 μm thick structural epipoly layer is deposited as a 11.8 μm thick layer at a rate of 3.5 μm/minute and at a temperature of 1180° C. in a ASM Epsilon One Model-E2 single wafer epitaxy reactor using a trichlorosilane (SiHCl3), hydrogen (H2), and phosphine (PH3) process. The resulting 11.8 μm thick structural epipoly layer has a very rough surface (Ra of 260 nm) unacceptable for further processing and thus requiring the use of CMP to reduce the thickness of the structural epipoly layer to 10.3 μm and its surface roughness to about 5 nm. [0048]
  • c. Robert Bosch GmbH's surface micromachining process shown in FIG. 5 is then characterized by the following: [0049]
  • It experiences two high-temperature stress-relief steps: A first, at 1000° C. in an oxygen ambient, to drive and activate the Sb dopant; and a second, at 1180° C., for the deposition of the structural epipoly layer; [0050]
  • Because of these two successive high-temperature stress-relief steps, any tentative of integrating an integrated circuit to Robert Bosch GmbH's surface micromachining process would also require the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments. [0051]
  • Sandia's CMOS-First, Micromechanics-Last MEMS Process [0052]
  • A sixth example of such a restrictive high-temperature stress-relief process is reported by Sandia's CMOS-first, micromechanics-last MEMS process involving the integration of a MEMS device with an integrated circuit where conventional aluminium interconnects are completely replaced by tungsten interconnects to prevent melting the aluminium interconnects and allow the integrated circuit to withstand the 1100° C., 3 hours heat treatment required for the stress-relief of the micro-machined polysilicon. An example of this all-tungsten process is shown in the following three references: Stephen Montague, James H. Smith, Jeffrey. J. Sniegowski, Paul J. McWhorter, U.S. Pat. No. 5,798,283, “Method for integrating microelectromechanical devices with electronic circuitry”, Sandia National laboratories, filed on Sep. 6, 1995 and granted on Aug. 25, 1998; Carole C. Barron, James G. Flemming, Stephen Montague, U.S. Pat. No. 5,963,788, “Method for integrating microelectromechanical devices with electronic circuitry”, Sandia National laboratories, filed on Nov. 19, 1997 as continuation in-part of application Ser. No. 08/524,700 (U.S. Pat. No. 5,798,283) and granted on Oct. 5, 1999; James H. Smith, Stephen Montague, and Jeffrey. J. Sniegowski, “Material and processing issues for the monolithic integration of microelectronics with surface-micromachined polysilicon sensors and actuators”, Micromachining and Microfabrication '95, SPIE, Oct. 95. [0053]
  • These references show that Sandia's CMOS-first, micromechanics-last MEMS process of FIG. 6 fabricates the integrated circuit before the mechanical parts, thus reducing the surface topology and preventing the need for CMP. This is an improvement over the afore-mentioned Prior Art references but, following the integrated circuit fabrication, this process still requires a very restrictive 3 hours duration and 1100° C. stress-relief of the structural polysilicon. To enhance the thermal stability of the integrated circuit, aluminum interconnects are completely replaced by 1.0 μm thick low-stress tungsten interconnects deposited by chemical vapour deposition, CVD. In order to separate the tungsten from the underlying silicon at the contacts an adhesion layer/diffusion barrier stack of 15 nm of selective titanium silicide, TiSi, followed 50 nm of titanium nitride, TiN, is used. Where tungsten metallization is deposited over the field oxide, only the TiN layer is used. Since it is difficult to bond aluminium or gold bond wires to tungsten, bond pads are formed by using the structural polysilicon deposited on top of a 50 nm TiN diffusion barrier and the 1 micron of tungsten. The process is unstable because the compressive stress and surface roughness of the tungsten films are causing processing difficulties, such as delamination, or lifting, of the bondpads and photolithographically instabilities with projection steppers. A manually-aligned contact aligner has to be used; the TiN diffusion barrier sometimes fail during the 3 hours, 1100° C. stress-relief of the structural polysilicon, thus forming porous WTiSi and WSi at the basis of the contacts; and the out-diffusion of boron from the p+ source/drain implants in silicon causes a severe performance degradation of the p-channel devices due to an increase in contact resistance between tungsten and p-type silicon during the 3 hours, 1100° C. stress-relief of the structural polysilicon; [0054]
  • Because of the problems encountered in attempting to bring this technology to a manufacturing facility, Sandia decided to try other approaches besides the all-tungsten, CMOS-first, micromechanics-last MEMS process involving the required 3 hours duration and 1100° C. stress-relief of the structural polysilicon. [0055]
  • At this point, it is clear that the restrictive high-temperature stress-relief of the various upper-described popular MEMS processes prevents the integration of the integrated circuit BEFORE the micro-machining steps and prevents any type of modular integration of these micro-machining steps over the integrated circuit. [0056]
  • It is clear that any integration scenarios of these micro-machining steps over an integrated circuit require to stress-relief of structural silicon-based layers at a much lower temperature then 1000° C. The following will review the known techniques used to reduce the stress-relief temperature of deposited silicon films. [0057]
  • The techniques used to reduce the stress-relief temperature of deposited silicon films [0058]
  • University of Michigan's Low-Stress Polysilicon Process [0059]
  • A first example of a technique used to reduce the stress-relief temperature of un-doped silicon films is provided by the following publications from Universitry of Michigan: Khalil Najafi and Carlos. H. Mastrangelo, “Solid-state microsensors and smart structures”, 1993 IEEE Ultrasonics Symposium, pp. 341-350; Carlos. H. Mastrangelo and William C. Tang, “Surface-micromachined capacitive differential pressure sensor with lithographically defined silicon diaphragm”, Journal of microelectromechanical systems, Vol. 5, No. 2, June 1996; P.-C. Hsu, C. H. Mastrangelo, and K. D. Wise, “A high sensitivity polysilicon diaphragm condenser microphone”, 1998 MEMS Conference, Heidelberg, Germany, Jan. 25-29 1998; B. P. Gogoi and C. H. Mastrangelo, “Force Balanced Micromachined Pressure Sensors”, IEEE Transactions on electron devices, IEEE Trans. Electron Dev., December 1999; B. P. Gogoi and C. H. Mastrangelo, “A low voltage force balanced pressure sensor with hermetically sealed servomechanism”, IEEE MEMS'99 Conference, pp. 493-498, Orlando, Fla., January 1999; Kun Wang and Clark T.-C. Nguyen, “High-Order Medium Frequency Micromechanical Electronic Filters”, Journal of microelectromechanical systems, Vol. 8, No. 4, December 1999; Robert D. White, Karl Grosh, “Design and characterization of a MEMS piezoresistive cochlear-like acoustic sensor”, Proceedings of IMECE'02, 2002 ASME International Mechanical Engineering Congress and Exposition, New Orleans, La., Nov. 17-22, 2002. [0060]
  • This technique describes the deposition of a low-stress un-doped polysilicon at a pressure of 160 mTorr and at a temperature of about 590-610° C. This un-doped polysilicon is electrically non-conductive and a phosphorus implantation at an energy of 100 keV and a dose of about 1-2E16/cm[0061] 2 followed by an anneal at 950° C. for a few hours in nitrogen is required as to activate the phosphorus dopants and increase its electrical conductivity. This high-temperature stress-relief allow the mechanical stress of the electrically conductive polysilicon to be reduced to about 25 MPa but again limits the integration over an integrated circuit.
  • Alternatively, this technique describes the deposition of an un-doped polysilicon film at a temperature of 588° C. resulting in a non-conductive polysilicon having an as-deposited tensile stress of less then 100 MPa. Again, a phosphorus implantation at an energy of 50 keV and a dose of about 7E15/cm[0062] 2, followed by a one hour duration 1050° C. phosphorus activation and stress-relief in nitrogen reduce its residual stress as much as possible.
  • This technique then requires a post-deposition implantation and a few hours duration 950° C. stress-relief and activation or a one hour duration 1050° C. stress-relief and actuation of the deposited polysilicon. The few hours duration 950° C. stress-relief and activation is marginally better then the one associated with the upper-described Prior Art references and, again, any tentative of an integrating integrated circuit to University of Michigan's surface micromachining process would also require the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments. [0063]
  • UC Berkeley's Low-Stress Un-Doped Porous Polysilicon [0064]
  • A second example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from UC Berkeley: K. S. Lebouitz, A. Mazaheri, R. T. Howe, and A. P. Pisano, “Vacuum Encapsulation of Resonant Devices Using Permeable Polysilicon,” Proc. 12th International IEEE Conference on Micro Electro Mechanical Systems (MEMS '99), Orlando, Fla., pp. 470-5; Jeffrey D. Zahn, David Trebotich and Dorian Liepmann, “Microfabricated microdialysis microneedles for continuous medical monitoring”, 1st Annual International IEEE-EMBS Special Topic Conference on Microtechnologies in Medicine & [0065] Biology 1, Oct. 12-14, 2000, Lyon, France; John McCaslin Heck, “Polycrystalline silicon germanium for fabrication, release and packaging of microelectromechanical systems”, Ph. D. thesis, UC. Berkeley, Spring 2001; G. M. Dougherty, T. Sands, and A. Pisano, “The Materials Science of ‘Permeable Polysilicon’ Thin Films,” Symposium B: Materials Science of Microelectromechanical Systems (MEMS) Devices IV, MRS Fall 2001 Meeting, Boston, Mass., Nov. 27th, 2001, Materials Research Society vol. 687; http://www-bsac.eecs.berkeley.edu\˜gmd\perm_page.html.
  • These publications show that an un-doped permeable polysilicon deposited at 597° C., 125 sccm SiH4, and 555 mTorr is characterized by a low residual stress due to pore defects (5-20 nm wide) between the polysilicon grains. This permeable polysilicon can also be deposited at 605° C., 250 sccm SiH4, and 550 mTorr. FIG. 7 shows the residual stress and surface structure of the un-doped permeable polysilicon. In order to use this low-stress un-doped permeable polysilicon as structural MEMS applications, it is again necessary to dope-and-activate it at a high-temperature ranging between 950° C. and 1050° C. as to activate the dopants and achieve low-stress conductive permeable polysilicon material. This described process to achieve doped permeable polysilicon would not be better then University of Michigan's surface micromachining process and would not substantially reduce the stress-relief temperature of the deposited conductive silicon material. [0066]
  • U. of Wisconsin's Low-Stress Re-Crystallized Polysilicon [0067]
  • A third example of a technique used to reduce the stress-relief temperature of un-doped silicon films is provided by the following publications from U. of Wisconsin: Henry Guckel and David W. Burns, U.S. Pat. No. 4,897,360, “Polysilicon thin film process”, Wisconsin Alumni Research Foundation, filed on Dec. 9, 1987 and granted on Jan. 30, 1990; Henry Guckel and Jeffry Sniegowski, U.S. Pat. No. 5,090,254, “Polysilicon resonating beam transducers”, Wisconsin Alumni Research Foundation, filed on Apr. 11, 1990 and granted on Feb. 25, 1992; Henry Guckel and Jeffry Sniegowski, U.S. Pat. No. 5,188,983, “Polysilicon resonating beam transducers and method of producing the same”, Wisconsin Alumni Research Foundation, filed on Jan. 3, 1992 and granted on Feb. 23, 1993. [0068]
  • These cited prior art patents protect a technique to form a low-stress un-doped re-crystallized silicon-based film. This film is deposited at a temperature of about 591° C. by low pressure chemical vapour deposition, LPCVD, using a 100% pure silane ambient at a pressure of about 300 mTorr resulting in a typical deposition rate of 6.8 nm/minute. The 2.0 μm thick as-deposited un-doped film shows two phases: A first phase, located between the substrate and the film interior, characterized by an un-doped polycrystalline material with 30 nm to 300 nm grains having no measurable preferred orientation, and a second phase, located above the first polycrystalline phase and extending up to the film surface, characterized by an un-doped amorphous material with a measured surface roughness of as low as 0.8 nm rms. The mechanical stress of this two-phase as-deposited un-doped film is typically 300 MPa compressive and can be converted to predetermined tensile stress levels by a re-crystallization using a post-deposition stress-relief in nitrogen during which the un-doped amorphous phase changes to the un-doped crystalline phase. A 60 minutes duration 835° C. stress-relief in nitrogen produces a single phase re-crystallized un-doped polycrystalline film having a final tensile stress of 130 MPa and no measurable increase in surface roughness. A lower stress-relief temperature results in a re-crystallized un-doped film with a tensile stress of as low as 20 MPa. These low mechanical stress re-crystallized un-doped films are yet non-conductive. Post-deposition doping of these un-doped re-crystallized films still requires diffusion and activation at a high-temperature incompatible with a potential underlying aluminum-based integrated circuit. [0069]
  • Siemens Aktiengesellschaft's Low-Stress Layered Polysilicon Structures [0070]
  • A fourth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from Siemens Aktiengesellschaft: Markus Biebl, U.S. Pat. No. 5,753,134, “Method for producing a layer with reduced mechanical stresses”, Siemens Aktiengesellschaft, filed on Nov. 23, 1994 and granted on May 19, 1998. [0071]
  • This cited prior art patent protects a technique to form an un-doped silicon-based laminated structure having a reduced mechanical stress. As shown in FIG. 8, this low-stress un-doped [0072] laminated structure 30 could be deposited (respectively in order, from the underlying sacrificial layer to the top surface) using a first high-temperature compressive stress un-doped polysilicon 23, a first 0.1 to 3 nm thick auxiliary SiO2 layer 24 (produced, for example, by a waiting time at atmosphere), a second low-temperature tensile stress un-doped amorphous silicon 25, a second 0.1 to 3 nm thick auxiliary SiO2 layer 26, a third high-temperature compressive stress un-doped polysilicon 27, a third 0.1 to 3 nm thick auxiliary SiO2 layer 28 and a fourth low-temperature tensile stress amorphous un-doped silicon 29. The auxiliary SiO2 layers 24, 26, 28 assure that no epitaxial growth occurs when growing the un-doped amorphous silicon over the un-doped polysilicon.
  • This cited prior art patent discloses that the [0073] un-doped layers 23, 25, 27, 29, of individual thickness ranging between 50 nm and 1 μm, have to be implanted and high-temperature activated using rapid thermal activation, RTA, at approximately 1000° C. after the deposition of the corresponding layers as to reduce the electrical resistance of the laminated structure 30. Following these four RTA, the second amorphous un-doped silicon layer 25 and the fourth amorphous un-doped silicon layer 29 are converted into doped polycrystalline silicon, similar to doped polysilicon layers 23 and 27. To ensure that the laminated structure 30 has a sheet resistance corresponding to a single doped polysilicon layer having the same thickness, these four implantations and four high-temperature RTA steps should break up the auxiliary SiO2 layers 24, 26, 28. Again, the required four RTA steps at a temperature of about 1000° C. is too restrictive and do not allow MEMS integration AFTER the integrated circuit.
  • Case Western Reserve University's Low-Stress Layered Polysilicon Structures [0074]
  • A fifth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from Case Western Reserve University: Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,268,068, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Mar. 1, 1999 and granted on Jul. 31, 2001; Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,465,045, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Oct. 18, 2000 and granted on Oct. 15, 2002; Arthur H. Heuer, Harold Kahn, Jie Yang and Stephen M. Phillips, U.S. Pat. No. 6,479,166, “Large area polysilicon films with predetermined stress characteristics and method for producing same”, Case Western Reserve University, filed on May 1, 2000 and granted on Nov. 12, 2002; Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips and Arthur H. Heuer, “A new technique for producing large-area as-deposited zero-stress LPCVD polysilicon films: The multipoly process”, IEEE Journal of microelectromechanical systems, Vol. 9, No. 4, December 2000, pp. 485-494. [0075]
  • These three cited prior art patents and this publication describe a technique similar to the upper-cited Siemens Aktiengesellschaft's patent resulting in the fabrication of low-stress laminated structures involving sequential combinations of low-temperature (570° C. or lower) tensile stress amorphous (or devitrified) un-doped silicon layers and of high-temperature (615° C.) compressive stress un-doped polysilicon layers. In this case, though, the SiO[0076] 2 auxiliary layers are not used as to prevent the interaction of upper amorphous (or devitrified) un-doped layers with underlying un-doped polysilicon layers. In fact, this cited prior-art technique integrates all layers in a single deposition run, without atmospheric exposure.
  • As seen in FIG. 9, an example of such low-stress laminated structure combining tensile stress (about +200 MPa) un-doped amorphous silicon layers and compressive stress (about −200 MPa) un-doped polysilicon layers is a 3.0 μm thick laminated structure having an overall tensile mechanical stress of only 7 MPa using the following nine (9) layers: [0077]
  • a. A 59 minutes deposition of a devitrified un-doped polysilicon layer at 570° C. followed by a 25 minutes heating to reach 615° C.; [0078]
  • b. A 54 minutes deposition of a columnar un-doped polysilicon layer at 615° C. followed by a 30 minutes cooling to 570° C.; [0079]
  • c. A 118 minutes deposition of a devitrified un-doped polysilicon layer at 570° C. followed by a 25 minutes heating to reach 615° C.; [0080]
  • d. A 54 minutes deposition of a columnar un-doped polysilicon layer at 615° C. followed by a 30 minutes cooling to 570° C.; [0081]
  • e. A 118 minutes deposition of a devitrified un-doped polysilicon layer at 570° C. followed by a 25 minutes heating to reach 615° C.; [0082]
  • f. A 54 minutes deposition of a columnar un-doped polysilicon layer at 615° C. followed by a 30 minutes cooling to 570° C.; [0083]
  • g. A 118 minutes deposition of a devitrified un-doped polysilicon layer at 570° C. followed by a 25 minutes heating to reach 615° C.; [0084]
  • h. A 54 minutes deposition of a columnar un-doped polysilicon layer at 615° C. followed by a 30 minutes cooling to 570° C.; [0085]
  • i. A 59 minutes deposition of a devitrified un-doped polysilicon layer at 570° C. [0086]
  • This cited prior art patent indicates that the 59 minutes duration deposition of the first and ninth un-doped layers (one-half the deposition time for each of [0087] un-doped layers 3, 5, and 7) provides a low residual stress and a relatively smooth outer finish. Again, the laminated structure of this cited prior art patent has to be implanted and high-temperature activated using either RTA or furnace activation at approximately 1000° C. and for a duration sufficiently long as to uniformly dope and reduce the electrical resistance of the obtained 3 μm thick laminated structure. Following this high-temperature dopant activation, the first, third, fifth, seventh and ninth devitrified un-doped silicon layers will be converted into doped polycrystalline silicon, similar to the second, fourth, sixth and eight doped polysilicon layers. This required RTA or furnace activation at a temperature of about 1000° C. is again too restrictive and do not allow MEMS integration AFTER the integrated circuit.
  • UC Berkeley's Low-Stress Re-Crystallized In-Situ Doped Polysilicon [0088]
  • A sixth example of a technique used to reduce the stress-relief temperature of silicon films is provided by the following publications from UC Berkeley: James M. Bustillo, Roger T. Howe and Richard S. Muller, “Surface Micromachining for Microelectromechanical Systems”, Proceedings of the IEEE, Vol. 86, No. 8, August 1998; Jocelyn Tsekan Nee, “Hybrid surface-/bulk micromachining processes for scanning micro-optical components”, Ph.D. thesis, UC Berkeley, Fall 2001. [0089]
  • A low-stress re-crystallized in-situ doped polysilicon has been investigated at UC Berkeley. By using a deposition temperature of 585-590° C., an in-situ doped low-resistivity polysilicon can be deposited at a relatively rapid rate. As shown in FIG. 10, this as-deposited low-resistivity phosphorus-doped polysilicon obtained at such 585-590° C. temperature suffers from crystallographic inhomogeneity observed as polysilicon grains near the underlying oxide interface which progressively disappear toward the upper surface. This non-homogeneous crystalline structure results in a stress gradient and requires a short duration 950° C. rapid-thermal annealing (RTA) to be performed as to achieve a low tensile stress with negligible stress gradient throughout the film thickness. Because the short duration 950° C. RTA replaces typically longer duration and higher temperature furnace anneals, this technique is slightly better then the upper-discussed techniques but still have a thermal budget which makes the MEMS AFTER the integrated circuit approach unfeasible because the interconnect materials will not resist such a high-temperature stress-relief. [0090]
  • Summary of the Restrictive High-Temperature Stress-Relief Processes Associated with the Cited Prior Art [0091]
  • The processes described in the upper prior art documents cannot allow the fabrication of advanced MEMS devices after the integrated circuit because of their excessive high-temperature stress-relief. [0092]
  • Analog Devices' Modular MEMS process requires the CMOS devices to be fabricated in a thick polished (using CMP) selective epitaxial silicon layer AFTER the mechanical parts are fabricated. In that case, the epitaxial silicon deposition at a temperature of about 1000-1200° C. and the well diffusions of the integrated circuit allow the stress relief of the structural polysilicon. [0093]
  • Samsung Advanced Institute of Technology's MEMS process also requires the CMOS devices to be fabricated after the mechanical parts. In this case, the 16 [0094] hours duration 1200° C. drive-in diffusion of the p-well implant of the integrated circuit is used as stress-relief of the microstructural polysilicon.
  • Sandia National Laboratories' IMEMS process also requires the CMOS, bipolar or BiCMOS devices to be fabricated after the mechanical parts. Again, a high-temperature stress-relief at temperatures of the order of 1000° C. is performed after the mechanical parts prior fabricating the integrated circuit as to ensure that the subsequent thermal budget required integrated circuit processing will not affect the mechanical properties of the mechanical parts. [0095]
  • MEMSCAP's Polysilicon Multi-User MEMS (PolyMUMPs) process requires three successive (1 hour each) 1050° C. exposures to dope and stress-relief the three layers of polysilicon doped by the phosphorus diffusing from the neighboring phosphosilicate layers. Again, any tentative of integrating CMOS devices to the MUMPs process would require the integrated circuit to be fabricated after the mechanical parts as to prevent the destruction of the junctions during these three 1050° C. exposures. [0096]
  • Robert Bosch GmbH's surface micromachining process requires two high-temperature exposures: A first one, at 1000° C. in an oxygen ambient, to drive and activate the Sb dopant of a first polysilicon layer; and a second one, at about 1100° C., for the deposition of the second structural epipoly layer. Again, this process requires the integrated circuit to be fabricated after the mechanical parts as to prevent the destruction of the junctions during such restrictive heat treatments. [0097]
  • Sandia National Laboratories' CMOS-first, micromechanics-last MEMS process decribes the fabrication the CMOS devices before the mechanical parts but still requires a very restrictive 3 hours duration and 1100° C. stress-relief of the structural polysilicon following the integrated circuit fabrication. To enhance the thermal stability of the integrated circuit, aluminum interconnects are completely replaced by 1.0 μm thick low-stress tungsten interconnects deposited by chemical vapor deposition, CVD over a titanium nitride, TiN, barrier layer. The process is yet unstable because of various issues related to: tungsten delamination and lifting at bond pads, tungsten surface roughness imposing manual photolithography, TiN barrier layer failure during the 3 hours, 1100° C. stress-relieve and boron out-diffusion during the 3 hours, 1100° C. stress-relief. These limitations caused Sandia to drop this idea and look for other approaches besides the all-tungsten, CMOS-first, micromechanics-last MEMS process. [0098]
  • University of Michigan's process describes the deposition of a low-stress un-doped polysilicon at a temperature of about 588-610° C. followed by the diffusion and activation at 950° C.-1050° C. (for one to a few hours in nitrogen) of a phosphorus implantation at an energy of 50 keV-100 keV and a dose of about 7E15/cm[0099] 2-2E16/cm2 as to increase the electrical conductivity of the deposited polysilicon and reduce its mechanical stress to about 25 MPa. Again, the required 950° C.-1050° C. diffusion and activation of the phosphorus implantation again forces the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • UC Berkeley's low-stress un-doped porous polysilicon process describes an un-doped low residual stress porous polysilicon deposited at 597° C.-605° C. under special conditions which requires its doping and activation at a high-temperature ranging between 950° C. and 1050° C. as to achieve low-stress conductive permeable polysilicon material. Again, the required 950° C.-1050° C. diffusion and activation of the low residual stress porous polysilicon again forces the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments. [0100]
  • U. of Wisconsin's low-stress re-crystallized polysilicon process describes the 591° C. deposition of a 2.0 μm thick 300 MPa compressive stress un-doped silicon film having two phases: A bottom un-doped polycrystalline phase and an upper un-doped amorphous phase. A post-deposition stress relief at a temperature below 835° C. allows this film to be converted to a re-crystallized un-doped film with a tensile stress of as low as 20 MPa. The post-deposition diffusion and activation of these un-doped re-crystallized films at a temperature between 950° C.-1050° C. again forces the integrated circuit to be fabricated after the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments. [0101]
  • Siemens Aktiengesellschaft's low-stress layered polysilicon process describes a technique to form an low mechanical stress un-doped silicon-based laminated structure using a first high-temperature compressive stress un-doped polysilicon, a first auxiliary SiO[0102] 2 layer, a second low-temperature tensile stress un-doped amorphous silicon, a second auxiliary SiO2 layer, a third high-temperature compressive stress un-doped polysilicon, a third auxiliary SiO2 layer and a fourth low-temperature tensile stress amorphous un-doped silicon. Again, post-deposition diffusions and activations of these laminated un-doped layers by four independent rapid thermal activations, RTA, at approximately 1000° C. again force the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • Case Western Reserve University's low-stress layered polysilicon process describes the fabrication of a 3.0 μm thick low tensile stress (+7 MPa) laminated structures involving the sequential combination of five low-temperature (570° C. or lower) +200 MPa tensile stress amorphous (or devitrified) un-doped silicon layers and of four high-temperature (615° C.) −200 MPa compressive stress un-doped polysilicon layers with no auxiliary SiO[0103] 2 layers in a single deposition run, without atmospheric exposure. Again, the post-deposition diffusion and activation of this un-doped laminated structure by RTA or furnace activation at approximately 1000° C. for a duration sufficiently long as to uniformly dope and reduce the electrical resistance of the obtained 3 μm thick laminated structure again forces the integrated circuit to be fabricated AFTER the MEMS process as to prevent the destruction of the junctions during such restrictive heat treatments.
  • UC Berkeley's low-stress re-crystallized in-situ doped polysilicon process describes a low-stress re-crystallized in-situ doped polysilicon deposited at a temperature of about 585-590° C. at a relatively rapid rate providing a film with a crystallographic inhomogeneity observed as polysilicon grains near the underlying oxide interface which progressively disappear toward the upper surface. This non-homogeneous crystalline structure results in a stress gradient and requires a short duration 950° C. RTA to achieve a low tensile stress with negligible stress gradient throughout the film thickness. Again, the short 950° C. RTA of the non-homogeneous crystalline structure forces the integrated circuit to be fabricated after the MEMS process as to prevent the destruction of the junctions and the interconnects during such restrictive heat treatments. [0104]
  • None of the cited prior art references described a process which can allow the effective fabrication of advanced MEMS devices after the integrated circuit because to each of these are associated an excessive high-temperature treatment to stress-relief, dope or activate the dopants of the deposited silicon. [0105]
  • SUMMARY OF THE INVENTION
  • The present invention discloses an improved technique allowing the fabrication of advanced MEMS after the integrated circuit. This technique eliminates the excessive high-temperature treatment to stress-relief, dope or activates the dopants of the deposited silicon. avoids the use of CMP and allows advanced integrated circuits to be fabricated before the mechanical parts [0106]
  • According to the present invention there is provided a method fabricating a micro-electro-mechanical (MEM) device and an electronic device on a common substrate comprising the steps of fabricating an electronic device comprising a plurality of electronic components on said common substrate; depositing a thermally stable interconnect layer on said electronic device; encapsulating the interconnected electronic device with a protective layer; forming a sacrificial layer over said protective layer; opening holes in the sacrificial layer and said protective layer to allow the connection of the MEM device to said electronic device; fabricating said MEM device by depositing and patterning at least one layer of amorphous silicon; and removing at least a portion of said sacrificial layer. [0107]
  • The interconnect layer may involve only one level of interconnections, or alternatively it may two, three, four, or more than four levels of interconnections. [0108]
  • There can, for example, be one, two, three, four, five or more than five layers of amorphous silicon. [0109]
  • The MEM device can, for example, be a photonics MEM device; a bioMEM device; an RF MEM device; an automotive MEM device; an industrial MEM device; a spatial MEM device; a military MEM device; a MEM device fabricated, at least partially, by surface micro-machining; or a MEM device fabricated, at least partially, by bulk micro-machining. [0110]
  • The at least one amorphous silicon layer is deposited at a temperature of less than 580° C., preferably less than 570° C., preferably less than 560° C., and more preferably between 520 and 550° C. [0111]
  • The at least one layer of amorphous silicon is preferably deposited using silane at a partial pressure of less than 500 mTorr, preferably less than 2000 mTorr, preferably less than 1000 mTorr; preferably less than 750 mTorr; preferably less than than 500 mTorr; and most preferably between 100 and 500 mTorr. [0112]
  • The at least one layer of amorphous silicon may be phosphorus-doped using a phosphine partial pressure of less than 5 mTorr, preferably less than 2 mTorr; preferably less than 1 mTorr; preferably less than 0.75 mTorr; preferably less than 0.50 mTorr; and preferably between 0.10 and 0.50 mTorr. [0113]
  • The at least one layer of amorphous silicon may be phosphorus-doped to provide a bulk resistivity of less than 1000 mohm.cm; less than 100 mohm.cm; less than 10 mohm.cm; less than 5 mohm.cm; less than 2 mohm.cm; less than 1 mohm.cm, or between 0.1 and 1 mohm.cm. [0114]
  • The at least one layer of amorphous silicon may be un-doped and have a compressive mechanical stress of less than −400 MPa; less than −300 MPa; less than −200 MPa; less than −100 MPa; less than −50 MPa; less than −20 MPa; less than −10 MPa; or between −0.01 MPa and −10 MPa. [0115]
  • Alternatively, the at least one layer of amorphous silicon is phosphorus-doped and has a tensile mechanical stress of less than +400 MPa; less than +300 MPa; less than +200 MPa; less than +100 MPa; less than +50 MPa; less than +20 MPa; less than +10 MPa, or between +0.01 MPa and +10 MPa. [0116]
  • The at least one layer of amorphous silicon may be slightly phosphorus-doped and have a low residual mechanical stress of less than −100 MPa; less than −50 MPa; less than −20 MPa; less than −10 MPa; between −0.01 MPa and −10 MPa; between +0.01 MPa and +10 MPa; less than +10 MPa; less than +20 MPa; less than +50 MPa; or ess than +100 MP. [0117]
  • In one embodiment several said layers of amorphous silicon form a laminated structure combining un-doped and phosphorus-doped layers. The laminated structure has a low residual mechanical stress of less than −100 MPa; less than than −50 MPa; less than −20 MPa; less than −10 MPa; between −0.01 MPa and −10 MPa; between +0.01 MPa and +10 MPa; less than +10 MPa; less than +20 MPa; less than +50 MPa; or less than +100 MPa. [0118]
  • In another embodiment the at least one layer of amorphous silicon is un-doped and has an absolute stress gradient of less than 20 MPa/μm; less than 10 MPa/μm; less than 5 MPa/μm; less than 3 MPa/μm; less than 2 MPa/μm; less than 1 MPa/μm; or between 0.1 MPa/μm and 1 MPa/μm; [0119]
  • Alternatively, the at least one layer of amorphous silicon can be phosphorus-doped and have an absolute stress gradient of less than 20 MPa/μm; less than 10 MPa/μm; less than 5 MPa/μm; less than 3 MPa/μm; less than 2 MPa/μm; less than 1 MPa/μm; or between 0.1 MPa/μm and 1 MPa/μm. [0120]
  • In another embodiment the at least one layer of amorphous silicon is slightly phosphorus-doped and has a low absolute stress gradient of less than 5 MPa/μm; less than 3 MPa/μm; less than 2 MPa/μm; less than 1 MPa/μm; or between 0.1 MPa/μm and 1 MPa/μm. [0121]
  • The amorphous silicon can comprise several layers forming a laminated structure combining un-doped and phosphorus-doped layers, the laminated having a low absolute stress gradient of\less than 5 MPa/μm; less than 3 MPa/μm; less than 2 MPa/μm; less than 1 MPa/μm; between 0.1 MPa/μm and 1 MPa/μm. [0122]
  • Unlike the prior art, the present invention can produce at a temperature compatible with an underlying integrated circuit an electrically conductive and low mechanical stress and stress gradient laminated structure in a single integrated cycle in a low-temperature reactor without the need for air exposures, without the need for interfacial auxiliary oxide layers, without the need for dopant implantation, without the need for high temperature dopant diffusion and/or activation in a furnace or a rapid thermal processor in order to produce by surface micro-machining over a previously fabricated integrated circuit incorporating CMOS, bipolar, Bi-CMOS and/or high-voltage devices the electrically conductive low-stress mechanical structures allowing the mechanical functions of the integrated MEMS device over its control electronics. [0123]
  • This integration of modular MEMS devices directly over a previously fabricated integrated circuit is possible because the maximum exposure temperature of 550° C. is now compatible with tungsten-filled contacts and its underlying high quality titanium nitride barrier/glue layer. More, the integration of these modular MEMS devices over an integrated circuit benefit from its smooth surface topology profile and eliminate the complex processing related to the alternate approach requiring extensive planarization of the thick structures composing the MEMS devices as to allow precision photolithography to be performed with modern exposure equipment having small depth of focus. [0124]
  • Mooreover, the modular approach of the present invention allows a very wide variety of MEMS devices to be essentially “dropped” onto conventional CMOS and high-voltage CMOS devices as to produce intelligent Microsystems in various application markets such as: RF telecommunications (RF MEMS and such) and optical communications (Photonics MEMS), biomedical and medicine (biochips, lab-on-a-chip and such), automotive (pressure sensors, inertial sensors and such), information technology (printer heads, magnetic components for hard disks, and such), industrial (gas sensors and such). In fact, this new possibility of combining micro-mechanics with existing micro-electronics devices opens so much new opportunities that these are simply impossible to list.[0125]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention will now be described in more detail, by way of example only, with reference to the accompanying drawings, in which:—[0126]
  • FIG. 1 illustrates Analog Devices' Modular prior art MEMS process; [0127]
  • FIG. 2 illustrates SAIT's prior art MEMS process; [0128]
  • FIG. 3 illustrates Sandia's prior art IMEMS process; [0129]
  • FIG. 4 illustrates MEMSCAP's MUMPs prior art process; [0130]
  • FIG. 5 illustrates Robert Bosch's prior art surface micromachining process; [0131]
  • FIG. 6 illustrates Sandia's prior art CMOS-first, micromechanics-last MEMS process; [0132]
  • FIG. 7 illustrates UC Berkeley's prior art low-stress un-doped porous polysilicon; [0133]
  • FIG. 8 illustrates prior art Siemens Aktiengesellschaft's low-stress laminated silicon structure; [0134]
  • FIG. 9 illustrates Case Western Reserve prior art University' slow-stress laminated silicon structures; [0135]
  • FIG. 10 illustrates UC Berkeley's prior art low-stress re-crystallized in-situ doped polysilicon; [0136]
  • FIG. 11 illustrates a strategy allowing the fabrication of advanced MEMS after the integrated circuit in accordance with one embodiment of the invention; [0137]
  • FIG. 12 shows Al—Si and Al—Cu phase diagrams; [0138]
  • FIG. 13 illustrates Samsung's 16 Mb DRAM using a high-temperature aluminium reflow technique; [0139]
  • FIG. 14 illustrates an interconnection strategy allowing the fabrication of advanced MEMS after the integrated circuit in accordance with an embodiment of the invention; [0140]
  • FIG. 15 shows atomic force microscopy measurements of the surface roughness of amorphous and polysilicon layers; [0141]
  • FIG. 16 shows the deposition of un-doped amorphous silicon using the pyrolisis of silane; [0142]
  • FIG. 17 shows the deposition of un-doped amorphous silicon using the pyrolisis of silane; [0143]
  • FIG. 18 shows the deposition rates of un-doped and in-situ doped amorphous silicon and polysilicon layers; [0144]
  • FIG. 19 is an example of non-repeatable mechanical properties of amorphous silicon deposited at temperatures between 550° C. and 575° C. under diffusion rate limited conditions; [0145]
  • FIG. 20 shows the compressive mechanical stress of un-doped amorphous silicon using the pyrolisis of silane close to the reaction rate limited conditions; [0146]
  • FIG. 21 shows the atomic radii of phosphorus and silicon; [0147]
  • FIG. 22 shows the relative variation of lattice spacing of single crystal silicon wafers and the phosphorus doping level; [0148]
  • FIG. 23 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine; [0149]
  • FIG. 24 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine; [0150]
  • FIG. 25 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine; and [0151]
  • FIG. 26 shows the deposition of phosphorus-doped amorphous silicon using the pyrolisis of silane and phosphine.[0152]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The process allowing the fabrication of advanced MEMS devices after the integrated circuit is shown in FIG. 11. This process requires the mechanical parts of the MEMS device to be fabricated over the interconnections of the integrated circuit, thus imposing an upper limit on the process temperature of about 550° C., the maximum temperature at which aluminium interconnections can be exposed. [0153]
  • The MEMS device shown in FIG. 11 has a [0154] mechanical MEMS part 10 and an integrated circuit part 11. These are formed on a silicon substrate 12 having a well 13 with tungsten plugs 14 over Ti/TiN at the contacts. A TiN/Al/TiN layer 15 provides the interconnects.
  • A [0155] first silicon layer 15 interconnects the integrated circuit 11 and MEMS device 10, which is made out of structural silicon layers 17 over a region 18 where sacrificial material has been removed.
  • The maximum temperature at which typical Al—Si binary alloy, Al—Cu binary alloy or Al—Si—Cu ternary alloy interconnections can be exposed is largely dependant upon the eutectic temperature of these aluminium alloys. The aluminium-rich side of the Al—Si and Al—Cu phase diagram are shown on FIG. 12. The aluminium-rich side of the Al—Si—Cu phase diagram is built from the aluminium-rich side of the individual Al—Si, Al—Cu phase diagrams. It is clear from FIG. 12 that aluminium can dissolve the silicon of a binary Al-1 wt % Si alloy and be exposed to a temperature as high as 622° C. before any eutectic formation while the silicon of a binary Al-2 wt % Si would provoke the formation of a eutectic when exposed to a temperature as low as 567° C. Similarly, aluminium can dissolve the copper of a binary Al-1 wt % Cu alloy and be exposed to a temperature as high as 645° C. before any eutectic formation while the copper of a binary Al-6 wt % Cu would provoke the formation of a eutectic when exposed to a temperature as low as 548° C. Combining these results, it is anticipated that aluminium could dissolve the silicon and the copper of a ternary Al-1 wt % Si-1 wt % Cu alloy and be exposed to a! temperature as high as 622° C. before any Al—Si eutectic formation. [0156]
  • The fabrication of integrated circuits with similar aluminium alloys exposed to temperatures exceeding 550° C. has been described by Samsung Electronics Co. Ltd. And has been used in the fabrication of their 4 Mb and 16 Mb DRAM devices: Sang-in Lee, Chang-soo Park and Jeong-ha Son, U.S. Pat. No. 5,266,521, “Method for forming a planarized composite metal layer in a semiconductor device”, Samsung Electronics Co. Ltd., filed on Jan. 31, 1992 and granted on Nov. 30, 1993; [0157]
  • Young-jin Wee, In-seon Park and Sang-in Lee, U.S. Pat. No. 5,814,556, “Method of filling a contact hole in a semiconductor substrate with a metal”, Samsung Electronics Co. Ltd., filed on Aug. 15, 1996 and granted on Sep. 29, 1998; Sang-in Lee, Jeong-in Hong, Jong-ho Park and U.S. Pat. No. 5,843,842, “Method for manufacturing a semiconductor device having a wiring layer without producing silicon precipitates”, Samsung Electronics Co. Ltd., filed on Sep. 3, 1996 and granted on Dec. 1, 1998; Integrated Circuit Engineering, Construction analysis report number SCA 9311-3001, “Samsung KM44C4000J-7 16 megabit DRAM”, March 1995, 52 pages. [0158]
  • FIG. 13 shows some pictures taken from the ICE's Construction analysis report of Samsung's 16 Mb DRAM and clearly demonstrate that this 16 Mb DRAM device used the upper disclosed high-temperature aluminium reflow technique. The two levels of interconnects are the following: [0159]
  • a. A first metal, composed of a 0.15 μm thick TiN barrier, a reflowed 0.55 μm thick aluminium alloy and a 0.04 μm thick TiN anti-reflective (cap) layer; [0160]
  • b. A second metal, composed of a non-reflowed 0.91 μm thick silicon-containing aluminium alloy. [0161]
  • As described in their U.S. Pat. Nos. 5,266,521, 5,814,556, Al-1 wt % Si, Al-0.5 wt % Cu or Al-1 wt % Si-0.5 wt % Cu alloys are heated for a few minutes at a temperature of 550° C. to provoke this the aluminium reflow in the contacts to N+, P+, [0162] Poly 1 and Polycide regions and ensure a smooth and planarized top surface.
  • During these [0163] few minutes 550° C. aluminium reflow, the underlying TiN barrier layer prevents the underlying silicon material from being dissolved in the aluminium alloy, which would result in electrical shorts of the underlying N+ and P+ junctions. The resistance of the TiN barrier at such a high temperature can be improved by some techniques, such as the one described in the following USA patent: Luc Ouellet, Yves Tremblay and Luc Gendron, U.S. Pat. No. 6,127,266, “Stabilization of the interface between TiN and Al alloys”, Mitel Corporation, filed on Nov. 26, 1997 and granted on Oct. 3, 2000; but it would still not resist very long exposures at such a high temperature, thus causing breakdown of the TiN barrier and junction leakage.
  • For this reason, the tungsten plug shown in FIG. 11 is used to contact N+, P+, [0164] Poly 1 and other underlying materials under the first level of interconnection of the integrated circuit. The tungsten-TiN interface is very resistant to prolonged exposures to very high temperatures.
  • FIG. 14 shows the interconnection strategy allowing the fabrication of advanced MEMS after the integrated circuit. It involves the following steps: [0165]
  • a. A Ti/TiN contact layer is deposited on the active components to ensure low contact resistance to N+, P+, [0166] Poly 1 and other underlying materials.
  • b. A tungsten layer is deposited on the contact layer using chemical vapour deposition (CVD). [0167]
  • c. The CVD-W (tungsten) layer is etched back to leave tungsten plugs in the contact regions. [0168]
  • d. This step is followed by the deposition of a TiN/AlSiCu/TiN interconnect layer at high temperature over the tungsten plugs to form a low resistance interconnect. [0169]
  • The TiN/AlSiCu/TiN interconnect layer is patterned to form a high temperature stability and low resistance interconnections over which a MEMS device can be fabricated at temperatures up to 550° C. [0170]
  • The interconnect layer should include a contact material incorporating a refractory material ensuring thermally stable contact resistance to N+ doped silicon, P+ doped silicon, and polysilicon. Alternatively, it can be titanium Ti; titanium-tungsten alloy, TiW; titanium nitride, TiN; titanium silicide, TiSi2; or a combination thereof. [0171]
  • The interconnect layer can also comprise a material such as aluminum; an aluminum-silicon binary alloy plug containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy plug containing less than 6.0 wt % of copper to ensure a silicon-eutectic temperature of more than 548° C.; another binary aluminum alloy plug having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C., Copper; Tungsten; a combination thereof. [0172]
  • The interconnect layer can be deposited by Physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Electroplating; Electroless plating; a combinations thereof. [0173]
  • The interconnect layer preferably comprises a layered structure ensuring thermally stable interconnects, said layered interconnection structure comprising a titanium-based under-layer, an aluminum-based middle-layer, and a titanium-based over-layer. Preferably, the titanium-based under-layer is titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN; the an aluminum-based middle-layer is aluminum, Al; an aluminum-silicon binary alloy containing less than 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy containing less than 6.0 wt % of copper, as to ensure a silicon-eutectic temperature of more than 548° C.; a binary aluminum alloy having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C. % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C.; and said titanium based over-layer is titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN. [0174]
  • The contact material can be deposited by Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof. [0175]
  • Next a protective layer of undoped amorphous silicon (a-Si) is deposited over the interconnect layer. [0176]
  • Alternatively, the protective layer can be a titanium, Ti, layer; a titanium nitride, TiN, layer; an aluminum alloy layer; a plasma-enhanced chemical vapor deposited, PECVD, silicon nitride layer; a spin-on polymer; or a combination thereof. [0177]
  • The protective layer can be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or Combinations thereof. [0178]
  • Next, a sacrificial layer is deposited over the protective layer composed of: a silicate glass, SG, layer; a phosphorus-doped silicate glass, PSG, layer; a boron-doped silicate glass, BSG, layer; a boron- and phosphorus-doped silicate glass, BPSG, layer; a tetraethyl-ortho-silicate-glass, TEOS, layer; a fluorinated dielectric; a highly porous dielectric; a silicate spin-on glass, SOG, layer; a phosphorus-doped silicate SOG layer; or combinations thereof. [0179]
  • After opening holes in the sacrificial layer and the protective layer to allow connection of the MEM device to the electronic device, an amorphous silicon layer is deposited on the sacrificial layer and patterned. The sacrificial layer is then at least partially etched away to expose the MEM device. [0180]
  • The sacrificial layer can be etched away using concentrated (49%) hydrofluoric, HF, liquid acid; Concentrated (49%) hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Ammonium fluoride, HN[0181] 4F buffered concentrated (49%) hydrofluoric, HF, liquid acid; Ammonium fluoride, HN4F buffered concentrated (49%) hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Water diluted hydrofluoric, HF, liquid acid; Water diluted hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Water diluted and ammonium fluoride, HN4F buffered diluted hydrofluoric, HF, liquid acid; Water diluted and ammonium fluoride, HN4F buffered diluted hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Vapor hydrofluoric, HF, liquid acid; Vapor hydrofluoric, HF, liquid acid, followed by anti-stiction chemicals exposure; Isotropic plasma removal; Isotropic plasma removal, followed by anti-stiction chemicals exposure; or combinations thereof.
  • The layer of amorphous silicon can be patterned using wet etching; plasma etching; Reactive ion etching, RIE; Deep reactive ion etching, DRIE; Combinations thereof. [0182]
  • The opening of the holes in the sacrificial layer and in the protective layer can permit the establishment of connections to circuit elements such as an N+ junction; a P+ junction; a polysilicon layer; an interconnection; or combinations thereof. [0183]
  • The opening of the holes can be performed by: wet etching; Plasma etching; Reactive ion etching, RIE; Deep reactive ion etching, DRIE; Combinations thereof. [0184]
  • The interconnection strategy described in FIG. 14 allows the fabrication of advanced MEMS after the integrated circuit as shown in FIG. 11. This interconnection strategy constitutes an important element of the present invention because it enables the integration of mechanical parts fabricated at a temperature of ranging between 530° C. and 550° C. [0185]
  • The strategy to produce low-stress doped silicon structures for the fabrication of advanced MEMS after the integrated circuit [0186]
  • The strategy allowing the fabrication of low-stress doped silicon structures at temperatures ranging between 530° C. and 550° C. will now be described. [0187]
  • Introduction to In-Situ Doped Amorphous Silicon [0188]
  • Most MEMS fabrication facilities use post-deposition doping of low-stress polysilicon using high-temperature diffusion at temperatures ranging between 900° C. and 1000° C. for a few hours to achieve uniform doping through the thickness of a few microns thick low-stress structural polysilicon films. Unfortunately, these high temperature diffusions will destroy the integrated circuit fabricated in the wafer prior to polysilicon surface micromachining. As discussed above, if the diffusion/activation is performed for a shorter time, dopant distribution through the film thickness will not be uniform, resulting in difficulties with mechanical properties variations through the film thickness. Also, if the diffusion/activation is performed at lower temperature, dopant activation will not be adequate and excessively resistive polysilicon will result. [0189]
  • In one aspect, the invention describes a new way to use in-situ doped amorphous silicon films as to achieve low-temperature conductive laminated structures having low residual stress. [0190]
  • Polysilicon resistivity can be largely reduced by an in-situ doping during deposition by adding to silane some reaction gases such as phosphine (phosphorus n-type doping), arsine (arsenic n-type doping) or diborane (boron p-type doping), for example: [0191]
  • a. SiH[0192] 4 (gas to reach surface)→Si (silicon grows)+2H2 (gas to eliminate from surface)
  • b. PH[0193] 3 (gas to reach surface)→P (in silicon)+{fraction (3/2)}H2 (gas to eliminate from surface)
  • c. AsH[0194] 3 (gas to reach surface)→As (in silicon)+{fraction (3/2)}H2 (gas to eliminate from surface)
  • d. B[0195] 2H6 (gas to reach surface)→2B (in silicon)+3H2 (gas to eliminate from surface)
  • Deposition of in-situ doped silicon at temperatures ranging between 530° C. and 570° C. results in an in-situ doped amorphous silicon material with a very smooth surface finish. FIG. 15 shows the improved surface finish (measured by atomic force microscopy) associated with thick layers of amorphous silicon. Since most MEMS devices use very thick layers of structural material, the improved surface roughness associated with amorphous silicon is a major advantage since it allows the thick structural amorphous silicon to be finely patterned using advanced photolithography equipment. [0196]
  • The rate at which the in-situ doped silicon surface grows is function of various parameters. The clear understanding and absolute control of these growth parameters are necessary in order allow reproducible mechanical properties of the finely defined structural amorphous silicon. [0197]
  • The growth parameters of compressive mechanical stress un-doped amorphous silicon deposited at temperatures between 530° C. and 550° C. [0198]
  • The growth rate of an un-doped amorphous silicon characterized by the following silane pyrolisis reaction: [0199]
  • e. SiH[0200] 4 (gas to reach surface)→Si (silicon grows)+2H2 (gas to eliminate from surface)
  • If the incoming rate of silane and the elimination rate of hydrogen are not limiting this pyrolisis reaction, the amorphous silicon growth rate should be reaction rate limited and should follow the following Arrhenius equation: [0201]
  • R=R o exp(−Ea/kT)
  • where Ea is silane's pyrolisis activation energy (eV), k is Boltzmann's constant and T is the temperature (K). Similarly, if the incoming rate of silane is too low (as to properly “feed” the growing surface in silicon atoms) and/or if the elimination rate of hydrogen is too low (as to cause a blocking effect limiting the pyrolisis reaction) then the amorphous silicon growth rate should be mass transport rate limited and should not reach the maximum growth rate predicted by the Arrhenius equation. [0202]
  • In order to ensure reproducible mechanical properties of un-doped amorphous silicon layers, it is very important to reach this maximum amorphous silicon growth rate characterized by this reaction rate limited situation because a very precise control of the growth temperature is possible in the pyrolisis reactor. The mass flow rate required to “properly feed” the growing surface is also dependent on the total surface consuming the silane and thus depends on the system's configuration such as the total number of exposed wafers to the chemical reaction. [0203]
  • Since amorphous silicon deposition performed at relatively lower temperatures (between 530° C. and 550° C.) it is expected that the deposition of amorphous silicon should be reaction rate limited. [0204]
  • This expectation can be easily verified at a given growth temperature by varying the flux of incoming silane on the surface (by varying the rate of incoming silane “feeding” the pyrolisis reaction via adjustments of the mass flow of silane incoming the pyrolisis equipment or, alternately, by varying the partial pressure of silane in equilibrium into the pyrolisis equipment via adjustments of the pumping rate at a given incoming rate of silane). If the pyrolisis reaction is rate limited, the growth rate would then be unaffected by these adjustments (i.e. there would be enough silane and not too much hydrogen to block the pyrolisis reaction) and the growth rate would be the maximum growth rate predicted by the Arrhenius equation for that growth temperature. [0205]
  • FIG. 16 shows that the pyrolisis of silane is in fact not reaction rate limited in most cases and that the lack of silane raw material at the surface slows the deposition rate below the maximum deposition rate at any given temperature. Silane pyrolisis is mass transport rate limited in most cases between 530° C. and 570° C. [0206]
  • FIG. 17 also shows that the pyrolisis of silane at 550° C. is not reaction rate limited at a mass flow rate of 133 sccm and does not allow the maximum un-doped amorphous silicon growth rate of 3.4 nm/min @ 550° C. In fact, its pyrolisis is clearly transport rate limited at this mass flow rate of 133 sccm and at the maximum partial pressure of 850 mTorr. A mass flow rate 253 sccm of silane is also too low to reach the maximum deposition rate of about 5.3 nm/min at 570° C. and its pyrolisis is still mass transport rate limited at 570° C. and at partial pressures between 600 and 850 mTorr. A mass flow rate 312 sccm of silane is still slightly too low to reach the maximum deposition rate of about 5.3 nm/min at 570° C. and at a partial pressure of 600 mTorr. [0207]
  • In order to make sure that the mechanical properties of the grown un-doped amorphous silicon layers are repeatable, it is necessary to deposit these using a high enough silane mass flow rate to allow a reaction rate limited regime between 530° C. and 550° C. For our growth equipment, it is recommended to: [0208]
  • f. Increase the silane mass flow rate to reach the target growth rate of 1.7 nm/min @ 530° C. A silane mass flow rate of 253 sccm at 530° C. would certainly provide a reaction rate limited condition; [0209]
  • g. Increase the silane mass flow rate to reach the target growth rate of 3.4 nm/min @ 550° C. A silane mass flow rate of 253 sccm at 550° C. could be sufficient and could allow a reaction rate limited condition. A silane mass flow rate of about 350 to 400 sccm at 550° C. would be preferred to ensure a reaction rate limited condition. [0210]
  • Depositing amorphous silicon under non-reaction rate limited conditions is very common in the literature. FIG. 18 shows the deposition rates of un-doped and in-situ doped amorphous silicon and polyslicon layers. The graph is taken from the following Ph.D. thesis: Helmut Puchner, “Advanced Process Modeling for VLSI Technology”, Ph.D. thesis, Institute for microelectronics, Technical University of Vienna, June 1996. [0211]
  • It is from this FIG. 18 that most of the reported deposition rate of about 2.4 nm/min at 550° C. (way below the target growth rate of 3.4 nm/min characteristic of a reaction rate limited condition) for un-doped amorphous silicon and of about 1.2 nm/min for doped amorphous silicon are clearly not achieved from reaction rate limited conditions. Another reference shows an amorphous silicon deposition rate of only 2.0 nm/min @ 550° C. (again way below the target growth rate of 3.4 nm/min characteristic of a reaction rate limited condition): T. P. Chen, T. F. Lei, H. C. Lin, and C. Y. Chan, “Low temperature growth of silicon-boron layer by ultrahigh vacuum chemical vapor deposition”, Appl. Phys. Lett. Vol. 64, No. 14, Apr. 4, 1994, pp. 1853-1855. [0212]
  • Depositing amorphous silicon under non-reaction rate limited conditions will result in non-repeatable mechanical properties. Non-repeatable results can be observed in the various papers describing the mechanical properties of un-doped amorphous silicon deposited at temperatures between 550 and 575° C. An example of such non-repeatable results is shown in FIG. 19 extracted from the following reference: Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips and Arthur H. Heuer, “A new technique for producing large-area as-deposited zero-stress LPCVD polysilicon films: The multipoly process”, IEEE Journal of microelectromechanical systems, Vol. 9, No. 4, December 2000, pp. 485-494. [0213]
  • Depositing amorphous silicon under reaction rate limited conditions will result in repeatable mechanical properties. FIG. 20 shows the compressive mechanical stress achieved with amorphous silicon layers deposited close to the reaction rate limited conditions: [0214]
  • h. Un-doped amorphous silicon growth rate of 1.7 nm/min @ 530° C.; [0215]
  • i. Un-doped amorphous silicon growth rate of 5.3 nm/min @ 570° C. [0216]
  • The following discussion will now show that it is possible to obtain a tensile stress as-deposited in-situ phosphorus-doped amorphous silicon layer which will compensate the compressive mechanical stress obtained with this un-doped amorphous silicon to achieve low mechanical stress conductive laminated structures without the need for any high-temperature diffusion and/or activation. This combination is an important aspect of the invention because the processing temperature being limited to the deposition temperature of the un-doped amorphous silicon and to the deposition temperature of the doped amorphous silicon, it allows the fabrication of MEMS over integrated circuits. [0217]
  • The growth parameters of tensile mechanical stress phosphorus-doped and electrically conductive amorphous silicon deposited at temperatures between 530° C. and 550° C. [0218]
  • A careful analysis of the periodic table of FIG. 21 shows that phosphorus' 98 picometer atomic radius is much smaller then silicon's 111 picometre atomic radius. This simple observation has a very high impact because it predicts that it could be possible to use the phosphorus in-situ doping of silicon to convert the compressive mechanical stress of un-doped amorphous silicon deposited under reaction rate limited conditions into a tensile stress phosphorus-doped electrically conductive amorphous silicon and, potentially, into a zero-stress phosphorus-doped electrically conductive amorphous silicon. [0219]
  • This lattice contraction effect has been observed and characterized for single crystal silicon wafers in the following reference: Chang Seung Lee, Jong Hyun Lee, Chang Auck Choi, Kwangsoo No and Dang Moon Wee, “Effects of phosphorus on stress of multi-stacked polysilicon film and single crystalline silicon”, J. Micromech. Microeng. 9 (1999) 252-263. [0220]
  • The upper reference shows that phosphorus atoms implanted in the silicon lattice of single crystal silicon wafers give rise to a lattice contraction and a tensile stress linearly proportional to the phosphorus doping. This tensile stress is reduced after high-temperature annealing. The relationship between the relative variation of lattice spacing of the single crystal silicon wafers and the phosphorus doping level is calculated from a high-resolution x-ray rocking curve as −4.510[0221] −24 cm3 for phosphorus. The resulting lattice dilation coefficient curve for phosphorus is reproduced in FIG. 22. This upper reference also reports that these results are contrary to the results observed for polysilicon samples and explains the difference by the existence of grain boundaries in the polysilicon samples.
  • It will now be demonstrated that in-situ phosphorus-doping at a low temperature ranging between 530° C. and 550° C. can provoke the conversion of the compressive mechanical stress un-doped amorphous silicon into a tensile mechanical stress electrically conductive phosphorus-doped amorphous silicon. This new technique will have the following advantages for MEMS applications: [0222]
  • j. It will allow the fabrication of conductive laminated layers using un-doped compressive stress amorphous silicon and phosphorus-doped tensile stress electrically conductive amorphous silicon without the need for subsequent post-deposition high-temperature diffusions and/or activations to achieve conductive structures; [0223]
  • k. It will provide a uniform phosphorus doping throughout the amorphous silicon film thickness, thus eliminating the effects of micro-structural variations in the thickness direction of some mechanical properties; [0224]
  • l. It will eliminate the bending moments (causing out-of-plane deflections) of mechanically released structures. [0225]
  • The phosphorus incorporation rate into a growing amorphous silicon characterized by the following phosphine pyrolisis reaction: [0226]
  • m. PH[0227] 3 (gas to reach surface)→P (incorporation in Si)+{fraction (3/2)}H2 (to eliminate from surface)
  • n. If the incoming rate of phosphine and the elimination rate of hydrogen are not limiting this pyrolisis reaction, the phosphorus incorporation should be reaction rate limited and should follow the following Arrhenius equation: [0228]
  • R=R o exp(−Ea′/kT)
  • where Ea′ is phosphine's pyrolisis activation energy (eV), k is Boltzmann's constant and T is the temperature (K). Similarly to silane, if the incoming rate of phosphine is controlled and maintained constant during the growth of the amorphous silicon layer (depositing in reaction rate limited conditions) and if the elimination rate of hydrogen is also controlled and maintained constant during the growth of the doped amorphous silicon layer, then the growing amorphous silicon should uniformly incorporate the phosphorus atoms. [0229]
  • If the amount of incoming phosphine is controlled such that it does not limit phosphine's pyrolisis reaction at the amorphous silicon growth temperature (between 530° C. and 550° C.) then the phosphorus incorporation will also reach a reaction rate limited condition and the phosphorus incorporation into the growing phosphorus-doped amorphous silicon will be fixed and only dictated by the deposition temperature because the ratio of silane's and phosphine's activation energies is constant at a given growth temperature. This will result in a fixed phosphorus incorporation and potentially a fixed mechanical stress at a given growth temperature. [0230]
  • If the controlled amount of incoming phosphine is such that it does limit phosphine's pyrolisis reaction then the phosphorus incorporation will enter in a mass transport rate limited and the phosphorus incorporation into the growing amorphous silicon layer will be varied and controlled by three process parameters: [0231]
  • o. The deposition temperature; [0232]
  • p. The mass flow rate of phosphine entering the growth equipment; [0233]
  • q. The partial pressure of phosphine during growth. [0234]
  • Controlling the phosphorus incorporation and the properties such as the mechanical stress and bulk resistivity of an in-situ doped amorphous silicon deposited under mass transport rate limited conditions is quite demanding and should be avoided. Doping the growing amorphous silicon with just the right amount of phosphine to “properly feed” the growing surface with the right amount of phosphorus atoms required by the surface at the growth temperature will result in a stable process. [0235]
  • Since the amorphous silicon deposition is performed at relatively lower temperatures between 530° C. and 550° C. it is expected that the phosphorus incorporation and phosphorus-doped amorphous silicon growth rate could easily be adjusted in the reaction rate limited conditions by varying the flux of incoming phosphine on the surface (by varying the rate of incoming phosphine “feeding” the pyrolisis reaction via adjustments of the mass flow of phosphine incoming the pyrolisis equipment or, alternately, by varying the partial pressure of phosphine in equilibrium into the pyrolisis equipment via adjustments of the pumping rate at a given incoming rate of phosphine). If the phosphine pyrolisis reaction is rate limited, the phosphorus incorporation rate (bulk resistivity) and phosphorus-doped amorphous silicon growth rate would then be unaffected by these adjustments (i.e. there would be enough phosphine and not too much hydrogen to block the pyrolisis reactions of both, phosphine AND silane) and the phosphorus incorporation rate and phosphorus-doped amorphous silicon growth rate would then reach the maximum rates predicted by the two Arrhenius equations for that growth temperature: [0236]
  • Phosphorus-doped amorphous silicon growth rate of 1.7 nm/min @ 530° C.; [0237]
  • Phosphorus-doped amorphous silicon growth rate of 3.4 nm/min @ 550° C.; [0238]
  • Phosphorus-doped amorphous silicon growth rate of 5.3 nm/min @ 570° C. [0239]
  • FIG. 23 shows the growth rates of various phosphorus-doped layers deposited under various deposition conditions. Phosphine has a poisoning effect on the surface and that an excessive amount of phosphine slows-down the phosphorus-doped amorphous silicon growth rate between 530° C. and 570° C. The 253 sccm of silane is a slightly too low mass flow of silane in the equipment to ensure a reaction rate limited condition when phosphine is added to the gas mixture to incorporate phosphorus. Again, a slight increase in silane mass flow rate and a slight optimization (reduction) of the phosphine flow rate will ensure the desirable reaction rate limited condition. [0240]
  • FIG. 24 shows that the deposition rate of phosphorus-doped amorphous silicon is strongly affected by an increase in phosphine partial pressure when the deposition temperature increases above 550° C. This again supports the argument that the mass flow rate of silane for deposition at temperatures about 550° C. is slightly too low to ensure a reaction rate limited condition when a partial pressure of phosphine is gradually added to the gas mixture to incorporate phosphorus. Again, a slight increase in silane mass flow rate and a restriction of the phosphine flow rate to the optimum value will ensure the desirable reaction rate limited conditions allowing reproducible mechanical properties: [0241]
  • r. Phosphorus-doped amorphous silicon growth rate of 1.7 nm/min @ 530° C.; [0242]
  • s. Phosphorus-doped amorphous silicon growth rate of 3.4 nm/min @ 550° C.; [0243]
  • t. Phosphorus-doped amorphous silicon growth rate of 3.9 nm/min @ 555° C.; [0244]
  • u. Phosphorus-doped amorphous silicon growth rate of 4.4 nm/min @ 560° C.; [0245]
  • v. Phosphorus-doped amorphous silicon growth rate of 4.9 nm/min @ 565° C.; [0246]
  • w. Phosphorus-doped amorphous silicon growth rate of 5.3 nm/min @ 570° C. [0247]
  • FIG. 25 shows the spectacular result of the invention: Tensile mechanical stress phosphorus-doped electrically conductive amorphous silicon layers can be obtained when deposited close to these reaction rate limited conditions. [0248]
  • FIG. 26 shows the bulk resistivity of the obtained in-situ phosphorus-doped tensile mechanical stress amorphous silicon deposited at a temperature of only 550° C. [0249]
  • The combination of un-doped compressive stress amorphous silicon and of phosphorus-doped tensile stress electrically conductive amorphous silicon in the fabrication of low residual stress structural silicon as to fabricate MEMS over integrated circuits [0250]
  • The combination of compressive mechanical stress un-doped amorphous silicon shown in FIG. 20 with the tensile mechanical stress phosphorus-doped electrically conductive amorphous silicon shown in FIG. 26 allows the fabrication of symmetrically laminated structures with no residual stress similar to the ones described by the cited prior art references from Siemens Aktiengesellschaft (FIG. 8) and Case Western Reserve University (FIG. 9): Markus Biebl, U.S. Pat. No. 5,753,134, “Method for producing a layer with reduced mechanical stresses”, Siemens Aktiengesellschaft, filed on Nov. 23, 1994 and granted on May 19, 1998; Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,268,068, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Mar. 1, 1999 and granted on Jul. 31, 2001; Arthur H. Heuer, Harold Kahn and Jie Yang, U.S. Pat. No. 6,465,045, “Low stress polysilicon film and method for producing same”, Case Western Reserve University, filed on Oct. 18, 2000 and granted on Oct. 15, 2002; Arthur H. Heuer, Harold Kahn, Jie Yang and Stephen M. Phillips, U.S. Pat. No. 6,479,166, “Large area polysilicon films with predetermined stress characteristics and method for producing same”, Case Western Reserve University, filed on May 1, 2000 and granted on Nov. 12, 2002; Jie Yang, Harold Kahn, An-Qiang He, Stephen M. Phillips and Arthur H. Heuer, “A new technique for producing large-area as-deposited zero-stress LPCVD polysilicon films: The multipoly process”, IEEE Journal of microelectromechanical systems, Vol. 9, No. 4, December 2000, pp. 485-494. [0251]
  • The electronic components in the device can, for example, be an N-channel metal oxide semiconductor (NMOS) device; a P-channel metal oxide semiconductor (PMOS) device; a complementary metal oxide semiconductor (CMOS) device; an extended drain metal oxide semiconductor (DMOS) device; a bipolar device; a bipolar-CMOS or BiCMOS device; a bipolar-DMOS or BCDMOS device; a high-voltage NMOS device; a high-voltage PMOS device; a high-voltage CMOS device; a high-voltage DMOS device; a high-voltage bipolar device; a high-voltage BiCMOS device; a bipolar-DMOS or BCDMOS device, or combinations thereof. [0252]
  • The high-voltage can be higher than 5 volts but lower than 1,200 volts; higher than 5 volts but lower than 600 volts; higher than 5 volts but lower than 300 volts; higher than 5 volts but lower than 200 volts; higher than 5 volts but lower than 100 volts; higher than 5 volts but lower than 40 volts; higher than 5 volts but lower than 20 volts; higher than 5 volts but lower than 10 volts. [0253]
  • The interconnect layer can comprise a layered structure ensuring thermally stable interconnects, comprising a titanium-based under-layer, an aluminum-based middle-layer, and a titanium-based over-layer. The titanium-based under-layer may be titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN; the aluminum-based middle-layer may be aluminum, Al; an aluminum-silicon binary alloy containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy containing less than 6.0 wt % of copper, as to ensure a silicon-eutectic temperature of more than 548° C.; a binary aluminum alloy having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C.; and said titanium based over-layer may be titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN. [0254]
  • The under-layer may be deposited by a Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof. [0255]
  • The aluminum-based middle-layer may be deposited Physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof. [0256]
  • The over-layer may be deposited by Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or a combination thereof. [0257]
  • The interconnect layer can also have a layered structure comprising a tantalum-based under-layer; a copper-based middle layer; a tantalum-based over-layer. [0258]
  • The tantalum based under-layer can be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof. [0259]
  • The tantalum-based underlayer may be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or a combination thereof, the copper-based middle-layer may be deposited by physical vapor deposition, PVD; chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Metal-organic chemical vapor deposition, MOCVD; Electroplating; Electroless plating; or combinations thereof; and the over-layer may be deposited by physical vapor deposition, PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; or combinations thereof. [0260]
  • The interconnect layer can also have a layered structure comprising a titanium-based under-layer and a tungsten-based layer. The titanium-based under-layer may be titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN; and the tungsten-based layer is CVD-W. [0261]
  • The titanium-based under-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; Combinations thereof. The tungsten-based layer may be deposited by: Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma-enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; combinations thereof. [0262]
  • The interconnect layer may also be a layered interconnection structure comprising a titanium-based under-layer; a tungsten-based middle-layer, such as CVD-W; a titanium-based over-layer, such as titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN. [0263]
  • In this case the under-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; Combinations thereof. [0264]
  • The tungsten-based middle-layer may be deposited by: Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma-enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Combinations thereof these. [0265]
  • The over-layer may be deposited by: Physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP; or combinations thereof. [0266]
  • The protective layer may be an un-doped amorphous silicon layer a-Si; a phosphorus-doped amorphous silicon layer a-Si(P); a titanium, Ti, layer; a titanium nitride, TiN, layer; an aluminum alloy layer; a plasma-enhanced chemical vapor deposited, PECVD, silicon nitride layer; a spin-on polymer layer; or a combination thereof. [0267]
  • The protective layer may be deposited by physical vapor deposition, PVD; Reactive PVD; Chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Rapid thermal processing, RTP, or combinations thereof. [0268]
  • The sacrificial layer may be a silicate glass, SG, layer; a phosphorus-doped silicate glass, PSG, layer; a boron-doped silicate glass, BSG, layer; a boron- and phosphorus-doped silicate glass, BPSG, layer; a tetraethyl-ortho-silicate-glass, TEOS, layer; a fluorinated dielectric; a highly porous dielectric; a silicate spin-on glass, SOG, layer; a phosphorus-doped silicate SOG layer or; combinations thereof. [0269]
  • The sacrificial layer may be deposited by: chemical vapor deposition, CVD; Low pressure chemical vapor deposition, LPCVD; Plasma enhanced chemical vapor deposition, PECVD; Inductively coupled plasma, ICP; Metal-organic chemical vapor deposition, MOCVD; Spin-on; combinations thereof. [0270]

Claims (33)

I claim:
1. A method fabricating a micro-electro-mechanical (MEM) device and an electronic device on a common substrate comprising the steps of:
fabricating said electronic device comprising a plurality of electronic components on said common substrate;
depositing a thermally stable interconnect layer on said electronic device;
encapsulating the interconnected electronic device with a protective layer;
forming a sacrificial layer over said protective layer;
opening holes in the sacrificial layer and said protective layer to allow the connection of the MEM device to said electronic device;
fabricating said MEM device by depositing and patterning at least one layer of amorphous silicon; and
removing at least a portion of said sacrificial layer.
2. The method of claim 1, wherein said interconnect layer includes a contact material incorporating a refractory material ensuring thermally stable contact resistance to N+ doped silicon, P+ doped silicon, and polysilicon.
3. The method of claim 2, wherein said contact material is selected from the group consisting of titanium Ti; titanium-tungsten allow, TiW; titanium nitride, TiN; titanium silicide, TiSi2; a combinations thereof.
4. The method of claim 1, wherein the interconnect layer comprises a material selected from the group consisting of: aluminum; an aluminum-silicon binary alloy plug containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more then 567° C.; an aluminum-copper binary alloy plug containing less than 6.0 wt % of copper to ensure a silicon-eutectic temperature of more than 548° C.; another binary aluminum alloy plug having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C., Copper; Tungsten; a combination thereof.
5. The method of claim 1, wherein the interconnect layer comprises a layered structure ensuring thermally stable interconnects, said layered interconnection structure comprising a titanium-based under-layer, an aluminum-based middle-layer, and a titanium-based over-layer.
6. The method of claim 6, wherein said titanium-based under-layer is selected from the group consisting of: titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN; said an aluminum-based middle-layer is selected from the group consisting of: aluminum, Al; an aluminum-silicon binary alloy containing less then 2.0 wt % of silicon, as to ensure a silicon-eutectic temperature of more than 567° C.; an aluminum-copper binary alloy containing less than 6.0 wt % of copper, as to ensure a silicon-eutectic temperature of more than 548° C.; a binary aluminum alloy having an eutectic temperature higher than 545° C.; an aluminum-silicon-copper ternary alloy containing less than 2.0 wt % of silicon and less than 6.0 wt % of copper; a ternary aluminum alloy having an eutectic temperature higher than 545° C.; and said titanium based over-layer is selected from the group consisting of: titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN.
7. The method of claim 1, wherein said interconnect layer has a layered structure comprising a tantalum-based under-layer; a copper-based middle layer; a tantalum-based over-layer.
8. The method of claim 1, wherein the interconnect layer comprises a layered interconnection structure comprising a titanium-based under-layer and a tungsten-based layer.
9. The method of claim 8, wherein said titanium-based under-layer is selected from the group consisting of: titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN;
and said tungsten-based layer is CVD-W.
10. The method of claim 1, wherein the interconnect layer is a layered interconnection structure comprising a titanium-based under-layer; a tungsten-based middle-layer, such as CVD-W; a titanium-based over-layer, such as titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN.
11. The method as claimed in claim 10, wherein said titanium-based under-layer is selected from the group consisting of titanium, Ti, titanium nitride, TiN or combinations thereof; said tungsten-based middle-layer is CVD-W; and said titanium-based over-layer is selected from the group consisting of: titanium, Ti, titanium nitride, TiN or combinations of Ti and TiN.
12. The method of claim 1 wherein said protective layer comprises a layer selected from the group consisting of: an un-doped amorphous silicon layer a-Si; a phosphorus-doped amorphous silicon layer a-Si(P); a titanium, Ti, layer; a titanium nitride, TiN, layer; an aluminum alloy layer; a plasma-enhanced chemical vapor deposited, PECVD, silicon nitride layer; a spin-on polymer layer; or a combination thereof.
13. The method of claim 1, wherein said sacrificial layer is selected from the group consisting of: a silicate glass, SG, layer; a phosphorus-doped silicate glass, PSG, layer; a boron-doped silicate glass, BSG, layer; a boron- and phosphorus-doped silicate glass, BPSG, layer; a tetraethyl-ortho-silicate-glass, TEOS, layer; a fluorinated dielectric; a highly porous dielectric; a silicate spin-on glass, SOG, layer; a phosphorus-doped silicate SOG layer or; combinations thereof.
14. The method of claim 1, wherein the opening of the holes in the sacrificial layer and in the protective layer permit the establishment of connections to a circuit element selected from the group consisting of: an N+ junction; a P+ junction; a polysilicon layer; an interconnection; or combinations thereof.
15. The method of claim 1, wherein said at least one amorphous silicon layer is deposited at a temperature of less than 580° C.
16. The method of claim 1, wherein said at least one amorphous silicon layer is deposited at a temperature between 520 and 550° C.
17. The method of claim 1, wherein said at least one layer of amorphous silicon is deposited using silane partial pressure of less than 5000 mTorr.
18. The method of claim 1, wherein said at least one layer of amorphous silicon is deposited using silane partial pressure of between 100 and 500 mTorr.
19. The method of claim 1, wherein said at least one layer of amorphous silicon is phosphorus-doped using a phosphine partial pressure of less than 5 mTorr.
20. The method of claim 1, wherein said at least one layer of amorphous silicon is phosphorus-doped using a phosphine partial pressure of between 0.10 and 0.50 mTorr;
21. The method of claim 1, wherein said at least one layer of amorphous silicon is phosphorus-doped as to provide a bulk resistivity of less than 1000 mohm.cm.
22. The method of claim 1, wherein said at least one layer of amorphous silicon is phosphorus-doped as to provide a bulk resistivity of between 0.1 and 1 mohm.cm. less than 1000 mohm.cm.
23. The method of claim 1, wherein said at least one layer of amorphous silicon is un-doped and has a compressive mechanical stress of less than −400 MPa.
24. The method of claim 1, wherein said at least one layer of amorphous silicon is un-doped and has a compressive mechanical stress of between −0.01 MPa and −10 MPa.
25. The method of claim 1, wherein the at least one layer of amorphous silicon is phosphorus-doped and has a tensile mechanical stress of less than +400 MPa.
26. The method of claim 1, wherein the at least one layer of amorphous silicon is phosphorus-doped and has a tensile mechanical stress of between +0.01 MPa and +10 MPa.
27. The method of claim 1, wherein the at least one layer of amorphous silicon is slightly phosphorus-doped and has a low residual mechanical stress of less than −100 MPa.
28. The method of claim 1, wherein the at least one layer of amorphous silicon is slightly phosphorus-doped and has a low residual mechanical stress of less than −100 MPa.
29. The method of claim 1, wherein comprising several said layers of amorphous silicon forming a laminated structure combining un-doped and phosphorus-doped layers, said laminated structure to having a low residual mechanical stress of less than −100 MPa.
30. The method of claim 1, wherein the at least one layer of amorphous silicon is un-doped and has an absolute stress gradient of less than 20 MPa/μm.
31. The method of claim 1, wherein the at least one layer of amorphous silicon is phosphorus-doped and has an absolute stress gradient of less than 20 MPa/μm.
32. The method of claim 1, wherein the at least one layer of amorphous silicon is slightly phosphorus-doped and has a low absolute stress gradient of less than 5 MPa/μm.
33. The method of claim 1, comprising several layers of amorphous silicon forming a laminated structure combining un-doped and phosphorus-doped layers, said laminated having a low absolute stress gradient of\less than 5 MPa/μm.
US10/410,158 2003-02-07 2003-04-10 Fabrication of advanced silicon-based MEMS devices Abandoned US20040157426A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/410,158 US20040157426A1 (en) 2003-02-07 2003-04-10 Fabrication of advanced silicon-based MEMS devices
EP04100440A EP1452481B1 (en) 2003-02-07 2004-02-05 Fabrication of advanced silicon-based MEMS devices
DE602004010729T DE602004010729T2 (en) 2003-02-07 2004-02-05 Production of improved silicon-based MEMS devices
US11/242,960 US7160752B2 (en) 2003-02-07 2005-10-05 Fabrication of advanced silicon-based MEMS devices

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44542603P 2003-02-07 2003-02-07
US44701903P 2003-02-13 2003-02-13
US10/410,158 US20040157426A1 (en) 2003-02-07 2003-04-10 Fabrication of advanced silicon-based MEMS devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/242,960 Continuation US7160752B2 (en) 2003-02-07 2005-10-05 Fabrication of advanced silicon-based MEMS devices

Publications (1)

Publication Number Publication Date
US20040157426A1 true US20040157426A1 (en) 2004-08-12

Family

ID=32776968

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/410,158 Abandoned US20040157426A1 (en) 2003-02-07 2003-04-10 Fabrication of advanced silicon-based MEMS devices
US11/242,960 Expired - Lifetime US7160752B2 (en) 2003-02-07 2005-10-05 Fabrication of advanced silicon-based MEMS devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/242,960 Expired - Lifetime US7160752B2 (en) 2003-02-07 2005-10-05 Fabrication of advanced silicon-based MEMS devices

Country Status (3)

Country Link
US (2) US20040157426A1 (en)
EP (1) EP1452481B1 (en)
DE (1) DE602004010729T2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095790A1 (en) * 2002-02-22 2005-05-05 Xerox Corporation Systems and methods for integration of heterogeneous circuit devices
US20060113618A1 (en) * 2004-11-26 2006-06-01 Reboa Paul F Microelectronic device with anti-stiction coating
US20060180882A1 (en) * 2005-02-16 2006-08-17 Seiko Epson Corporation MEMS device and manufacturing method of MEMS device
JP2006247815A (en) * 2005-03-14 2006-09-21 Olympus Corp Mems system and its manufacturing method
US20070004096A1 (en) * 2003-12-24 2007-01-04 Heuvelman Willem M Method for containing a device and a corresponding device
US20070040501A1 (en) * 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20070172975A1 (en) * 2006-01-20 2007-07-26 Texas Instruments Incorporated Semiconductor device and fabrication method thereof
KR100758641B1 (en) 2006-04-28 2007-09-13 재단법인서울대학교산학협력재단 A method for fabricating a micro structure on silicon substrate with a cmos circuit, and a mems device comprising the micro structure fabricated by the same method
US20080006889A1 (en) * 2006-06-05 2008-01-10 Akustica, Inc. Monolithic MEMS and integrated circuit device having a barrier and method of fabricating the same
US20080048178A1 (en) * 2006-08-24 2008-02-28 Bruce Gardiner Aitken Tin phosphate barrier film, method, and apparatus
US20080093685A1 (en) * 2006-10-24 2008-04-24 Seiko Epson Corporation Mems device
US20080149021A1 (en) * 2005-01-21 2008-06-26 Samsung Electronics Co., Ltd Methods of Forming Dual Damascene Structures
US20080206589A1 (en) * 2007-02-28 2008-08-28 Bruce Gardiner Aitken Low tempertature sintering using Sn2+ containing inorganic materials to hermetically seal a device
US20090215214A1 (en) * 2008-02-22 2009-08-27 Mickael Renault Method of Sealing a Cavity
US20090275163A1 (en) * 2008-04-30 2009-11-05 Joseph Damian Gordon Lacey System and Method of Encapsulation
US20100090302A1 (en) * 2006-10-09 2010-04-15 Nxp, B.V. Resonator
US7722929B2 (en) 2005-08-18 2010-05-25 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US7829147B2 (en) 2005-08-18 2010-11-09 Corning Incorporated Hermetically sealing a device without a heat treating step and the resulting hermetically sealed device
EP2019080A3 (en) * 2007-07-27 2011-03-09 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US20110274300A1 (en) * 2009-01-19 2011-11-10 Rongguo Yao Spacer for a capacitive microphone and capacitive microphone with the same
CN102595292A (en) * 2012-03-19 2012-07-18 美特科技(苏州)有限公司 Electret capacitor microphone
US9996725B2 (en) * 2016-11-03 2018-06-12 Optiz, Inc. Under screen sensor assembly
CN111527043A (en) * 2017-10-23 2020-08-11 弗劳恩霍夫应用研究促进协会 Microelectromechanical component and method for producing the same

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
TW570896B (en) 2003-05-26 2004-01-11 Prime View Int Co Ltd A method for fabricating an interference display cell
JP2005183407A (en) * 2003-11-26 2005-07-07 Seiko Instruments Inc Semiconductor device and its manufacturing method
US7316844B2 (en) * 2004-01-16 2008-01-08 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
KR101354520B1 (en) 2004-07-29 2014-01-21 퀄컴 엠이엠에스 테크놀로지스, 인크. System and method for micro-electromechanical operating of an interferometric modulator
TWI237348B (en) * 2004-08-26 2005-08-01 Mosel Vitelic Inc Method of manufacturing trench metal oxide semiconductor field effect transistor
US7373026B2 (en) 2004-09-27 2008-05-13 Idc, Llc MEMS device fabricated on a pre-patterned substrate
US7369296B2 (en) 2004-09-27 2008-05-06 Idc, Llc Device and method for modifying actuation voltage thresholds of a deformable membrane in an interferometric modulator
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
US7684104B2 (en) 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
ES2299298B1 (en) * 2005-07-21 2009-04-01 Universitat Autonoma De Barcelona PROCEDURE FOR MONOLITIC INTEGRATION OF HIGH-MECHANICAL MATERIALS WITH INTEGRATED CIRCUITS FOR MEMS / NEMS APPLICATIONS.
CN101228091A (en) 2005-07-22 2008-07-23 高通股份有限公司 Support structure for MEMS device and methods thereof
EP2495212A3 (en) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US7695890B2 (en) * 2005-09-09 2010-04-13 Brewer Science Inc. Negative photoresist for silicon KOH etch without silicon nitride
KR100650876B1 (en) * 2005-12-26 2006-11-28 동부일렉트로닉스 주식회사 Digital micromirror device and method of fabricating the same
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7382515B2 (en) 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US7652814B2 (en) 2006-01-27 2010-01-26 Qualcomm Mems Technologies, Inc. MEMS device with integrated optical element
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7643203B2 (en) 2006-04-10 2010-01-05 Qualcomm Mems Technologies, Inc. Interferometric optical display system with broadband characteristics
US7711239B2 (en) 2006-04-19 2010-05-04 Qualcomm Mems Technologies, Inc. Microelectromechanical device and method utilizing nanoparticles
US7369292B2 (en) 2006-05-03 2008-05-06 Qualcomm Mems Technologies, Inc. Electrode and interconnect materials for MEMS devices
US7763546B2 (en) 2006-08-02 2010-07-27 Qualcomm Mems Technologies, Inc. Methods for reducing surface charges during the manufacture of microelectromechanical systems devices
US20100062224A1 (en) * 2006-10-31 2010-03-11 Interuniversitair Microelektronica Centrum Method for manufacturing a micromachined device
US7875484B2 (en) * 2006-11-20 2011-01-25 Alces Technology, Inc. Monolithic IC and MEMS microfabrication process
DE102006061386B3 (en) * 2006-12-23 2008-06-19 Atmel Germany Gmbh Integrated assembly, its use and method of manufacture
WO2008103672A2 (en) * 2007-02-20 2008-08-28 Case Western Reserve University Microfabricated microphone
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7754617B2 (en) 2007-04-05 2010-07-13 Analog Devices, Inc. Polysilicon deposition and anneal process enabling thick polysilicon films for MEMS applications
US7709178B2 (en) * 2007-04-17 2010-05-04 Brewer Science Inc. Alkaline-resistant negative photoresist for silicon wet-etch without silicon nitride
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
JP2008311457A (en) * 2007-06-15 2008-12-25 Renesas Technology Corp Manufacturing method of semiconductor device
US8192642B2 (en) * 2007-09-13 2012-06-05 Brewer Science Inc. Spin-on protective coatings for wet-etch processing of microelectronic substrates
WO2009079780A1 (en) * 2007-12-21 2009-07-02 The Royal Institution For The Advancement Of Learning/Mcgill University Low temperature ceramic microelectromechanical structures
TW200947508A (en) * 2008-05-13 2009-11-16 Univ Nat Chiao Tung Integration structure of semiconductor circuit and microprobe sensing elements and method for fabricating the same
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
US8426233B1 (en) 2009-01-09 2013-04-23 Integrated Device Technology, Inc. Methods of packaging microelectromechanical resonators
WO2010088761A1 (en) * 2009-02-06 2010-08-12 Maziyar Khorasani Method and apparatus for manipulating and detecting analytes
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
US7989248B2 (en) * 2009-07-02 2011-08-02 Advanced Microfab, LLC Method of forming monolithic CMOS-MEMS hybrid integrated, packaged structures
JP5278492B2 (en) * 2010-06-16 2013-09-04 株式会社デンソー Manufacturing method of semiconductor device
US8685828B2 (en) 2011-01-14 2014-04-01 Infineon Technologies Ag Method of forming a capacitor
US8318575B2 (en) 2011-02-07 2012-11-27 Infineon Technologies Ag Compressive polycrystalline silicon film and method of manufacture thereof
DE102011004782A1 (en) * 2011-02-25 2012-08-30 Harting Kgaa Removable micro and nano components for space-saving use
US8659816B2 (en) 2011-04-25 2014-02-25 Qualcomm Mems Technologies, Inc. Mechanical layer and methods of making the same
WO2015013828A1 (en) 2013-08-02 2015-02-05 Motion Engine Inc. Mems motion sensor and method of manufacturing
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US20170030788A1 (en) 2014-04-10 2017-02-02 Motion Engine Inc. Mems pressure sensor
WO2015184531A1 (en) 2014-06-02 2015-12-10 Motion Engine Inc. Multi-mass mems motion sensor
DE102014213390A1 (en) * 2014-07-09 2016-01-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Device and method for producing a device with microstructures or nanostructures
WO2016090467A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
DE102015223013A1 (en) 2015-11-23 2017-05-24 Sms Group Gmbh Flow control valve
US11040870B2 (en) 2019-07-25 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems device having a mechanically robust anti-stiction/outgassing structure

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5090254A (en) * 1990-04-11 1992-02-25 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers
US5326726A (en) * 1990-08-17 1994-07-05 Analog Devices, Inc. Method for fabricating monolithic chip containing integrated circuitry and suspended microstructure
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5902650A (en) * 1995-07-11 1999-05-11 Applied Komatsu Technology, Inc. Method of depositing amorphous silicon based films having controlled conductivity
US6063703A (en) * 1993-03-23 2000-05-16 Kawasaki Steel Corporation Method for making metal interconnection
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6127266A (en) * 1996-11-26 2000-10-03 Mitel Corporation Stabilization of the interface between tiN and A1 alloys
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6440766B1 (en) * 2000-02-16 2002-08-27 Analog Devices Imi, Inc. Microfabrication using germanium-based release masks
US6479166B1 (en) * 1998-10-06 2002-11-12 Case Western Reserve University Large area polysilicon films with predetermined stress characteristics and method for producing same
US6635528B2 (en) * 1999-12-22 2003-10-21 Texas Instruments Incorporated Method of planarizing a conductive plug situated under a ferroelectric capacitor

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19829609B4 (en) * 1998-07-02 2008-04-30 Robert Bosch Gmbh Method for producing a microsystem

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5090254A (en) * 1990-04-11 1992-02-25 Wisconsin Alumni Research Foundation Polysilicon resonating beam transducers
US5326726A (en) * 1990-08-17 1994-07-05 Analog Devices, Inc. Method for fabricating monolithic chip containing integrated circuitry and suspended microstructure
US5342652A (en) * 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US6063703A (en) * 1993-03-23 2000-05-16 Kawasaki Steel Corporation Method for making metal interconnection
US5902650A (en) * 1995-07-11 1999-05-11 Applied Komatsu Technology, Inc. Method of depositing amorphous silicon based films having controlled conductivity
US6127266A (en) * 1996-11-26 2000-10-03 Mitel Corporation Stabilization of the interface between tiN and A1 alloys
US6081021A (en) * 1998-01-15 2000-06-27 International Business Machines Corporation Conductor-insulator-conductor structure
US6479166B1 (en) * 1998-10-06 2002-11-12 Case Western Reserve University Large area polysilicon films with predetermined stress characteristics and method for producing same
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6635528B2 (en) * 1999-12-22 2003-10-21 Texas Instruments Incorporated Method of planarizing a conductive plug situated under a ferroelectric capacitor
US6440766B1 (en) * 2000-02-16 2002-08-27 Analog Devices Imi, Inc. Microfabrication using germanium-based release masks

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050095790A1 (en) * 2002-02-22 2005-05-05 Xerox Corporation Systems and methods for integration of heterogeneous circuit devices
US7341930B2 (en) * 2002-02-22 2008-03-11 Xerox Corporation Systems and methods for integration of heterogeneous circuit devices
US20070004096A1 (en) * 2003-12-24 2007-01-04 Heuvelman Willem M Method for containing a device and a corresponding device
USRE44246E1 (en) 2003-12-24 2013-05-28 Cavendish Kinetics Limited Method for containing a device and a corresponding device
US7615395B2 (en) * 2003-12-24 2009-11-10 Cavendish Kinetics Limited Method for containing a device and a corresponding device
US20060113618A1 (en) * 2004-11-26 2006-06-01 Reboa Paul F Microelectronic device with anti-stiction coating
US20080063790A1 (en) * 2004-11-26 2008-03-13 Reboa Paul F Microelectronic Device with Anti-Stiction Coating
US7758912B2 (en) 2004-11-26 2010-07-20 Hewlett-Packard Development Company, L.P. Anti-stiction coating
US7309902B2 (en) * 2004-11-26 2007-12-18 Hewlett-Packard Development Company, L.P. Microelectronic device with anti-stiction coating
US8372198B2 (en) * 2005-01-21 2013-02-12 Samsung Electronics Co., Ltd. Methods of forming dual damascene structures
US20080149021A1 (en) * 2005-01-21 2008-06-26 Samsung Electronics Co., Ltd Methods of Forming Dual Damascene Structures
US20080152866A1 (en) * 2005-01-21 2008-06-26 Samsung Electronics Co, Ltd. Dual Damascene Structure
US20060180882A1 (en) * 2005-02-16 2006-08-17 Seiko Epson Corporation MEMS device and manufacturing method of MEMS device
JP2006247815A (en) * 2005-03-14 2006-09-21 Olympus Corp Mems system and its manufacturing method
US7722929B2 (en) 2005-08-18 2010-05-25 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US7829147B2 (en) 2005-08-18 2010-11-09 Corning Incorporated Hermetically sealing a device without a heat treating step and the resulting hermetically sealed device
US9050622B2 (en) 2005-08-18 2015-06-09 Corning Incorporated Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US20070040501A1 (en) * 2005-08-18 2007-02-22 Aitken Bruce G Method for inhibiting oxygen and moisture degradation of a device and the resulting device
US8435604B2 (en) 2005-08-18 2013-05-07 Corning Incorporated Sealing technique for decreasing the time it takes to hermetically seal a device and the resulting hermetically sealed device
US8304990B2 (en) 2005-08-18 2012-11-06 Corning Incorporated Hermetically sealing a device without a heat treating step and the resulting hermetically sealed device
US7678601B2 (en) * 2006-01-20 2010-03-16 Texas Instruments Incorporated Method of forming an acceleration sensor
US20070172975A1 (en) * 2006-01-20 2007-07-26 Texas Instruments Incorporated Semiconductor device and fabrication method thereof
KR100758641B1 (en) 2006-04-28 2007-09-13 재단법인서울대학교산학협력재단 A method for fabricating a micro structure on silicon substrate with a cmos circuit, and a mems device comprising the micro structure fabricated by the same method
US20080006889A1 (en) * 2006-06-05 2008-01-10 Akustica, Inc. Monolithic MEMS and integrated circuit device having a barrier and method of fabricating the same
US7863714B2 (en) * 2006-06-05 2011-01-04 Akustica, Inc. Monolithic MEMS and integrated circuit device having a barrier and method of fabricating the same
US20090324830A1 (en) * 2006-08-24 2009-12-31 Bruce Gardiner Aitken Tin phosphate barrier film, method, and apparatus
US20080048178A1 (en) * 2006-08-24 2008-02-28 Bruce Gardiner Aitken Tin phosphate barrier film, method, and apparatus
US7749811B2 (en) 2006-08-24 2010-07-06 Corning Incorporated Tin phosphate barrier film, method, and apparatus
US20100090302A1 (en) * 2006-10-09 2010-04-15 Nxp, B.V. Resonator
US20080093685A1 (en) * 2006-10-24 2008-04-24 Seiko Epson Corporation Mems device
US8395227B2 (en) 2006-10-24 2013-03-12 Seiko Epson Corporation MEMS device having a movable electrode
US8115266B2 (en) 2006-10-24 2012-02-14 Seiko Epson Corporation MEMS device having a movable electrode
US20110095383A1 (en) * 2006-10-24 2011-04-28 Seiko Epson Corporation Mems device having a movable electrode
US7884431B2 (en) 2006-10-24 2011-02-08 Seiko Epson Corporation MEMS device having a movable electrode
US7989905B2 (en) 2006-10-24 2011-08-02 Seiko Epson Corporation MEMS device having a movable electrode
US7696587B2 (en) * 2006-10-24 2010-04-13 Seiko Epson Corporation MEMS device having a movable electrode
US20100148284A1 (en) * 2006-10-24 2010-06-17 Seiko Epson Corporation Mems device having a movable electrode
US20080206589A1 (en) * 2007-02-28 2008-08-28 Bruce Gardiner Aitken Low tempertature sintering using Sn2+ containing inorganic materials to hermetically seal a device
EP2019080A3 (en) * 2007-07-27 2011-03-09 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US8395249B2 (en) 2008-02-22 2013-03-12 Cavendish Kinetics, Ltd. Sealed cavity
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US20090215214A1 (en) * 2008-02-22 2009-08-27 Mickael Renault Method of Sealing a Cavity
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US20090275163A1 (en) * 2008-04-30 2009-11-05 Joseph Damian Gordon Lacey System and Method of Encapsulation
US20110274300A1 (en) * 2009-01-19 2011-11-10 Rongguo Yao Spacer for a capacitive microphone and capacitive microphone with the same
US8654996B2 (en) * 2009-01-19 2014-02-18 Goertek Inc. Spacer for a capacitive microphone and capacitive microphone with the same
CN102595292A (en) * 2012-03-19 2012-07-18 美特科技(苏州)有限公司 Electret capacitor microphone
US9996725B2 (en) * 2016-11-03 2018-06-12 Optiz, Inc. Under screen sensor assembly
CN111527043A (en) * 2017-10-23 2020-08-11 弗劳恩霍夫应用研究促进协会 Microelectromechanical component and method for producing the same

Also Published As

Publication number Publication date
US7160752B2 (en) 2007-01-09
US20060166403A1 (en) 2006-07-27
DE602004010729T2 (en) 2008-12-04
EP1452481A2 (en) 2004-09-01
EP1452481A3 (en) 2005-10-12
EP1452481B1 (en) 2007-12-19
DE602004010729D1 (en) 2008-01-31

Similar Documents

Publication Publication Date Title
US7160752B2 (en) Fabrication of advanced silicon-based MEMS devices
US7459329B2 (en) Method of fabricating silicon-based MEMS devices
US8367451B2 (en) Method and structures for fabricating MEMS devices on compliant layers
Witvrouw et al. The use of functionally graded poly-SiGe layers for MEMS applications
EP1396470A2 (en) Fabrication of microstructures with vacuum-sealed cavity
Bustillo et al. Process technology for the modular integration of CMOS and polysilicon microstructures
French Development of surface micromachining techniques compatible with on-chip electronics
US7671515B2 (en) Microelectromechanical devices and fabrication methods
EP3498662A1 (en) Microelectromechanical systems, and methods for encapsualting and fabricating same
EP1211219B1 (en) Process for manufacturing micromechanical and microoptomechanical structures with backside metalization
US6479315B1 (en) Process for manufacturing micromechanical and microoptomechanical structures with single crystal silicon exposure step
US9309109B2 (en) MEMS-CMOS integrated devices, and methods of integration at wafer level
US8071411B2 (en) Low temperature ceramic microelectromechanical structures
Witvrouw et al. Processing of MEMS gyroscopes on top of CMOS ICs
Smith et al. Material and processing issues for the monolithic integration of microelectronics with surface-micromachined polysilicon sensors and actuators
Franke et al. Integrated MEMS technologies
EP2019080B1 (en) Method of controlling film stress in MEMS devices
US6479311B1 (en) Process for manufacturing micromechanical and microoptomechanical structures with pre-applied patterning
Zhu et al. Post-CMOS process for high-aspect-ratio monolithically integrated single crystal silicon microstructures
Witvrouw The road to flexible MEMS integration
US9458010B1 (en) Systems and methods for anchoring components in MEMS semiconductor devices
McCarson et al. Development of polysilicon films for MEMS integration with submicrometer CMOS process
Bustillo et al. Process technology for the modular integration of CM0S and
Davidson Microfabricated Systems and MEMS VII: Proceedings of the International Symposium

Legal Events

Date Code Title Description
AS Assignment

Owner name: DALSA SEMICONDUCTOR INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OUELLET, LUC;ANTAKI, ROBERT;REEL/FRAME:014454/0589;SIGNING DATES FROM 20030807 TO 20030811

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION