US20040183064A1 - Single electron devices - Google Patents

Single electron devices Download PDF

Info

Publication number
US20040183064A1
US20040183064A1 US10/814,292 US81429204A US2004183064A1 US 20040183064 A1 US20040183064 A1 US 20040183064A1 US 81429204 A US81429204 A US 81429204A US 2004183064 A1 US2004183064 A1 US 2004183064A1
Authority
US
United States
Prior art keywords
particle
forming
single electron
particles
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/814,292
Inventor
Lars Ivar Samuelson
Knut Wilfried Deppert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BTG International Ltd
Original Assignee
BTG International Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BTG International Ltd filed Critical BTG International Ltd
Priority to US10/814,292 priority Critical patent/US20040183064A1/en
Publication of US20040183064A1 publication Critical patent/US20040183064A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/60Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape characterised by shape
    • C30B29/605Products containing multiple oriented crystallites, e.g. columnar crystallites
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/7613Single electron transistors; Coulomb blockade devices

Definitions

  • This invention relates to electronic components and, in particular, to so-called single-electron devices and to methods of manufacture thereof.
  • tunnel junction which consists of two electrodes of a conducting material, separated by a thin layer of an insulating material having a thickness of about one nanometre.
  • insulating material having a thickness of about one nanometre.
  • electrons have a small probability of tunnelling through such an insulating layer. If a voltage is applied across the junction, electrons will tunnel preferentially in one particular direction through the insulator. Hence, they will carry an electric current through the junction. The magnitude of the current depends on both the thickness of the insulating layer and the material properties of the conducting electrodes.
  • tunnel junctions must be of a very small area and cooled to ensure that the thermal energy does not influence tunnelling.
  • the device must be cooled to temperatures of about a tenth of a degree above absolute zero if the junction is 100 nanometres in length and width.
  • European Patent Application EP 0 750 353 discloses a single electron tunnel device of this invention which includes a multiple tunnel junction layer including multiple tunnel junctions; and first and second electrodes for applying a voltage to the multiple tunnel junction layer, wherein the multiple tunnel junction layer includes an electrically insulating thin film and metal particles and/or semiconductor particles dispersed in the electrically insulating thin film.
  • the electrically insulating thin film may be made of an oxide and the particles may be of at least one type of metal selected from the group consisting of gold (Au), silver (Ag), copper (Cu), platinum (Pt), and palladium (Pd).of the particles. Their diameter may be 50 nm or less.
  • a single electron tunnelling device comprising a particle of a material having a first conductivity characteristic having a surface layer of a material of a second conductivity characteristic, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough together with first and second electrodes positioned adjacent to said particle to facilitate the flow of current therebetween.
  • Said first and second electrodes may be superconducting.
  • a plurality of such particles is positioned between said first and second electrodes.
  • a method of fabricating single electron devices comprising the steps of forming a plurality of particles forming a layer of a thickness sufficiently small to support quantum mechanical tunnelling on the surface of said particles and positioning at least one of said particles between a pair of electrodes to form a single electron device.
  • FIG. 1 is a flow chart illustrating the method according to one aspect of the present invention
  • FIG. 2 is shows in schematic form apparatus for producing nanocrystals suitable for use for the fabrication of single-electron devices
  • FIG. 3 shows the structure of various nanoparticles
  • FIG. 4 is a micrograph of a nanocrystal produced by the process of aerotaxy
  • FIG. 5 is a schematic diagram of a prior art tunnel junction
  • FIG. 6 is a schematic diagram showing a barrier between a nanoparticle and a conducting substrate
  • FIG. 7 is a diagram illustrating the principle of movement of a nanoparticle by means of an atomic force microscope.
  • FIGS. 8 a and 8 b are schematic diagrams illustrating the principles of device construction in accordance with the invention
  • FIG. 1 of the drawings illustrates a process for controlled formation of simple and multi-layered metallic and semiconducting nanocrystals or nanoparticles suitable for single electron device fabrication.
  • Ultra-fine particles of a Group III element are formed as an aerosol. These are then filtered to select those of a predetermined size.
  • a Group V precursor is then added and the mixture processed to form nanocrystals of a III-V semiconductor.
  • FIG. 2 shows an aerosol production unit in accordance with a specific embodiment of the invention.
  • This comprises a furnace F 1 which generates metallic particles by sublimation. These particles are then carried in a transport gas stream through a charger to a particle size filter DMA 1 and thence to a second furnace F 2 where the gas stream is mixed with the hydride of a Group V element and heated to form nanoparticles of a II-V semiconductor.
  • the nanocrystals are then filtered to select those of a predetermined size which are then deposited on to a substrate, which, preferably is a semiconducting wafer. in a deposition chamber DC.
  • An electrometer E 1 and pump Pu are connectable to the flow line to create and measure the pressure therein.
  • a semiconducting core nanocrystal is coated by a surface layer of a material with different properties, e.g. with a larger fundamental band-gap, fabricating nanocrystals, the composition and size of which is tightly controlled.
  • the approach is unusual in that we have managed to form, in an aerosol phase, metallic nanoparticles (or droplets) having a narrow dimensional spread.
  • the particles of elements from the third column in the periodic table are later allowed to react with a vapour containing selected atoms or molecules from the fifth column in the periodic table, resulting in the production of nanocrystals of III-V semiconductors of uniform size.
  • This control requires a completely saturated conversion of the primary metallic nanoparticle into the corresponding III-V nanocrystal.
  • Gallium arsenide nanocrystals of approximate diameter 10 nm, have been produced and deposited on various substrates.
  • the fabrication route allows the production of nanocrystals with a very narrow size distribution. It utilises the formation of ultrafine gallium particles and their self-limiting reaction with arsine at elevated temperatures.
  • the kinetics of the reaction of gallium to produce gallium arsenide depends on the temperature and the arsine flow. The temperature at which the reaction began was found to be as low as 200° C. This permitted the production of nanocrystals of compound semiconductors of predeternined size in a simple, reliable, and efficient way.
  • An important feature of a further embodiment of this invention is a new technique for controllable formation of a surface layer of a different semiconducting or insulating material on these original nanocrystals. They may have a homogeneous core and a surface layer of a second composition with an appropriate electronic structure for the single-electron device operation.
  • the semiconducting or metallic nanocrystal is exposed to a reacting gas environment while being maintained in the aerosol phase.
  • a mono-disperse aerosol of silicon nanocrystals is allowed to react with oxygen under closely controlled conditions, leading to a controlled thickness of the silicon particle being converted to silica.
  • SiO 2 is an insulator with ideal and well characterised interfaces with silicon.
  • mono-disperse nanocrystals of compound semiconductors such as indium arsenide
  • phosphorus-containing gaseous molecules an interaction which results in exchange processes by which arsenic atoms in a finite depth surface layer are replaced by phosphorus atoms, hence transforming the surface to a surface layer of In(As)P.
  • pre-fabricated nanoparticles of indium react with oxygen to form a skin of InO.
  • the simplest single-electronic building block is formed by producing a homogenous particle, exemplified by a spherical monodisperse particle shown in FIG. 3.
  • the second embodiment involves direct epitaxial deposition of a different material on the surface of a primary core, often called hetero-epitaxy.
  • a different material on the surface of a primary core, often called hetero-epitaxy.
  • the art of hetero-epitaxy on flat surfaces is at a very advanced stage but the use of nanoparticles as “substrates” for aerosol-phase epitaxial crystal growth is very novel.
  • nanoparticles in single-electronics however, this is of great importance. Examples are the coating of a small band-gap semiconductor with a thin epitaxial layer of a larger band-gap material, such as indium phosphide on the surface of indium arsenide or silicon on the surface of a core of germanium.
  • FIG. 4 is a TEM image of an 8 nm indium phosphide particle produced by the process of aerotaxy.
  • the diameter for room temperature operation should be in the range 2-4 nm, corresponding to charging energy of a few hundred meV, to be compared with kT ( ⁇ 26 meV at room temperature).
  • the distances between conducting leads and conducting particle, and the distance between connected particles should support tunnelling, that is it should be in the range 1-3 nm.
  • FIG. 5 illustrates a conventional thin film tunnel junction device.
  • the surface of a deposited film 11 is oxidise to form a thin tunnel barrier 13 and a further conductor 15 is deposited thereon.
  • An analogous device based on a small metallic particle is illustrated in FIG. 6.
  • a thin oxide layer 17 is formed on a conductive substrate 19 .
  • small metallic particle 21 is positioned thereon.
  • Contact is made by means of the tip of a scanning tunnelling microscope 23 .
  • FIG. 7 This principle is extended in the device illustrated in FIG. 7 in which a small metallic particle 25 is positioned between a source electrode 27 and a drain electrode 29 by means of an atomic force microscope.
  • a key feature of one aspect of the present invention is the prefabrication of particles in such a way that they provide the conducting core as well as tightly controlled tunnel-gap, building a network of identical capacitances and tunnelling rates permits randomness in lateral location within an ensemble of nano-particles.
  • FIGS. 8 a and 8 b show a two-dimensional arrangement of nano-particles P between two electrodes E 1 , E 2 with non-identical (FIG.8 a ) vs identical cores (FIG. 8 b ) as well as with random vs well-controlled tunnel barriers 31 .
  • the tunnel barrier in most cases is exactly twice the shell thickness, in two-dimensional as well as three-dimensional randomly arranged arrays.
  • the key feature is that, due to nature of the single-electron tunnelling characteristic, for a macroscopic device the number of nanoparticles (in either two or tlree dimensions) between the electrodes is not critical.

Abstract

A single electron tunnelling device is formed by positioning between first and second electrodes a particle formed of a material having a first conductivity characteristic having a surface layer of a material of a second conductivity characteristic, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough.

Description

  • This invention relates to electronic components and, in particular, to so-called single-electron devices and to methods of manufacture thereof. [0001]
  • The field of single electron devices emerged from investigations of the tunnel junction, which consists of two electrodes of a conducting material, separated by a thin layer of an insulating material having a thickness of about one nanometre. According to the laws of quantum mechanics, electrons have a small probability of tunnelling through such an insulating layer. If a voltage is applied across the junction, electrons will tunnel preferentially in one particular direction through the insulator. Hence, they will carry an electric current through the junction. The magnitude of the current depends on both the thickness of the insulating layer and the material properties of the conducting electrodes. [0002]
  • In early 1985, Averin and Likharev attempted to predict the behaviour of very small tunnel junctions with superconducting electrodes but the equations were too complex to be easily solved. However, for a small tunnel junction with electrodes of normal conductors, if a constant electric current is passed through a junction, it will induce a voltage that oscillates periodically in time. These periodic oscillations have a frequency equal to the current divided by the charge of an electron. This frequency is totally independent of any other parameters of the system. An alternative view is that each oscillation represents the response of the device as a single electron tunnels through the insulating layer. The phenomenon is known as single-electron tunnelling (SET) oscillations. [0003]
  • To understand this effect, one must appreciate how electric charge moves through a normal conductor such as an aluminium wire. An electric current can flow through the conductor because some electrons are free to move through the lattice of atomic nuclei. Despite the motion of the electrons, any given volume of the conductor has virtually no net charge because the negative charge of the moving electrons is always balanced by the positive charge of the atomic nuclei in each small region of the conductor. Hence, the important quantity is not the charge in any given volume but rather how much charge has been carried through the wire. This quantity is designated as the “transferred” charge. This charge may take practically any value, even a fraction of the charge of a single electron. The reason for this is that charge is proportional to the sum of shifts of all the electrons with respect to the lattice of atoms. Because the electrons in a conductor can be shifted as little or as much as desired, this sum can be changed continuously, and therefore so can the transferred charge. [0004]
  • If a normal conductor is interrupted by a tunnel junction, electric charge will move through the system by both a continuous and a discrete process. As the transferred charge flows continuously through the conductor, it will accumulate on the surface of the electrode against the insulating layer of the junction (the adjacent electrode will have equal but opposite surface charge). This surface charge Q may be represented as a slight continuous shift of the electrons near the surface from their equilibrium positions. On the other hand, quantum mechanics shows that the tunnelling can only change Q in a discrete way: when an electron tunnels through the insulating layer, the surface charge Q will change exactly by either +e or −e, depending on the direction of tunnelling. The interplay between continuous charge flow in conductors and discrete transfer of charge through tunnel junctions leads to several interesting effects. These phenomena can be observed when the tunnel junctions are very small and the ambient temperatures are very low. (Low temperatures reduce thermal fluctuations that disturb the motion of electrons.) In this case, if the charge Q at the junction is greater than +e/2, an electron can tunnel through the junction in a particular direction, subtracting e from Q. The electron does so because this process reduces the electrostatic energy of the system. (The energy increases in proportion to the square of the charge and does not depend on the sign of the charge.) Likewise, if Q is less than −e/2, an electron can tunnel through the junction in the opposite direction, adding e to Q, and thus again decrease the energy. But if Q is less than +e/2 and greater than −e/2, tunnelling in any direction would increase the energy of the system. Thus, if the initial charge is within this range, tunnelling will not occur. This suppression of tunnelling is known as the Coulomb blockade. [0005]
  • If the surface charge Q is zero initially, then the system is within the Coulomb blockade limits, and tunnelling is suppressed. Therefore, the current flowing from the source through wires will start to change the charge Q continuously. For convenience, assume that the deposited charge rate is positive rather than negative. If the charge reaches and slightly exceeds +e/ 2, tunnelling becomes possible. One electron will then cross the junction, making its charge slightly greater than −e/2. Hence, the system is within the Coulomb blockade range again, and tunnelling ceases to be possible. The current continues to add positive charge to the junction at a constant rate, and Q grows until it exceeds +e/2 again. The repetition of this process produces the single-electron tunnelling (SET) oscillations: the voltage changes periodically with a frequency equal to the current divided by the fundamental unit of charge, e: [0006]
  • To produce SET oscillations, tunnel junctions must be of a very small area and cooled to ensure that the thermal energy does not influence tunnelling. Typically, the device must be cooled to temperatures of about a tenth of a degree above absolute zero if the junction is 100 nanometres in length and width. [0007]
  • European Patent Application EP 0 750 353 discloses a single electron tunnel device of this invention which includes a multiple tunnel junction layer including multiple tunnel junctions; and first and second electrodes for applying a voltage to the multiple tunnel junction layer, wherein the multiple tunnel junction layer includes an electrically insulating thin film and metal particles and/or semiconductor particles dispersed in the electrically insulating thin film. [0008]
  • The electrically insulating thin film may be made of an oxide and the particles may be of at least one type of metal selected from the group consisting of gold (Au), silver (Ag), copper (Cu), platinum (Pt), and palladium (Pd).of the particles. Their diameter may be 50 nm or less. [0009]
  • Fabrication of suitable structures to support single electron tunnelling has proved difficult. In particular, it has proved difficult to form films having the size and disposition which are suitable for tunnelling. However, we have now devised a method suitable for the fabrication of arrays of these devices. [0010]
  • According to one aspect of the present invention there is provided a single electron tunnelling device comprising a particle of a material having a first conductivity characteristic having a surface layer of a material of a second conductivity characteristic, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough together with first and second electrodes positioned adjacent to said particle to facilitate the flow of current therebetween. [0011]
  • Said first and second electrodes may be superconducting. [0012]
  • In a preferred embodiment of the invention a plurality of such particles is positioned between said first and second electrodes. [0013]
  • There is also provided a method of fabricating single electron devices comprising the steps of forming a plurality of particles forming a layer of a thickness sufficiently small to support quantum mechanical tunnelling on the surface of said particles and positioning at least one of said particles between a pair of electrodes to form a single electron device.[0014]
  • The invention will be particularly described by way of example with reference to the accompanying drawings, in which [0015]
  • FIG. 1 is a flow chart illustrating the method according to one aspect of the present invention; [0016]
  • FIG. 2 is shows in schematic form apparatus for producing nanocrystals suitable for use for the fabrication of single-electron devices; [0017]
  • FIG. 3 shows the structure of various nanoparticles; [0018]
  • FIG. 4 is a micrograph of a nanocrystal produced by the process of aerotaxy [0019]
  • FIG. 5 is a schematic diagram of a prior art tunnel junction [0020]
  • FIG. 6 is a schematic diagram showing a barrier between a nanoparticle and a conducting substrate; [0021]
  • FIG. 7 is a diagram illustrating the principle of movement of a nanoparticle by means of an atomic force microscope; and [0022]
  • FIGS. 8[0023] a and 8 b are schematic diagrams illustrating the principles of device construction in accordance with the invention
  • Referring now to FIG. 1 of the drawings, this illustrates a process for controlled formation of simple and multi-layered metallic and semiconducting nanocrystals or nanoparticles suitable for single electron device fabrication. Ultra-fine particles of a Group III element are formed as an aerosol. These are then filtered to select those of a predetermined size. A Group V precursor is then added and the mixture processed to form nanocrystals of a III-V semiconductor. [0024]
  • FIG. 2 shows an aerosol production unit in accordance with a specific embodiment of the invention. This comprises a furnace F[0025] 1 which generates metallic particles by sublimation. These particles are then carried in a transport gas stream through a charger to a particle size filter DMA1 and thence to a second furnace F2 where the gas stream is mixed with the hydride of a Group V element and heated to form nanoparticles of a II-V semiconductor. The nanocrystals are then filtered to select those of a predetermined size which are then deposited on to a substrate, which, preferably is a semiconducting wafer. in a deposition chamber DC. An electrometer E1 and pump Pu are connectable to the flow line to create and measure the pressure therein.
  • In one embodiment, a semiconducting core nanocrystal is coated by a surface layer of a material with different properties, e.g. with a larger fundamental band-gap, fabricating nanocrystals, the composition and size of which is tightly controlled. The approach is unusual in that we have managed to form, in an aerosol phase, metallic nanoparticles (or droplets) having a narrow dimensional spread. The particles of elements from the third column in the periodic table are later allowed to react with a vapour containing selected atoms or molecules from the fifth column in the periodic table, resulting in the production of nanocrystals of III-V semiconductors of uniform size. This control requires a completely saturated conversion of the primary metallic nanoparticle into the corresponding III-V nanocrystal. [0026]
  • Gallium arsenide nanocrystals, of approximate diameter 10 nm, have been produced and deposited on various substrates. The fabrication route allows the production of nanocrystals with a very narrow size distribution. It utilises the formation of ultrafine gallium particles and their self-limiting reaction with arsine at elevated temperatures. The kinetics of the reaction of gallium to produce gallium arsenide depends on the temperature and the arsine flow. The temperature at which the reaction began was found to be as low as 200° C. This permitted the production of nanocrystals of compound semiconductors of predeternined size in a simple, reliable, and efficient way. [0027]
  • An important feature of a further embodiment of this invention is a new technique for controllable formation of a surface layer of a different semiconducting or insulating material on these original nanocrystals. They may have a homogeneous core and a surface layer of a second composition with an appropriate electronic structure for the single-electron device operation. [0028]
  • After a size selection, the semiconducting or metallic nanocrystal is exposed to a reacting gas environment while being maintained in the aerosol phase. In one embodiment, a mono-disperse aerosol of silicon nanocrystals is allowed to react with oxygen under closely controlled conditions, leading to a controlled thickness of the silicon particle being converted to silica. SiO[0029] 2 is an insulator with ideal and well characterised interfaces with silicon. In a second embodiment, mono-disperse nanocrystals of compound semiconductors, such as indium arsenide, are allowed to interact with phosphorus-containing gaseous molecules, an interaction which results in exchange processes by which arsenic atoms in a finite depth surface layer are replaced by phosphorus atoms, hence transforming the surface to a surface layer of In(As)P. In a third embodiment, pre-fabricated nanoparticles of indium react with oxygen to form a skin of InO. In this embodiment, the simplest single-electronic building block is formed by producing a homogenous particle, exemplified by a spherical monodisperse particle shown in FIG. 3.
  • The second embodiment involves direct epitaxial deposition of a different material on the surface of a primary core, often called hetero-epitaxy. The art of hetero-epitaxy on flat surfaces is at a very advanced stage but the use of nanoparticles as “substrates” for aerosol-phase epitaxial crystal growth is very novel. For the application of nanoparticles in single-electronics, however, this is of great importance. Examples are the coating of a small band-gap semiconductor with a thin epitaxial layer of a larger band-gap material, such as indium phosphide on the surface of indium arsenide or silicon on the surface of a core of germanium. Finally there is a hetero-epitaxy based mechanism for formation of semiconductor particles surrounded by very well controlled insulating layers, which can be achieved by surrounding a nanocrystal of gallium arsenide (for example) with a few monolayers of epitaxially grown aluminium arsenide. At a late stage, this aluminium arsenide layer is allowed to react with oxygen to form a layer of aluminium oxide, most probably Al[0030] 2O3, which is an excellent insulator. Hence, the ideal hetero-expitaxial process will lend itself indirectly to the formation of a few mono-layer-thick insulating layer on semiconductor particles. (FIG. 3)
  • FIG. 4 is a TEM image of an 8 nm indium phosphide particle produced by the process of aerotaxy. [0031]
  • In the mechanism of single-electron devices, the most important fundamental property is the existence of a central conductive island which is coupled by tunnelling to source and drain electrodes and coupled capacitively to a gate electrode. The size-related capacitance of the central island should be sufficiently low that the electrostatic charging energy E=e[0032] 2/2C is much larger than kT and in an energy range suitable for device and circuit biasing. The dimensional requirements can be described as:
  • for particle size, the diameter for room temperature operation should be in the range 2-4 nm, corresponding to charging energy of a few hundred meV, to be compared with kT (˜26 meV at room temperature). [0033]
  • for tunnelling gaps, the distances between conducting leads and conducting particle, and the distance between connected particles should support tunnelling, that is it should be in the range 1-3 nm. [0034]
  • In most prior demonstrations of single-electron phenomena, low temperatures at or below liquid helium boiling temperature (4K) have been employed. The tolerance for lithographic definition of the island size is much relaxed. In these studies, tunnelling distances are often defined by an aluminium film, which is converted by controlled oxidation into an insulating thin film, placed in between the conductors. [0035]
  • Experiments performed at elevated temperatures, such as the boiling point of liquid nitrogen (77K) or at room-temperature (300K) have been performed with the use of small metallic (or semiconducting) particles but with the tunnelling distances controlled by a thin insulating film on which the particle rests and, for the second electrode spacing, by a tunnelling distance which is controlled by a scanning tunnelling microscope. [0036]
  • We have been able to fabricate planar single-electron devices which are controllably created by a “nano-robot”, an atomic force microscope (AFM), by manipulation of size-selected nanometre sized particles relative to pre-fabricated contacts. In this approach capacitances are accurately controlled by the exact particle fabrication (by aerosol technique) and tunnelling gaps are governed by the controlled positioning of the nano-particles to create the proper tunnelling current levels. [0037]
  • FIG. 5 illustrates a conventional thin film tunnel junction device. The surface of a deposited [0038] film 11 is oxidise to form a thin tunnel barrier 13 and a further conductor 15 is deposited thereon. An analogous device based on a small metallic particle is illustrated in FIG. 6. A thin oxide layer 17 is formed on a conductive substrate 19. and small metallic particle 21 is positioned thereon. Contact is made by means of the tip of a scanning tunnelling microscope 23. This principle is extended in the device illustrated in FIG. 7 in which a small metallic particle 25 is positioned between a source electrode 27 and a drain electrode 29 by means of an atomic force microscope.
  • A key feature of one aspect of the present invention is the prefabrication of particles in such a way that they provide the conducting core as well as tightly controlled tunnel-gap, building a network of identical capacitances and tunnelling rates permits randomness in lateral location within an ensemble of nano-particles. [0039]
  • The significance of the above aerosol-based fabrication of granular single-electron circuits is illustrated in FIGS. 8[0040] a and 8 b which show a two-dimensional arrangement of nano-particles P between two electrodes E1, E2 with non-identical (FIG.8a) vs identical cores (FIG. 8b) as well as with random vs well-controlled tunnel barriers 31. The tunnel barrier in most cases is exactly twice the shell thickness, in two-dimensional as well as three-dimensional randomly arranged arrays. The key feature is that, due to nature of the single-electron tunnelling characteristic, for a macroscopic device the number of nanoparticles (in either two or tlree dimensions) between the electrodes is not critical.

Claims (57)

1. A single electron tunnelling device comprising a particle together with first and second electrodes positioned adjacent to said particle to facilitate the flow of current therebetween characterised in that said particle is formed of a material having a first conductivity characteristic having a surface layer of a material of a second conductivity characteristic, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough.
2. A single electron tunnelling device according to claim 1 characterised in that said device includes a plurality of said particles to define a current path between said first and second electrodes.
3. A single electron tunnelling device according to claim 1 characterised in that said material having said first conductivity characteristic is substantially homogenous.
4. A single electron tunnelling device according to any one of claims 1 to 3 characterised in that said surface layer is semiconducting.
5. A single electron tunnelling device according to any one of claims 1 to 3 characterised in that said surface layer is insulating.
6. A single electron tunnelling device according to claim 1 characterised in that said surface layer is gallium arsenide.
7. A single electron tunnelling device according to claim 1 characterised in that said surface layer is indium oxide.
8. A single electron tunnelling device according to claim 1 characterised in that said surface layer is indium arsenide phosphide.
9. A single electron tunnelling device according to claim 1 characterised in that said surface layer is silica.
10. A method of fabricating single electron devices comprising the steps of forming a plurality of particles forming a layer of a thickness sufficiently small to support quantum mechanical tunnelling on the surface of said particles and positioning at least one of said particles between a pair of electrodes to form a single electron device.
11. A method of fabricating single electron devices according to claim 10 characterised in that a further step of selecting particles of predetermined size takes place prior to the step of forming said layer.
12. A method of fabricating single electron devices according to claim 10 or 11 characterised in that said plurality of particles is formed as an aerosol.
13. A method of fabricating single electron devices according to claim 10 or 11 characterised in that said layer is formed by the chemical modification of the surface of said particles.
14. A method of fabricating single electron devices according to claim 10 or 11 characterised in that said layer is formed by the expitaxial deposition of a material on the surface of said particles.
15. A method of fabricating single electron devices according to claim 10 characterised in that the positioning of said particle is performed by means of an atomic force microscope.
16. A method of fabricating a single electron device comprising the steps:
forming a plurality of particles;
forming on the surface of each particle a peripheral layer of a thickness sufficiently small to support quantum mechanical tunnelling therethrough;
providing a pair of electrodes and positioning at least one of said particles between said pair of electrodes to form a single electron device.
17. A method of fabricating a single electron device according to claim 16, wherein a further step of selecting particles of predetermined size takes place prior to the step of forming said peripheral layer.
18. A method of fabricating a single electron device according to claim 16, wherein said plurality of particles is formed as an aerosol.
19. A method of fabricating a single electron device according to claim 16, wherein said peripheral layer is formed by chemical modification of the surface of each of said particles.
20. A method of fabricating a single electron device according to claim 16, wherein said peripheral layer is formed by the epitaxial deposition of a material on the surface of each of said particles.
21. A method of fabricating a single electron device according to claim 16, wherein the positioning of said particle is performed by means of an atomic force microscope.
22. A method of forming a single electron tunnelling device comprising:
forming a particle of a material having a first conductivity characteristic, forming on the particle a semiconducting surface layer of a second conductivity characteristic, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough; and
positioning said particle between first and second electrodes to provide a current path between the electrodes.
23. A method of forming a single electron tunnelling device, comprising:
forming a particle of a material having a first conductivity characteristic;
forming on the surface of the particle a surface layer of gallium arsenide, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough; and
positioning said particle between first and second electrodes to provide a current path therebetween.
24. A method of forming a single electron tunnelling device, comprising:
forming a particle of a material having a first conductivity characteristic;
forming on the surface of the particle a peripheral layer of indium oxide, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling there through;
positioning said particle between first and second electrodes to provide a current path therebetween.
25. A method of forming a single electron tunnelling device, comprising:
forming a particle of a material having a first conductivity characteristic;
forming on the surface of the particle a peripheral layer of indium arsenide phosphide, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough;
positioning said particle between first and second electrodes to provide a current path therebetween.
26. A method of forming a single electron tunnelling device, comprising:
forming a particle of a material having a first conductivity characteristic;
forming on the surface of the particle a peripheral layer of silica, the thickness of said layer being sufficiently small to support quantum mechanical tunnelling therethrough;
positioning said particle between first and second electrodes to provide a current path therebetween.
27. A method forming an electronic device, comprising:
forming at least one nanoparticle having an inner core of a conductive material of predetermined size of nanometer dimensions;
forming on the inner core, an outer shell of a controlled thickness of nanometer dimensions and of a further material which is different from that of the inner core; and
providing first and second electrodes, and providing a current flow path therebetween comprising said at least one particle, the characteristics of current flow in the current flow path being determined by electron tunnelling via said outer shell and inner core.
28. A method according to claim 27, wherein said further material is insulating.
29. A method according to claim 28, wherein said further material is an oxide of one of: silicon, indium, aluminium.
30. A method according to claim 27, wherein said further material is semiconducting.
31. A method according to claim 30, wherein said semiconducting material contains one of the following:
indium, silicon.
32. A method according to claim 27, wherein said conductive material contains one of:
silicon, germanium, indium, gallium.
33. A method according to claim 27, comprising providing a multiplicity of said nanoparticles stacked adjacent one another whereby to provide said current flow path.
34. A method of forming a nanocrystal in the form of a particle that is defined by a size of nanometer dimensions, the method comprising:
forming, in an aerosol, a core particle of an electrically conductive material and having a size of predetermined nanometer dimensions; and
forming epitaxially on the core particle, by the action of gas on the aerosol, an outer shell of a further material that is different from that of the core, and having a controlled thickness of nanometer dimensions.
35. A method according to claim 34 wherein said conductive material contains one of:
silicon, germanium, indium, gallium.
36. A method according to claim 34, wherein said further material is semiconducting.
37. A method according to claim 34, wherein said conductive material contains one of the following:
indium, germanium, gallium;
and said further material is semiconducting and comprises one of the following:
indium, silicon, aluminium.
38. A method of forming a nanocrystal in the form of a particle that is defined by a size of nanometer dimensions, the method comprising:
forming in an aerosol, a core particle of an electrically conductive material and having a size of predetermined nanometer dimensions; and
exposing the gas to an aerosol, the gas reacting with the surface of the core particle to form an outer shell of a further material that is different from the material of the core particle, and that has a controlled thickness of nanometer dimensions.
39. A method according to claim 38, wherein the gas reacts with the surface of the core particle to form an oxide of the core material.
40. A method according to claim 39, wherein the core particle contains one of: silicon, indium.
41. A method according to claim 38, wherein the gas reacts with the surface of the core particle by an exchange process, wherein atoms in the surface of the core particle are exchanged for atoms in the gas.
42. A method.according to claim 41, wherein the core material is a compound semiconductor, and the gas comprises molecules containing phosphorus.
43. A method according to claim 42, wherein the core material comprises indium arsenide, and arsenic atoms are replaced by phosphorus atoms.
44. A method of forming a nanocrystal in the form of a particle that is defined by a size of nanometer dimensions, the method comprising:
forming from an aerosol, a core particle of an electrically conductive material and having a size of predetermined nanometer dimensions; and
forming epitaxially on the core, by the action of gas on the aerosol, an outer shell of a further material that is different from that of the core, and having a controlled thickness of nanometer dimensions; and
reacting the outer shell to form an oxide of the further material.
45. A method according to claim 44, wherein the conductive material is gallium arsenide, the further material is aluminium arsenide, and said oxide is aluminium oxide.
46. A method of forming nanocrystals comprising:
a) forming an aerosol of particles of a predetermined conductive material and diameters of nanometer dimensions;
b) filtering said aerosol of particles to provide particles with a narrow predetermined spread of diameters; and
c) processing the filtered aerosol with a vapour of a material in order to form a shell of a further material on each aerosol particle and of a controlled thickness, said further material being different from said predetermined conductive material.
47. A method according to claim 46, wherein said processing in step c) comprises forming by an epitaxial process said shell.
48. A method according to claim 47, wherein said conductive material contains one of:
indium, germanium, gallium;
and said further material includes one of:
indium,silicon, aluminium.
49. A method according to claim 46, including the further step of reacting the further material of the outer shell to form an oxide.
50. A method according to claim 49, wherein said inner core comprises gallium arsenide, said further material comprises aluminium arsenide, and said oxide comprises aluminium oxide.
51. A method according to claim 46, wherein in said step c) said vapour reacts with the surface of the particle in order to form said shell by modification of the surface of the particle.
52. A method according to claim 51, wherein the modification is an exchange process.
53. A method according to claim 51, wherein the particle material is a compound semiconductor, and the vapour comprises molecules containing phosphorus.
54. A method according to claim 53, wherein the particle material comprises indium arsenide, and arsenic atoms are replaced by phosphorus atoms so that the material of the shell is indium arsenide phosphide.
55. A method according to claim 51, wherein the modification is formation of an oxide.
56. A method according to claim 55, wherein the material of the particle is one of: silicon, indium.
57. A method according to claim 46, wherein said step a) comprises:
forming an aerosol of group III metallic particles, and filtering the aerosol to provide particles with a narrow predetermined dimensional spread; and
reacting the aerosol with a group V precursor gas, in order to provide an aerosol of particles consiting og III-V semiconductor material.
US10/814,292 1997-11-21 2004-04-01 Single electron devices Abandoned US20040183064A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/814,292 US20040183064A1 (en) 1997-11-21 2004-04-01 Single electron devices

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
GBGB9724642.5A GB9724642D0 (en) 1997-11-21 1997-11-21 Single electron devices
GB9724642.5 1997-11-21
PCT/GB1998/003429 WO1999027584A1 (en) 1997-11-21 1998-11-13 Single electron devices
US09/563,200 US6744065B1 (en) 1997-11-21 2000-05-02 Single electron devices
US10/814,292 US20040183064A1 (en) 1997-11-21 2004-04-01 Single electron devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/563,200 Division US6744065B1 (en) 1997-11-21 2000-05-02 Single electron devices

Publications (1)

Publication Number Publication Date
US20040183064A1 true US20040183064A1 (en) 2004-09-23

Family

ID=10822439

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/563,200 Expired - Fee Related US6744065B1 (en) 1997-11-21 2000-05-02 Single electron devices
US10/814,292 Abandoned US20040183064A1 (en) 1997-11-21 2004-04-01 Single electron devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/563,200 Expired - Fee Related US6744065B1 (en) 1997-11-21 2000-05-02 Single electron devices

Country Status (7)

Country Link
US (2) US6744065B1 (en)
EP (1) EP1034567A1 (en)
JP (1) JP2001524758A (en)
KR (1) KR20010032341A (en)
GB (1) GB9724642D0 (en)
TW (1) TW387156B (en)
WO (1) WO1999027584A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067530A1 (en) * 2002-05-08 2004-04-08 The Regents Of The University Of California Electronic sensing of biomolecular processes
US20110121895A1 (en) * 2008-02-11 2011-05-26 Andrea Morello Control and readout of electron or hole spin

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9724642D0 (en) * 1997-11-21 1998-01-21 British Tech Group Single electron devices
WO2000041245A1 (en) 1998-12-30 2000-07-13 Alexander Mikhailovich Ilyanok Quantum-size electronic devices and methods of operating thereof
KR100594578B1 (en) * 2002-12-23 2006-07-03 삼성전자주식회사 Photodetector Using Necked Nanoparticles
US7374807B2 (en) 2004-01-15 2008-05-20 Nanosys, Inc. Nanocrystal doped matrixes
US7645397B2 (en) 2004-01-15 2010-01-12 Nanosys, Inc. Nanocrystal doped matrixes
WO2006076036A2 (en) * 2004-05-25 2006-07-20 The Trustees Of The University Of Pennsylvania Nanostructure assemblies, methods and devices thereof
US7305839B2 (en) * 2004-06-30 2007-12-11 General Electric Company Thermal transfer device and system and method incorporating same
US8039368B2 (en) * 2005-03-21 2011-10-18 The Trustees Of The University Of Pennsylvania Nanogaps: methods and devices containing same
ZA200800436B (en) * 2005-06-30 2009-09-30 Univ Cape Town Semiconducting nanoparticles with surface modification
KR100981309B1 (en) * 2007-12-06 2010-09-10 한국세라믹기술원 Preparation method of structure comprising of quantum-dots and products thereof
WO2010126606A2 (en) 2009-05-01 2010-11-04 Nanosys, Inc. Functionalized matrixes for dispersion of nanostructures
US20130112942A1 (en) 2011-11-09 2013-05-09 Juanita Kurtin Composite having semiconductor structures embedded in a matrix
US9139770B2 (en) 2012-06-22 2015-09-22 Nanosys, Inc. Silicone ligands for stabilizing quantum dot films
TWI596188B (en) 2012-07-02 2017-08-21 奈米系統股份有限公司 Highly luminescent nanostructures and methods of producing same
JP6250785B2 (en) 2013-03-14 2017-12-20 ナノシス・インク. Solvent-free quantum dot exchange method

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5850064A (en) * 1997-04-11 1998-12-15 Starfire Electronics Development & Marketing, Ltd. Method for photolytic liquid phase synthesis of silicon and germanium nanocrystalline materials
US5899734A (en) * 1997-06-04 1999-05-04 Lg Semicon Co., Ltd. Method of fabricating semiconductor device
US6054349A (en) * 1997-06-12 2000-04-25 Fujitsu Limited Single-electron device including therein nanocrystals
US6117711A (en) * 1998-03-02 2000-09-12 Texas Instruments - Acer Incorporated Method of making single-electron-tunneling CMOS transistors
US6313023B1 (en) * 1998-06-11 2001-11-06 Advantest Corporation Method of fabricating deflection aperture array for electron beam exposure apparatus, wet etching method and apparatus for fabricating the aperture array, and electron beam exposure apparatus having the aperture array
US6335245B2 (en) * 1999-04-02 2002-01-01 Hyundai Electronics Industries Co., Ltd. Method for fabricating single electron transistor
US6413819B1 (en) * 2000-06-16 2002-07-02 Motorola, Inc. Memory device and method for using prefabricated isolated storage elements
US6441392B1 (en) * 1997-05-05 2002-08-27 Commissariat A L'energie Atomique Device based on quantic islands and method for making same
US6444545B1 (en) * 2000-12-19 2002-09-03 Motorola, Inc. Device structure for storing charge and method therefore
US6514820B2 (en) * 1998-08-27 2003-02-04 Micron Technology, Inc. Method for forming single electron resistor memory
US6518156B1 (en) * 1999-03-29 2003-02-11 Hewlett-Packard Company Configurable nanoscale crossbar electronic circuits made by electrochemical reaction
US6586785B2 (en) * 2000-06-29 2003-07-01 California Institute Of Technology Aerosol silicon nanoparticles for use in semiconductor device fabrication
US6689674B2 (en) * 2002-05-07 2004-02-10 Motorola, Inc. Method for selective chemical vapor deposition of nanotubes
US6730531B2 (en) * 2002-09-17 2004-05-04 Hynix Semiconductor Inc. Method for forming quantum dot
US6744065B1 (en) * 1997-11-21 2004-06-01 Btg International Limited Single electron devices
US6762131B2 (en) * 2002-04-13 2004-07-13 The Board Of Trustees Of The University Of Illinois Method for large-scale fabrication of atomic-scale structures on material surfaces using surface vacancies
US6784082B2 (en) * 2001-07-13 2004-08-31 North Carolina State University Methods of fabricating single electron transistors in which the thickness of an insulating layer defines spacing between electrodes
US6855481B2 (en) * 2001-04-03 2005-02-15 Ki-Bum Kim Apparatus and a method for forming a pattern using a crystal structure of material

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8401471D0 (en) 1984-01-19 1984-02-22 Cleaver J R A Ion and electron beam electrostatic lens systems
JP2690922B2 (en) 1987-12-25 1997-12-17 株式会社日立製作所 Resonant tunneling element
GB2256313B (en) 1991-01-04 1995-03-29 Hitachi Europ Ltd Semiconductor device
GB2256314B (en) 1991-01-25 1994-09-07 Hitachi Europ Ltd Charge density wave semiconductor device
GB2252870B (en) 1991-02-06 1994-09-07 Hitachi Europ Ltd Quantum dot structure
GB2258236B (en) 1991-07-30 1995-03-22 Hitachi Europ Ltd Molecular synthesis
GB2261989B (en) 1991-11-27 1995-07-12 Hitachi Europ Ltd Switching device
GB9226382D0 (en) 1992-12-18 1993-02-10 Hitachi Europ Ltd Memory device
GB9206812D0 (en) 1992-03-25 1992-05-13 Hitachi Europ Ltd Logic device
GB2267761B (en) 1992-06-02 1996-01-17 Hitachi Europ Ltd Method of electrically testing a sample
GB9213054D0 (en) 1992-06-19 1992-08-05 Hitachi Europ Ltd Atomic scale devices
GB9213423D0 (en) 1992-06-24 1992-08-05 Hitachi Europ Ltd Nanofabricated structures
GB2268625B (en) 1992-07-03 1996-01-03 Hitachi Europ Ltd Logic device
GB9300627D0 (en) 1993-01-14 1993-03-03 Hitachi Europ Ltd Terahertz radiation emission and detection
GB2275820B (en) 1993-02-26 1996-08-28 Hitachi Europ Ltd Optoelectronic device
GB2278013B (en) 1993-05-10 1996-11-13 Hitachi Europ Ltd Method of forming nano-scale electronic device
GB2284299B (en) 1993-10-07 1997-05-28 Hitachi Europ Ltd Multiple tunnel junction structure
GB9321326D0 (en) 1993-10-15 1993-12-08 Hitachi Europ Ltd Controllable conduction device with multiple tunnel junction
GB2283128B (en) 1993-10-21 1997-08-20 Hitachi Europ Ltd Memory device
GB9401357D0 (en) 1994-01-25 1994-03-23 Hitachi Europ Ltd Semiconductor junctions
GB9415718D0 (en) 1994-08-03 1994-09-21 Hitachi Europ Ltd Conduction control device
US5731598A (en) * 1995-06-23 1998-03-24 Matsushita Electric Industrial Co. Ltd. Single electron tunnel device and method for fabricating the same
EP0788149A1 (en) 1996-02-05 1997-08-06 Hitachi Europe Limited Method of depositing nanometre scale particles
KR100272702B1 (en) * 1996-03-26 2000-11-15 윤종용 Tunnelling device and method of producing a tunnelling device
DE69629275T2 (en) 1996-04-16 2004-06-03 Hitachi Europe Ltd., Maidenhead Logical device according to a binary decision diagram
EP0817284A1 (en) 1996-06-25 1998-01-07 Hitachi Europe Limited Superconducting structure
EP0843361A1 (en) 1996-11-15 1998-05-20 Hitachi Europe Limited Memory device
EP0843360A1 (en) 1996-11-15 1998-05-20 Hitachi Europe Limited Memory device
EP0892440A1 (en) 1997-07-18 1999-01-20 Hitachi Europe Limited Controllable conduction device
EP0865078A1 (en) 1997-03-13 1998-09-16 Hitachi Europe Limited Method of depositing nanometre scale particles

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5850064A (en) * 1997-04-11 1998-12-15 Starfire Electronics Development & Marketing, Ltd. Method for photolytic liquid phase synthesis of silicon and germanium nanocrystalline materials
US6441392B1 (en) * 1997-05-05 2002-08-27 Commissariat A L'energie Atomique Device based on quantic islands and method for making same
US5899734A (en) * 1997-06-04 1999-05-04 Lg Semicon Co., Ltd. Method of fabricating semiconductor device
US6054349A (en) * 1997-06-12 2000-04-25 Fujitsu Limited Single-electron device including therein nanocrystals
US6744065B1 (en) * 1997-11-21 2004-06-01 Btg International Limited Single electron devices
US6117711A (en) * 1998-03-02 2000-09-12 Texas Instruments - Acer Incorporated Method of making single-electron-tunneling CMOS transistors
US6313023B1 (en) * 1998-06-11 2001-11-06 Advantest Corporation Method of fabricating deflection aperture array for electron beam exposure apparatus, wet etching method and apparatus for fabricating the aperture array, and electron beam exposure apparatus having the aperture array
US6514820B2 (en) * 1998-08-27 2003-02-04 Micron Technology, Inc. Method for forming single electron resistor memory
US6518156B1 (en) * 1999-03-29 2003-02-11 Hewlett-Packard Company Configurable nanoscale crossbar electronic circuits made by electrochemical reaction
US6335245B2 (en) * 1999-04-02 2002-01-01 Hyundai Electronics Industries Co., Ltd. Method for fabricating single electron transistor
US6413819B1 (en) * 2000-06-16 2002-07-02 Motorola, Inc. Memory device and method for using prefabricated isolated storage elements
US6586785B2 (en) * 2000-06-29 2003-07-01 California Institute Of Technology Aerosol silicon nanoparticles for use in semiconductor device fabrication
US6444545B1 (en) * 2000-12-19 2002-09-03 Motorola, Inc. Device structure for storing charge and method therefore
US6855481B2 (en) * 2001-04-03 2005-02-15 Ki-Bum Kim Apparatus and a method for forming a pattern using a crystal structure of material
US6784082B2 (en) * 2001-07-13 2004-08-31 North Carolina State University Methods of fabricating single electron transistors in which the thickness of an insulating layer defines spacing between electrodes
US6762131B2 (en) * 2002-04-13 2004-07-13 The Board Of Trustees Of The University Of Illinois Method for large-scale fabrication of atomic-scale structures on material surfaces using surface vacancies
US6689674B2 (en) * 2002-05-07 2004-02-10 Motorola, Inc. Method for selective chemical vapor deposition of nanotubes
US6730531B2 (en) * 2002-09-17 2004-05-04 Hynix Semiconductor Inc. Method for forming quantum dot

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040067530A1 (en) * 2002-05-08 2004-04-08 The Regents Of The University Of California Electronic sensing of biomolecular processes
US20110121895A1 (en) * 2008-02-11 2011-05-26 Andrea Morello Control and readout of electron or hole spin
US8507894B2 (en) * 2008-02-11 2013-08-13 Qucor Pty Limited Control and readout of electron or hole spin

Also Published As

Publication number Publication date
JP2001524758A (en) 2001-12-04
US6744065B1 (en) 2004-06-01
WO1999027584A1 (en) 1999-06-03
EP1034567A1 (en) 2000-09-13
KR20010032341A (en) 2001-04-16
GB9724642D0 (en) 1998-01-21
TW387156B (en) 2000-04-11

Similar Documents

Publication Publication Date Title
US6744065B1 (en) Single electron devices
Lopes et al. Hierarchical self-assembly of metal nanostructures on diblock copolymer scaffolds
Cao Nanostructures & nanomaterials: synthesis, properties & applications
CN106206685B (en) Method for manufacturing electrode structure having nanogap length, electrode structure having nanogap length obtained by the method, and nanodevice
US6103600A (en) Method for forming ultrafine particles and/or ultrafine wire, and semiconductor device using ultrafine particles and/or ultrafine wire formed by the forming method
EP0836232B1 (en) Tunnelling device and method of producing a tunnelling device
Klamchuen et al. Rational concept for designing vapor–liquid–solid growth of single crystalline metal oxide nanowires
EP2011572A2 (en) Method for forming catalyst nanoparticles for growing elongated nanostructures
Janes et al. Electronic conduction through 2D arrays of nanometer diameter metal clusters
CN108557758A (en) A kind of method of cycle alternation etching homogeneity multistage slope step guiding growth nano-wire array
JP2002118248A (en) Horizontal growth method of carbon nano tube and field effect transistor using the same
Lee et al. Electronic properties of metallic nanoclusters on semiconductor surfaces: Implications for nanoelectronic device applications
Tien et al. Nucleation control for ZnO nanorods grown by catalyst-driven molecular beam epitaxy
JP2004179658A (en) Method for forming nanostructure of uniform and controlled-size semiconductor material on dielectric material by means of cvd method
Nedelcu et al. Fabrication of Sub‐10 nm Metallic Lines of Low Line‐Width Roughness by Hydrogen Reduction of Patterned Metal–Organic Materials
JP6917644B2 (en) Energy Filtered Cold Electronic Devices and Methods
JP3560630B2 (en) Single electronic device
Jungwirth et al. Direct Writing of Cobalt Silicide Nanostructures Using Single-Source Precursors
Porrati et al. Site-Selective Chemical Vapor Deposition on Direct-Write 3D Nanoarchitectures
KR20060107835A (en) Method and system for forming a film of material using plasmon assisted chemical reactions
Tanaka et al. High-density assembly of nanocrystalline silicon quantum dots
Amirav et al. Spray-produced coral-shaped assemblies of MnS nanocrystal clusters
KR100434271B1 (en) Fabrication Method for Carbon Nanotube
Yanase et al. Growth Kinetics and Magnetic Property of Single-Crystal Fe Nanowires Grown via Vapor–Solid Mechanism Using Chemically Synthesized FeO Nanoparticle Catalysts
Kurdak et al. Au nanoparticle clusters: A new system to model hopping conduction

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE