US20040256351A1 - Integration of ALD/CVD barriers with porous low k materials - Google Patents

Integration of ALD/CVD barriers with porous low k materials Download PDF

Info

Publication number
US20040256351A1
US20040256351A1 US10/741,422 US74142203A US2004256351A1 US 20040256351 A1 US20040256351 A1 US 20040256351A1 US 74142203 A US74142203 A US 74142203A US 2004256351 A1 US2004256351 A1 US 2004256351A1
Authority
US
United States
Prior art keywords
substrate
layer
dielectric layer
carbon
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/741,422
Other versions
US7244683B2 (en
Inventor
Hua Chung
Nikolaos Bekiaris
Christophe Marcadal
Ling Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/741,422 priority Critical patent/US7244683B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LING, BEKIARIS, NIKOLAOS, CHUNG, HUA, MARCADAL, CHRISTOPHE
Publication of US20040256351A1 publication Critical patent/US20040256351A1/en
Application granted granted Critical
Publication of US7244683B2 publication Critical patent/US7244683B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Definitions

  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming metal interconnect structures having a barrier layer contacting at least a part of a dielectric layer.
  • a typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature.
  • a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer.
  • the interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and is instrumental in the continued effort to increase circuit density and quality.
  • Copper has recently become a choice metal for filling sub-micron, high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum.
  • copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. For example, such diffusion can form a conductive path between layers, thereby reducing the reliability of the overall circuit and possibly cause device failure.
  • Barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms.
  • Barrier layers typically contain a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
  • the barrier layer must be deposited on the bottom and sidewalls of the feature. The addition of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
  • the barrier layers are often deposited on dielectric layers that have been etched to include one or more features.
  • the dielectric layers are typically formed of low k (dielectric constant k ⁇ 4.0) material.
  • the low k layers may be porous layers.
  • the precursors used to form the barrier layer can diffuse into the pores of the porous low k layer.
  • the diffusion of barrier materials is problematic.
  • the diffusion of barrier layer precursors into the porous low k layer can raise the dielectric constant of the low k layer, resulting in, for example, current leakage in a device.
  • barrier layers can also be a time-consuming step, as the initial deposition or nucleation of barrier layers can be slow.
  • a method for processing a substrate includes depositing a low k dielectric layer on a substrate.
  • the low k dielectric layer is then etched, so that a hole is formed in the dielectric layer.
  • the substrate is degassed, then pre-cleaned.
  • the pre-cleaning step includes exposing the substrate to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof.
  • a barrier layer is deposited on the substrate, and then a seed layer is deposited on the substrate.
  • a metal layer is deposited on the seed layer to fill the hole in the dielectric layer.
  • a method for processing a substrate includes depositing an etch stop on a substrate. A low k dielectric layer is then deposited on the etch stop. The low k dielectric layer is then etched, so that a hole is formed in the dielectric layer. Once etched, the substrate is degassed, then pre-cleaned.
  • the pre-cleaning step includes exposing the substrate to one or more plasmas of one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof.
  • the etch stop is etched, and then a barrier layer is deposited on the substrate. A seed layer is then deposited on the substrate. Finally, a metal layer is electroplated on the seed layer to fill the hole in the dielectric layer.
  • FIG. 1 illustrates processing sequences according to various embodiments of the invention described herein.
  • FIGS. 2A-2D are schematic cross section views of an exemplary structure at different stages of an interconnect fabrication sequence according to embodiments of the invention.
  • FIG. 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for forming a thin barrier layer according to deposition techniques described herein.
  • FIG. 4 illustrates a processing sequence according to various embodiments of the invention.
  • FIG. 5 is a graph showing the amount of tantalum deposited on different substrates in various embodiments of the invention described herein.
  • FIG. 6 is a graph showing the amount of tantalum deposited on different substrates having different atomic % carbon in various embodiments of the invention described herein.
  • FIG. 7 is a graph showing the amount of tantalum deposited on different substrates having different atomic % silicon in various embodiments of the invention described herein.
  • FIG. 8 is a graph showing the amount of tantalum deposited on different substrates having different atomic % oxygen in various embodiments of the invention described herein.
  • FIGS. 9A-9D are schematic cross section views of an exemplary structure at different stages of an interconnect fabrication sequence according to embodiments of the invention.
  • FIG. 10 illustrates a processing sequence according to various embodiments of the invention.
  • FIG. 11 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform embodiments of the interconnect fabrication sequence described herein.
  • FIG. 12 is a transmission electron micrograph (TEM) of a substrate processed according to an embodiment of the invention.
  • FIG. 13 is a TEM of a processed substrate according to the prior art.
  • Interconnect structures formed according to embodiments described herein have an overall lower resistivity and better electrical properties than interconnects of the prior art, and are particularly useful for making memory and logic structures in the fabrication of integrated circuits.
  • the formation of the interconnect structures includes the formation of a thin barrier layer at least partially deposited on an underlying metal plug, a seed layer at least partially deposited on the barrier layer, and a bulk metal layer at least partially deposited on the seed layer.
  • interconnect refers to any conductive path formed within an integrated circuit.
  • bulk refers to a greater thickness of material deposited in relation to the thickness of other materials deposited to form the interconnect structure.
  • Embodiments of the invention provide a process integration method or sequence for the deposition of barrier layers. It is believed that the combination of the pre-cleaning process and the barrier layer deposition described herein contributes to the formation of barrier layers that do not infiltrate significantly into the dielectric layers upon which they are deposited. Embodiments of the invention also enhance the nucleation and deposition of barrier layers.
  • FIG. 1 illustrates the process sequence according to embodiments of the invention.
  • a thin barrier layer first is deposited at least partially on an underlying substrate surface, such as a lower level metal interconnect or a metal gate, for example, as shown at step 480 .
  • the barrier layer is deposited according to a cyclical layer deposition technique to provide excellent barrier properties yet permit a subsequently deposited metal layer to communicate with a metal plug without having to etch the barrier layer.
  • the barrier layer is a refractory metal-containing layer, such as tantalum, titanium, and tungsten, for example, and may include a refractory metal nitride material, such as tantalum nitride (TaN).
  • the barrier layer is a thin bi-layer of TaN and alpha-phase tantalum.
  • the barrier layer may be a ternary material formed from a refractory metal containing compound, a silicon-containing compound and a nitrogen-containing compound.
  • the barrier layer may also act as a wetting layer, adhesion layer, or glue layer for subsequent metallization.
  • a “thin layer” as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms ( ⁇ ) or less, such as about 10 ⁇ .
  • the thickness of the barrier layer is so small/thin that electrons of the adjacent metal interconnects can tunnel through the barrier layer. Accordingly, the barrier layer significantly enhances metal interconnect electrical performance by lowering overall electrical resistance and providing good device reliability without having to perform an etch or other type of removal of the barrier layer.
  • the thin barrier layer deposited according to the cyclical deposition methods described herein shows evidence of an epitaxial growth phenomenon.
  • the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer despite its amorphous character.
  • a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer.
  • subsequent metal layers deposited over the barrier layer exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface.
  • the resulting structure resembling a single crystal thus eliminates void formation, thereby substantially increasing device reliability.
  • the single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the conformal and uniform crystalline orientation across the interconnect material interfaces reduces the susceptibility of electromigration and stress migration.
  • “Cyclical deposition” refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface.
  • the two or more compounds are introduced sequentially into a reaction zone of a processing chamber. Each compound introduction is separated by a time delay/pause allowing each compound to adhere and/or react on the substrate surface.
  • a first compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause.
  • a second compound B is dosed/pulsed into the reaction zone followed by a second time delay.
  • a third compound C is dosed/pulsed into the reaction zone followed by a third time delay.
  • a “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
  • the quantity of a particular compound within each pulse may vary, depending on the flow rate of the compound and/or the duration of the pulse.
  • a “compound” is intended to include one or more precursors, reductants, reactants, and/or catalysts. Each compound may be a single compound or a mixture/combination of two or more compounds.
  • a seed layer is at least partially deposited on the barrier layer, as shown at step 485 .
  • the seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating electroless plating
  • the seed layer is deposited conformally on the underlying barrier layer to have a thickness between about 100 ⁇ and about 500 ⁇ .
  • the seed layer is a conventional copper seed layer.
  • the seed layer is a dual alloy seed layer.
  • Exemplary dual alloy seed layers include: 1) undoped copper deposited utilizing a target containing undoped copper, 2) a copper alloy containing aluminum in a concentration of about 2.0 atomic percent deposited utilizing a copper-aluminum target comprising aluminum in a concentration of about 2.0 atomic percent, 3) a copper alloy containing tin in a concentration of about 2.0 atomic percent deposited utilizing a copper-tin target comprising tin in a concentration of about 2.0 atomic percent, and 4) a copper alloy containing zirconium in a concentration of about 2.0 atomic percent deposited utilizing a copper-zirconium target comprising zirconium in a concentration of about 2.0 atomic percent.
  • a bulk metal layer is at least partially deposited on the seed layer, as shown at step 487 .
  • the metal layer also may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating.
  • the metal layer preferably includes any conductive material such as, for example, aluminum, copper, tungsten, or combinations thereof.
  • FIGS. 2A-2D are schematic representations of an exemplary interconnect structure at different stages of fabrication.
  • FIG. 2A shows a substrate 100 having an underlying metal layer 110 having a dielectric layer 112 formed thereon.
  • the underlying metal layer 110 may contain any conductive metal such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as a plug, via, contact, line, wire, or be part of a metal gate electrode.
  • the dielectric layer 112 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example.
  • FIG. 1 shows a substrate 100 having an underlying metal layer 110 having a dielectric layer 112 formed thereon.
  • the underlying metal layer 110 may contain any conductive metal such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as
  • the dielectric layer 112 etched to form a feature 114 .
  • Such an etch may be performed using conventional techniques.
  • the feature 114 may be a plug, via, contact, line, wire, or any other interconnect component.
  • the feature 114 has vertical sidewalls 116 and a floor 118 , having an aspect ratio of about 4:1 or greater, such as about 6:1.
  • the floor 118 exposes at least a portion of the lower level metal interconnect 110 .
  • the barrier layer 130 is conformally deposited on the floor 118 as well as the side walls 116 of the feature 114 .
  • the barrier layer contains tantalum nitride deposited to a thickness of about 20 ⁇ or less, preferably about 10 ⁇ , by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
  • Exemplary tantalum-containing compounds include t-butylimino tris(diethylamino) tantalum (TBTDET), pentakis (ethylmethylamino), tantalum (PEMAT), pentakis (dimethylamino) tantalum (PDMAT), pentakis (diethylamino) tantalum (PDEAT), t-butylimino tris(diethyl methylamino) tantalum(TBTMET), t-butylimino tris(dimethyl amino) tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride ((Cp) 2 TaH 3 ), bis(methylcyclopentadienyl) tantalum trihydride ((CpMe) 2 TaH 3 ), derivatives thereof, and combinations thereof.
  • TBTDET t-butylimino tris(diethylamino) tantalum
  • PEMAT pentakis (ethyl
  • Exemplary nitrogen-containing compounds include ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, derivatives thereof, and combinations thereof.
  • these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
  • PDMAT is a solid at room temperature
  • TBTDET is a liquid at room temperature.
  • a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
  • Pulses of the reactive compounds are performed sequentially, accompanied by a separate flow of non-reactive gas at a rate between about 200 sccm and about 1,000 sccm.
  • the flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the flow of non-reactive gas may be continuous throughout the deposition process.
  • the flow of non-reactive gas, whether pulsed or continuous serves to remove any excess reactants from the reaction zone. This is done to prevent unwanted gas phase reactions of the reactive compounds, and to remove reaction by-products from the processing chamber, in a function similar to a purge gas.
  • the flow of non-reactive gas aids in delivery of the reactive compounds to the substrate surface, similar to the function of a carrier gas.
  • non-reactive gas refers to a single gas or a mixture of gases that is not a reactant in the formation of the interconnect structure.
  • exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
  • reaction zone is intended to include an area that is in fluid communication with a substrate surface being processed.
  • the reaction zone may be an area or volume within a processing chamber that is between a gas source and the substrate surface.
  • the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound and/or the process environment, the temperature of the compound and/or the process environment, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times also may vary based upon the type of layer being formed and the geometry of the device being formed.
  • the duration for each pulse/dose or “dose time” is about 1.0 second or less.
  • dose time can range from microseconds to milliseconds to seconds, and even to minutes, depending on factors such as those described above.
  • a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto the surface of the substrate and form a layer.
  • FIG. 3 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for forming a barrier layer according to embodiments of the present invention.
  • a processing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description of chamber 200 follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference.
  • the processing chamber 200 may be integrated into an integrated processing platform, such as an Endura® platform also available from Applied Materials, Inc. Details of the Endura® platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated by reference herein.
  • the chamber 200 includes a chamber body 202 having a slit valve 208 formed in a sidewall 204 and a substrate support 212 .
  • the substrate support 212 is mounted to a lift motor 214 to raise and lower the substrate support 212 and a substrate 210 disposed thereon.
  • the substrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 210 to the substrate support 212 during processing.
  • the substrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 212 .
  • a purge ring 222 may be disposed on the substrate support 212 to define a purge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210 .
  • a gas delivery apparatus 230 is located at an upper portion of the chamber body 202 to provide a gas, such as a process gas and/or a purge gas, to the chamber 200 .
  • a vacuum system 278 is in communication with a pumping channel 279 to evacuate gases from the chamber 200 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 266 of the chamber 200 .
  • the gas delivery apparatus 230 includes a chamber lid 232 having an expanding channel 234 formed within a central portion thereof.
  • the chamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of the chamber lid 232 .
  • the bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on the substrate support 212 .
  • the expanding channel 234 has an inner diameter that gradually increases from an upper portion 237 to an intermediate portion 272 to a lower portion 235 adjacent the bottom surface 260 of the chamber lid 232 .
  • the velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas.
  • the decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210 .
  • the gas delivery apparatus 230 also includes at least two high speed actuating valves 242 A and 242 B having one or more ports. At least one valve 242 A, 242 B is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound. For example, if a silicide is desired, the additional compound may be a silicon-containing compound.
  • the valves 242 A and 242 B may be any valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber body 202 . In some cases, the on/off cycles or pulses of the valves 242 A, 242 B may be as fast as about 100 msec or less.
  • the valves 242 A, 242 B can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System For ALD Chamber”, filed on Mar. 7, 2001, which is incorporated by reference herein.
  • the valves 242 A, 242 B may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD.
  • EC electronically controlled
  • the integrated processing system may include a controller 280 comprising a central processing unit (CPU), memory, and support circuits.
  • the CPU may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures.
  • the memory is connected to the CPU, and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • Software instructions and data can be coded and stored within the memory for instructing the CPU.
  • the support circuits are also connected to the CPU for supporting the processor in a conventional manner.
  • the support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • a TaN barrier layer is formed by cyclically introducing PDMAT and ammonia to the substrate surface.
  • a carrier/inert gas such as argon is introduced, such as at a flow rate of about 50 sccm to about 250 sccm for about 0.1 seconds to about 2 seconds, into the processing chamber 200 to stabilize the pressure and temperature therein.
  • the carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound.
  • a first pulse of PDMAT is provided from the gas source 238 using an argon carrier flow at a flow rate between about 50 sccm and about 500 sccm, with a pulse time of about 0.1 seconds to about 5 seconds after the chamber temperature and pressure have been stabilized at about 200° C. to about 300° C. and about 1 Torr to about 5 Torr.
  • a pulse of ammonia is then provided from the gas source 239 at a flow rate between about 250 sccm and about 2500 sccm, with a pulse time of about 0.1 seconds to about 10 seconds.
  • a pause between pulses of PDMAT and ammonia is about 0.1 seconds to about 5 seconds. In various aspects, a reduction in time between pulses provides higher processing throughput.
  • argon gas flowing between about 1000 sccm and about 10 slm is provided continuously from the gas source 240 through each valve 242 A, 242 B.
  • a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters.
  • the duration of the carrier gas and/or pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • the heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr.
  • Each cycle consisting of a pulse of PDMAT, a pause, a pulse of ammonia, and a second pause may form a tantalum nitride film having a thickness of between about 0.3 ⁇ and about 1.0 ⁇ per cycle.
  • the alternating sequence may be repeated until the desired film thickness is achieved.
  • Such a desired thickness in this case is less than about 20 ⁇ , and typically is about 10 ⁇ . Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles.
  • a ternary barrier layer having a thickness less than about 20 ⁇ , such as 10 ⁇ is deposited by providing one or more pulses of a refractory metal-containing compound, one or more pulses of a nitrogen-containing compound, and one or more pulses of a silicon-containing compound. Each pulse is adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer.
  • a “ternary barrier layer” as used herein refers to a material having a composition comprising three major elements, such as titanium, nitrogen and silicon.
  • An exemplary “ternary barrier layer” may also include tantalum, nitrogen and silicon.
  • Each pulse is performed sequentially, and is accompanied by a separate flow of carrier/inert gas at the same process conditions described above.
  • the flow of carrier/inert gas may be pulsed between each pulse of reactive compound or the flow of carrier/inert gas may be continuous throughout the deposition process.
  • the ternary barrier layer is comprised of titanium silicon nitride.
  • each cycle consists of a pulse of a titanium-containing compound, a first pause, a pulse of a silicon-containing compound, a second-pause, a pulse of a nitrogen-containing compound, and a third pause.
  • Exemplary titanium-containing compound include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCl 4 ), titanium iodide (Til 4 ), titanium bromide (TiBr 4 ), and other titanium halides.
  • Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane (SiH 3 Cl), dichlorosilane (SiH 2 Cl 2 ), and trichlorosilane (SiHCl 3 ).
  • Exemplary nitrogen-containing compounds include: ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, derivatives thereof, and combinations thereof.
  • argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein.
  • the flow of argon is continuous during the deposition process and only the argon flows between the pulses of each reactant compound.
  • the flow of argon is between about 100 sccm and about 1000 sccm, such as between about 100 sccm and about 400 sccm.
  • the chamber pressure and temperature are stabilized at about 250° C. and 2 Torr, and a pulse of TDMAT is provided at a flow rate between about between about 10 sccm and about 1000 sccm, with a pulse time of about 0.6 seconds or less.
  • a pause after the pulse of TDMAT and before the pulse of silane is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less.
  • a pulse of silane is then provided at a flow rate between about 5 sccm and about 500 sccm, with a pulse time of 1 second or less.
  • a pause after the pulse of silane and before the pulse of ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • a pulse of ammonia is then provided at a flow rate between about 100 sccm and about 5,000 sccm, with a pulse time of about 0.6 seconds or less.
  • a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
  • a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
  • the heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr.
  • Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 ⁇ and about 1.0 ⁇ per cycle.
  • the alternating sequence may be repeated until the desired thickness is achieved.
  • the desired thickness is less than about 20 ⁇ , such as about 1.0 ⁇ . Accordingly, the deposition method requires between 10 and 70 cycles.
  • an alpha phase tantalum ( ⁇ -Ta) layer having a thickness of about 20 ⁇ or less, such as about 10 ⁇ may be deposited over at least a portion of the previously deposited binary (TaN) or ternary (TiSiN) layers.
  • the ⁇ -Ta layer may be deposited using conventional techniques, such as PVD and CVD for example, to form a bilayer stack.
  • the bilayer stack may include a TaN portion deposited by cyclical layer deposition described above and an ⁇ -Ta portion deposited by high density plasma physical vapor deposition (HDP-PVD).
  • the ⁇ -Ta portion of the stack may be deposited using an ionized metal plasma (IMP) chamber, such as a VectraTM chamber, available from Applied Materials, Inc. of Santa Clara, Calif.
  • IMP ionized metal plasma
  • the IMP chamber includes a target, coil, and biased substrate support member, and may also be integrated into an Endura® platform, also available from Applied Materials, Inc.
  • a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil.
  • a power between about 200 W and about 500 W at a frequency of about 13.56 MHz is also applied to the substrate support member to bias the substrate.
  • Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm.
  • the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr, while the temperature of the chamber is between about 20° C. and about 300° C.
  • the patterned or etched substrate dielectric layer 112 is pre-cleaned.
  • reactive gases are excited into a plasma within a remote plasma source chamber such as a Reactive Pre-clean chamber or a Pre-Clean II chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Pre-cleaning may also be done within a metal CVD or PVD chamber by connecting the chamber to a remote plasma source.
  • metal deposition chambers having gas delivery systems could be modified to deliver the pre-cleaning gas plasma through existing gas inlets such as a gas distribution showerhead positioned above the substrate.
  • the reactive pre-clean process forms radicals from one or more plasmas from one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof.
  • the oxidizing gases may be selected from the group of oxygen, ozone, nitrous oxide, nitric oxide, and H 2 O gas.
  • the etched substrate dielectric layer 112 may be pre-cleaned as part of an integrated substrate processing sequence.
  • a brief example of a processing sequence is shown in FIG. 4.
  • a dielectric layer is deposited on a substrate, as shown at step 152 .
  • the dielectric layer is then etched, to form a feature therein, as shown at step 154 using conventional photoresist patterning and etching techniques.
  • the photoresist is then stripped from the substrate, as shown at step 156 , and the substrate is degassed, as shown at step 158 .
  • the substrate is pre-cleaned, as shown at step 160 .
  • a barrier layer is deposited on the substrate, as shown at step 162 , a seed layer is deposited on the substrate, as shown at step 164 , and a metal layer is electroplated on the seed layer, as shown at step 166 .
  • FIG. 5 shows that the amount of TaN deposited on a substrate, after 20 cycles of atomic layer deposition of TaN, as estimated by the amount of Ta on the substrate, was increased when substrates with exposed carbon-containing low k dielectric materials such as silicon oxycarbide, oxygen-doped silicon carbide, and carbon-based dielectric materials were pre-cleaned with argon after being degassed.
  • FIGS. 1-10 show that substrates with exposed carbon-containing low k dielectric materials such as silicon oxycarbide, oxygen-doped silicon carbide, and carbon-based dielectric materials were pre-cleaned with argon after being degassed.
  • FIGS. 5, 6, 7 , and 8 respectively show the atomic % carbon, atomic % silicon, and atomic % oxygen, as measured by Auger Electron Spectroscopy, at the surface of the dielectric materials of FIG. 5 versus the amount of Ta on the substrate.
  • “atomic %” is calculated without hydrogen.
  • FIGS. 5, 6, 7 , and 8 show that degassed and argon pre-cleaned carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95% atomic carbon have improved TaN deposition compared to carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95% atomic carbon but are not degassed and argon pre-cleaned.
  • carbon-containing dielectric layers that contain about 30 atomic % carbon to about 95 atomic % carbon have improved TaN deposition, as estimated by the amount of Ta on the substrate, compared to carbon-containing dielectric layers that contain about 30 atomic % carbon to about 95% atomic carbon but are not degassed and argon pre-cleaned.
  • a plasma from argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, hydrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon may be used instead of the argon plasma for pre-cleaning low k carbon-containing dielectric layers, such as carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95 atomic % carbon or about 30 atomic % carbon to about 95 atomic % carbon.
  • the oxidizing gas may be selected from the group of oxygen, ozone, nitrous oxide, nitric oxide, and H 2 O gas.
  • pre-cleaning carbon-containing dielectric layers with a plasma from argon, argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon may improve the nucleation rate of TaN deposition by a cyclical deposition process because pre-cleaning carbon-containing dielectric layers with any of these plasmas can create a thin, e.g., 50 ⁇ , oxide-like surface on carbon-containing dielectric materials that typically do not have a large amount of oxygen at their surface.
  • a carbon-based dielectric layer that was 1.25 atomic % oxygen at its surface before pre-cleaning with argon was 6.88 atomic % oxygen at its surface after pre-cleaning with argon.
  • the atomic % oxygen at the. surface of other dielectric materials before and after argon pre-cleaning is shown in FIG. 8. It is believed that the formation of Ta—O bonds on an oxide-like surface or a hydroxyl group terminated surface of a pre-cleaned substrate may enhance the nucleation rate of TaN on the substrate.
  • the dielectric layer may be a carbon-containing dielectric layer, such as a carbon-containing dielectric layer that contains about 20 atomic % carbon to about 95 atomic % carbon or about 30 atomic % carbon to about 95 atomic % carbon, and the pre-cleaning may be performed with a plasma from argon, argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, hydrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon, as described above.
  • a plasma from argon, argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, hydrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon as described above.
  • a dielectric layer 112 is deposited in step 152 on an underlying metal layer 110 of a substrate 100 .
  • the underlying metal layer 110 may be a copper layer or include copper.
  • the dielectric layer 112 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example.
  • the dielectric layer 112 may be a porous low k layer, such as a porous carbon doped silicon oxide.
  • the dielectric layer may include fluorine-doped silicon glass (FSG), Black DiamondTM film, available from Applied Materials, Inc., of Santa Clara, Calif., extremely low k (elk) dielectric film, or combinations thereof.
  • FSG fluorine-doped silicon glass
  • Black DiamondTM film available from Applied Materials, Inc., of Santa Clara, Calif.
  • elk dielectric film or combinations thereof.
  • the dielectric layer 112 may be deposited by conventional techniques in a plasma processing chamber, such as a Producer® Chamber or a DxZ® Chamber, both of which are available from Applied Materials, Inc., of Santa Clara, Calif.
  • the dielectric layer is etched in step 154 .
  • the dielectric layer 112 may be etched using conventional photoresist patterning and etching techniques to form a feature 114 therein, such as a hole.
  • the photoresist is then stripped from the substrate in step 156 , using conventional photoresist removal techniques.
  • the substrate 100 is degassed in step 158 , such as by, for example, heating the substrate at about 250° C. to about 400° C., for about 30 to about 180 seconds at a pressure between about 10 ⁇ 7 to about 10 torr.
  • the substrate may be heated at about 350° C. for about 100 seconds.
  • the substrate 100 is then pre-cleaned in step 160 .
  • the pre-cleaning may modify, e.g., densify, the exposed surface, including the sidewalls 116 of the feature 114 , of the etched substrate dielectric layer 112 .
  • Pre-cleaning the etched dielectric layer 112 may include exposing the substrate 100 to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof.
  • the substrate 100 may be exposed to the plasma(s) in a pre-clean chamber, such as a Pre-Clean II Chamber, available from Applied Materials, Inc., of Santa Clara, Calif.
  • the one or more gases are flowed into the chamber at a rate of between about 5 sccm and about 500 sccm.
  • the plasma(s) are generated in a processing chamber by supplying RF power, such as about 300 watts (W), to a coil surrounding the processing chamber and supplying RF bias, such as about 300 W, to a substrate support member supporting the substrate.
  • the plasma may be generated using a remote plasma source or both a remote plasma source and an in situ RF power source.
  • the substrate 100 is exposed to a plasma of argon, nitrogen, or a nitrogen and hydrogen mixture.
  • the substrate 100 may be exposed to the plasma for between about 1 second and about 60 seconds.
  • the length of the plasma exposure may be varied according to the plasma source gases used and the composition of the substrate.
  • the substrate 100 may be exposed (not shown) to a hydrogen plasma or exposed to a thermal hydrogen treatment, e.g., a flow of hydrogen in the absence of radio frequency power at a temperature above about 250° C. It is believed that exposing the substrate to hydrogen may reduce any metal oxides, such as copper oxide, that may have formed on the floor 118 of the feature 114 during previous substrate processing steps.
  • a barrier layer 130 is deposited on the substrate 100 , as shown in FIG. 2B and described in step 162 of FIG. 4.
  • the barrier layer 130 may be deposited by a cyclical deposition process, such as the cyclical deposition processes described herein.
  • a barrier layer of TaN such as a 10 ⁇ barrier layer
  • the barrier layer may be deposited by a chemical vapor deposition process.
  • a barrier layer of TiSiN may be deposited by chemical vapor deposition.
  • the seed layer 140 may be deposited on the barrier layer 130 in step 164 using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage.
  • HDP-PVD high density plasma physical vapor deposition
  • One example of a HDP-PVD chamber is the Self-Ionized Plasma SIPTM chamber, available from Applied Materials, Inc. of Santa Clara, Calif., which may be integrated into an Endura® platform, available from Applied Materials, Inc.
  • other techniques such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
  • a typical SIPTM chamber includes a target, coil, and biased substrate support member.
  • a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil.
  • a power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate.
  • Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm.
  • the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr.
  • a seed layer 140 consisting of copper or containing a copper alloy may be deposited in step 164 by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques.
  • a copper alloy seed layer 140 contains aluminum and is deposited using a PVD technique described above.
  • the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr.
  • the target includes copper and between about 0 and about 5 atomic weight percent of aluminum.
  • the target may be DC-biased at a power between about 5 kW and about 100 kW.
  • the pedestal may be RF-biased at a power between about 10 W and about 1000 W.
  • the copper or copper alloy seed layer 140 is deposited to a thickness of at about 100 ⁇ to about 1500 ⁇ , such as about 500 ⁇ , on the top surface of the substrate.
  • the metal layer 142 is preferably copper and deposited on seed layer 140 using CVD, PVD, electroplating, or electroless techniques.
  • the metal layer may be deposited on the seed layer by electroplating, as described in step 166 of FIG. 4.
  • the copper layer 142 is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • the ElectraTM Cu ECP system may also be integrated into an Endura® platform also available from Applied Materials, Inc.
  • a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein.
  • the electroplating bath may contain various additives known in the art.
  • the temperature of the bath typically is between about 15° C. and about 25° C., with a bias between about ⁇ 15 volts to about 15 volts.
  • the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about ⁇ 0.1 to about ⁇ 10 volts.
  • an anneal treatment may be performed following the metal layer 142 deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C., such as about 350° C., for about 10 minutes to about 1 hour, preferably about 30 minutes.
  • a carrier/purge gas such as, helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm.
  • the chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • the RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • the top portion of the resulting structure may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example.
  • the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
  • a substrate 300 having an etch stop 310 may be pre-cleaned as part of an integrated substrate processing sequence.
  • FIG. 10 shows first that an etch stop is deposited on a substrate, as shown at step 172 .
  • a dielectric layer is deposited on the etch stop, as shown at step 174 .
  • the dielectric layer is etched to the etch stop using conventional photoresist patterning and etching techniques, as shown at step 176 , and then the substrate is degassed, as shown at step 178 .
  • the substrate is pre-cleaned, as shown at step 180 .
  • the etch stop is then etched, as shown at step 182 , and the photoresist is stripped from the substrate, as shown at step 184 .
  • a barrier layer is then deposited on the substrate, as shown at step 186 , a seed layer is deposited on the substrate, as shown at step 188 , and a metal layer is electroplated on the seed layer, as shown at step 190 .
  • An etch stop layer 310 is deposited in step 172 on an underlying metal layer 302 of the substrate 300 before a dielectric layer 312 is deposited in step 174 on the substrate 300 , as shown in FIG. 9A and described in FIG. 10.
  • the underlying metal layer 302 may be a copper layer or include copper.
  • the etch stop layer 310 may be a Si x N y layer.
  • the dielectric layer 312 may be any dielectric material including a low k dielectric material (k ⁇ 4.0), whether presently known or yet to be discovered.
  • the dielectric layer 312 may be a silicon oxide or a carbon doped silicon oxide.
  • the dielectric layer 312 may be a porous low k layer, such as a porous carbon doped silicon oxide.
  • the dielectric layer may include fluorine-doped silicon glass (FSG), Black DiamondTM film, available from Applied Materials, Inc., of Santa Clara, Calif., extremely low k (elk) dielectric film, or combinations thereof.
  • FSG fluorine-doped silicon glass
  • Black DiamondTM film available from Applied Materials, Inc., of Santa Clara, Calif.
  • elk extremely low k dielectric film, or combinations thereof.
  • the dielectric layer 312 may be deposited by conventional techniques in a plasma processing chamber, such as a Producer® Chamber or a DxZ® Chamber.
  • the dielectric layer is etched to the etch stop in step 176 .
  • the dielectric layer 312 may be etched using conventional photoresist patterning and etching techniques to form a feature 314 therein, such as a hole. While the dielectric layer 312 is etched, preferably, the etch stop layer 310 is not etched.
  • the substrate 300 is degassed in step 178 , such as by, for example, heating the substrate to about 350° C. for about 100 seconds.
  • the substrate is then pre-cleaned in step 180 .
  • the pre-cleaning may modify, e.g., densify, the exposed surface 316 of the etched substrate dielectric layer 312 .
  • Pre-cleaning the etched dielectric layer 312 may include exposing the substrate 300 to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof.
  • the substrate 300 may be exposed to the plasma in a pre-clean chamber, such as a Pre-Clean II Chamber. Alternatively, the substrate may be pre-cleaned in the same chamber in which the dielectric layer 312 is etched. Other types of plasma processing chambers may be used.
  • the plasma is generated in a processing chamber by supplying RF power, such as about 300 watts (W), to a coil surrounding the processing chamber and supplying RF bias, such as about 300 W, to a substrate support member supporting the substrate.
  • RF power such as about 300 watts (W)
  • RF bias such as about 300 W
  • the substrate is exposed to a plasma of argon.
  • the substrate may be exposed to the plasma for between about 1 second and about 60 seconds.
  • the etch stop 310 is removed in step 182 from the bottom of the feature 314 , such as by conventional etching techniques. Residual photoresist (not shown) remaining on the substrate is removed in step 184 . The photoresist may be removed in the same chamber in which the etch stop is removed, or in a different chamber.
  • the substrate may be exposed to a hydrogen plasma or exposed to a thermal hydrogen treatment, e.g., a flow of hydrogen in the absence of radio frequency power at a temperature above about 250° C. It is believed that exposing the substrate to hydrogen may reduce any metal oxides, such as copper oxide, that may form on the underlying metal layer that is exposed after the removal of the etch stop.
  • the substrate is degassed and pre-cleaned again, using the same methods as described above with respect to the degas and pre-cleaning steps performed before the removal of the etch stop. The second degas and pre-clean may help remove moisture or contaminants absorbed on the substrate surface.
  • a barrier layer 330 is deposited in step 186 on the substrate 300 , as shown in FIG. 9B.
  • the barrier layer 330 may be deposited by a cyclical deposition process, such as any of the cyclical deposition processes described herein.
  • a barrier layer of TaN such as a 10 A barrier layer
  • the barrier layer may be deposited by a chemical vapor deposition process.
  • a barrier layer of TiSiN may be deposited by chemical vapor deposition.
  • the seed layer 340 may be deposited in step 188 on the barrier layer 330 using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage.
  • HDP-PVD high density plasma physical vapor deposition
  • One example of a HDP-PVD chamber is the Self-Ionized Plasma SIPTM chamber.
  • other techniques such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
  • a typical SIPTM chamber includes a target, coil, and biased substrate support member.
  • a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil.
  • a power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate.
  • Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm.
  • the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr.
  • a seed layer 340 consisting of copper or containing a copper alloy may be deposited by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques.
  • a copper alloy seed layer 340 contains aluminum and is deposited using a PVD technique described above.
  • the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr.
  • the target includes copper and between about 0 and about 5 atomic weight percent of aluminum.
  • the target may be DC-biased at a power between about 5 kW and about 100 kW.
  • the pedestal may be RF-biased at a power between about 10 W and about 1000 W.
  • the copper or copper alloy seed layer 340 is deposited to a thickness of at about 100 ⁇ to about 1500 ⁇ , such as about 500 ⁇ , on the top surface of the substrate.
  • the metal layer 342 is preferably copper and deposited on seed layer 340 using CVD, PVD, electroplating, or electroless techniques.
  • the metal layer may be deposited on the seed layer by electroplating, as described in step 190 of FIG. 10.
  • the copper layer 342 is formed within an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif.
  • the ElectraTM Cu ECP system may also be integrated into an Endura® platform also available from Applied Materials, Inc.
  • a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein.
  • the electroplating bath may contain various additives known in the art.
  • the temperature of the bath typically is between about 15° C. and about 25° C., with a bias between about ⁇ 15 volts to about 15 volts.
  • the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about ⁇ 0.1 to about ⁇ 10 volts.
  • an anneal treatment may be performed following the metal layer 342 deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C., such as about 350° C., for about 10 minutes to about 1 hour, preferably about 30 minutes.
  • a carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm.
  • the chamber pressure is maintained between about 2 Torr and about 10 Torr.
  • the RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • the top portion of the resulting structure may be planarized.
  • a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example.
  • the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
  • FIG. 11 is a schematic top-view diagram of an exemplary multi-chamber processing system 600 that may be adapted to perform processes as disclosed herein.
  • a processing system 600 may be an Endura® system, commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • Endura® system commercially available from Applied Materials, Inc., of Santa Clara, Calif.
  • a similar multi-chamber processing system is disclosed in U.S. Pat. No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein.
  • the system 600 generally includes load lock chambers 602 , 604 for the transfer of substrates into and out from the system 600 .
  • the load lock chambers 602 , 604 may “pump down” the substrates introduced into the system 600 .
  • a first robot 610 may transfer the substrates between the load lock chambers 602 , 604 , and a first set of one or more substrate processing chambers 612 , 614 , 616 , 618 , (four are shown).
  • Each processing chamber 612 , 614 , 616 , 618 can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • the first robot 610 also transfers substrates to/from one or more transfer chambers 622 , 624 .
  • the transfer chambers 622 , 624 are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600 .
  • a second robot 630 may transfer the substrates between the transfer chambers 622 , 624 and a second set of one or more processing chambers 632 , 634 , 636 , 638 .
  • the processing chambers 632 , 634 , 636 , 638 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612 , 614 , 616 , 618 , 632 , 634 , 636 , 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600 .
  • each processing chamber 632 and 638 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a seed layer; each processing chamber 634 and 636 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to deposit a barrier layer; each processing chamber 612 and 614 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 616 and 618 may be an etch chamber outfitted to etch apertures, e.g., holes, or openings for interconnect features.
  • etch apertures e.g., holes, or openings for interconnect features.
  • the operation of the system 600 may be controlled by a microprocessor controller 650 .
  • the microprocessor controller 650 may be any device or combination of devices configured to implement the inventive operations provided herein.
  • the microprocessor controller 650 may be a controller or array of controllers and/or a general purpose computer configured with software which, when executed, performs the inventive operations. This one particular arrangement of the system 600 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • An extremely low k (k ⁇ 3) dielectric layer was deposited on a substrate.
  • the dielectric layer was then etched to form a feature, such as a hole, therein, and an etch stop layer at the bottom of the feature is also at least partially etched.
  • the substrate was degassed at 350° C. for about 100 seconds.
  • the substrate was pre-cleaned in a Pre-Clean II chamber under the following conditions: 300 W of RF power was applied to the coil surrounding the chamber, a RF bias of 300 W was applied to the substrate support member supporting the substrate, and the substrate was pre-cleaned with an argon plasma for about 23 seconds.
  • a TaN barrier layer was then deposited on the substrate from a sequential exposure of PDMAT and NH 3 .
  • the TaN barrier layer was deposited by first introducing argon into the chamber at 100 sccm for 0.1 seconds, and then alternately pulsing NH 3 at 1000 sccm for 1 second and PDMAT carried in an argon flow of 100 sccm for 0.5 seconds, with a flow of argon continuing between the pulses at 1000 sccm for 0.5 seconds.
  • a copper seed layer was then deposited on the barrier layer.
  • a copper fill layer was electroplated on the copper seed layer.
  • a low temperature anneal of 250° C. was performed before performing CMP on the substrate. After CMP, the substrate was annealed at about 350° C. for about 30 minutes.
  • FIG. 12 shows a drawing of a TEM of a substrate processed according to the Example.
  • FIG. 13 shows a drawing of a TEM of a substrate process according to the Example, except that a pre-cleaning step was not performed.
  • the TaN barrier layer 704 separates the copper layer 700 from the dielectric layer 702
  • the TaN barrier layer 724 separates the copper layer 720 from the dielectric layer 722 .
  • the region 726 of the dielectric layer immediately adjacent the barrier layer is hazy. It is believed that the haze is created by the diffusion of the barrier layer precursors into the dielectric layer, which is porous. In FIG. 12, there is little, if any haze in the region 706 of the dielectric layer immediately adjacent the barrier layer.
  • the processing sequences including a plasma pre-cleaning described herein modify the exposed surfaces of dielectric layers such that diffusion of barrier layer precursors into the dielectric layers is minimized. It is believed that the exposed surfaces of the dielectric layers are densified by the plasma pre-cleans described herein, such as by reducing the size and number of pores on the surface of the dielectric layer. It is believed that at least some of the pores on the surface of the dielectric layer are “sealed” by the rearrangement of atoms in the dielectric layer upon exposure of the dielectric layer to the plasma in the pre-cleans described herein.

Abstract

A method for processing substrates is provided. The method includes depositing and etching a low k dielectric layer on a substrate, pre-cleaning the substrate with a plasma, and depositing a barrier layer on the substrate. Pre-cleaning the substrate minimizes the diffusion of the barrier layer into the low k dielectric layer and/or enhances the deposition of the barrier layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 60/438,480, filed Jan. 7, 2003, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming metal interconnect structures having a barrier layer contacting at least a part of a dielectric layer. [0003]
  • 2. Description of the Related Art [0004]
  • As the structure size of integrated circuit (IC) devices is scaled down to sub-quarter micron dimensions, electrical resistance and current densities have become an area for concern and improvement. Multilevel interconnect technology is used to form high aspect ratio features, including contacts, plugs, vias, lines, wires, and other features. A typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature. Typically, a feature is formed within a dielectric material disposed between a lower conductive layer and an upper conductive layer. The interconnect is formed within the feature to link the upper and lower conductive layers. Reliable formation of these interconnect features is important to the production of the circuits and is instrumental in the continued effort to increase circuit density and quality. [0005]
  • Copper has recently become a choice metal for filling sub-micron, high aspect ratio interconnect features because copper and its alloys have lower resistivities than aluminum. However, copper diffuses more readily into surrounding materials and can alter the electronic device characteristics of the adjacent layers. For example, such diffusion can form a conductive path between layers, thereby reducing the reliability of the overall circuit and possibly cause device failure. [0006]
  • Barrier layers are deposited prior to copper metallization to prevent or impede the diffusion of copper atoms. Barrier layers typically contain a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper. To deposit a barrier layer within a feature, the barrier layer must be deposited on the bottom and sidewalls of the feature. The addition of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure. [0007]
  • The barrier layers are often deposited on dielectric layers that have been etched to include one or more features. The dielectric layers are typically formed of low k (dielectric constant k≦4.0) material. The low k layers may be porous layers. During the deposition of a barrier layer over a porous low k layer, the precursors used to form the barrier layer can diffuse into the pores of the porous low k layer. As with the diffusion of copper discussed earlier, the diffusion of barrier materials is problematic. The diffusion of barrier layer precursors into the porous low k layer can raise the dielectric constant of the low k layer, resulting in, for example, current leakage in a device. [0008]
  • The deposition of barrier layers can also be a time-consuming step, as the initial deposition or nucleation of barrier layers can be slow. [0009]
  • There is a need, therefore, for a processing sequence in which a barrier layer is deposited on a dielectric layer such that the diffusion of barrier layer precursors into the dielectric layer is minimized. There is also a need for a process sequence in which a barrier layer is deposited on a dielectric layer at a faster rate. [0010]
  • SUMMARY OF THE INVENTION
  • A method for processing substrates is provided. In one aspect, a method for processing a substrate includes depositing a low k dielectric layer on a substrate. The low k dielectric layer is then etched, so that a hole is formed in the dielectric layer. Once etched, the substrate is degassed, then pre-cleaned. The pre-cleaning step includes exposing the substrate to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof. After pre-cleaning, a barrier layer is deposited on the substrate, and then a seed layer is deposited on the substrate. Finally, a metal layer is deposited on the seed layer to fill the hole in the dielectric layer. [0011]
  • In another aspect, a method for processing a substrate includes depositing an etch stop on a substrate. A low k dielectric layer is then deposited on the etch stop. The low k dielectric layer is then etched, so that a hole is formed in the dielectric layer. Once etched, the substrate is degassed, then pre-cleaned. The pre-cleaning step includes exposing the substrate to one or more plasmas of one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof. After pre-cleaning, the etch stop is etched, and then a barrier layer is deposited on the substrate. A seed layer is then deposited on the substrate. Finally, a metal layer is electroplated on the seed layer to fill the hole in the dielectric layer.[0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments that are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0013]
  • FIG. 1 illustrates processing sequences according to various embodiments of the invention described herein. [0014]
  • FIGS. 2A-2D are schematic cross section views of an exemplary structure at different stages of an interconnect fabrication sequence according to embodiments of the invention. [0015]
  • FIG. 3 illustrates a schematic, partial cross section of an [0016] exemplary processing chamber 200 for forming a thin barrier layer according to deposition techniques described herein.
  • FIG. 4 illustrates a processing sequence according to various embodiments of the invention. [0017]
  • FIG. 5 is a graph showing the amount of tantalum deposited on different substrates in various embodiments of the invention described herein. [0018]
  • FIG. 6 is a graph showing the amount of tantalum deposited on different substrates having different atomic % carbon in various embodiments of the invention described herein. [0019]
  • FIG. 7 is a graph showing the amount of tantalum deposited on different substrates having different atomic % silicon in various embodiments of the invention described herein. [0020]
  • FIG. 8 is a graph showing the amount of tantalum deposited on different substrates having different atomic % oxygen in various embodiments of the invention described herein. [0021]
  • FIGS. 9A-9D are schematic cross section views of an exemplary structure at different stages of an interconnect fabrication sequence according to embodiments of the invention. [0022]
  • FIG. 10 illustrates a processing sequence according to various embodiments of the invention. [0023]
  • FIG. 11 illustrates a schematic plan view of an exemplary integrated cluster tool adaptable to perform embodiments of the interconnect fabrication sequence described herein. [0024]
  • FIG. 12 is a transmission electron micrograph (TEM) of a substrate processed according to an embodiment of the invention. [0025]
  • FIG. 13 is a TEM of a processed substrate according to the prior art.[0026]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • A process sequence for forming one or more interconnect structures is provided. Interconnect structures formed according to embodiments described herein have an overall lower resistivity and better electrical properties than interconnects of the prior art, and are particularly useful for making memory and logic structures in the fabrication of integrated circuits. The formation of the interconnect structures includes the formation of a thin barrier layer at least partially deposited on an underlying metal plug, a seed layer at least partially deposited on the barrier layer, and a bulk metal layer at least partially deposited on the seed layer. The term “interconnect” as used herein refers to any conductive path formed within an integrated circuit. The term “bulk” as used herein refers to a greater thickness of material deposited in relation to the thickness of other materials deposited to form the interconnect structure. [0027]
  • Embodiments of the invention provide a process integration method or sequence for the deposition of barrier layers. It is believed that the combination of the pre-cleaning process and the barrier layer deposition described herein contributes to the formation of barrier layers that do not infiltrate significantly into the dielectric layers upon which they are deposited. Embodiments of the invention also enhance the nucleation and deposition of barrier layers. [0028]
  • FIG. 1 illustrates the process sequence according to embodiments of the invention. A thin barrier layer first is deposited at least partially on an underlying substrate surface, such as a lower level metal interconnect or a metal gate, for example, as shown at [0029] step 480. The barrier layer is deposited according to a cyclical layer deposition technique to provide excellent barrier properties yet permit a subsequently deposited metal layer to communicate with a metal plug without having to etch the barrier layer. In one aspect, the barrier layer is a refractory metal-containing layer, such as tantalum, titanium, and tungsten, for example, and may include a refractory metal nitride material, such as tantalum nitride (TaN). In another aspect, the barrier layer is a thin bi-layer of TaN and alpha-phase tantalum. In yet another aspect, the barrier layer may be a ternary material formed from a refractory metal containing compound, a silicon-containing compound and a nitrogen-containing compound. The barrier layer may also act as a wetting layer, adhesion layer, or glue layer for subsequent metallization.
  • A “thin layer” as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms (Å) or less, such as about 10 Å. The thickness of the barrier layer is so small/thin that electrons of the adjacent metal interconnects can tunnel through the barrier layer. Accordingly, the barrier layer significantly enhances metal interconnect electrical performance by lowering overall electrical resistance and providing good device reliability without having to perform an etch or other type of removal of the barrier layer. [0030]
  • The thin barrier layer deposited according to the cyclical deposition methods described herein shows evidence of an epitaxial growth phenomenon. In other words, the barrier layer takes on the same or substantially the same crystallographic characteristics as the underlying layer despite its amorphous character. As a result, a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer. Likewise, subsequent metal layers deposited over the barrier layer exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface. The resulting structure resembling a single crystal thus eliminates void formation, thereby substantially increasing device reliability. The single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the conformal and uniform crystalline orientation across the interconnect material interfaces reduces the susceptibility of electromigration and stress migration. [0031]
  • “Cyclical deposition” as used herein refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface. The two or more compounds are introduced sequentially into a reaction zone of a processing chamber. Each compound introduction is separated by a time delay/pause allowing each compound to adhere and/or react on the substrate surface. In one aspect, a first compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause. Next, a second compound B is dosed/pulsed into the reaction zone followed by a second time delay. When formation of a ternary material is desired, such as, for example, titanium silicon nitride, a third compound C, is dosed/pulsed into the reaction zone followed by a third time delay. These sequential tandem steps comprising a pulse of reactive compound followed by a time delay may be repeated until a desired film or film thickness is formed on the substrate surface. [0032]
  • A “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary, depending on the flow rate of the compound and/or the duration of the pulse. [0033]
  • A “compound” is intended to include one or more precursors, reductants, reactants, and/or catalysts. Each compound may be a single compound or a mixture/combination of two or more compounds. [0034]
  • Still referring to FIG. 1, a seed layer is at least partially deposited on the barrier layer, as shown at [0035] step 485. The seed layer may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. Preferably, the seed layer is deposited conformally on the underlying barrier layer to have a thickness between about 100 Å and about 500 Å. In one aspect, the seed layer is a conventional copper seed layer. In another aspect, the seed layer is a dual alloy seed layer. Exemplary dual alloy seed layers include: 1) undoped copper deposited utilizing a target containing undoped copper, 2) a copper alloy containing aluminum in a concentration of about 2.0 atomic percent deposited utilizing a copper-aluminum target comprising aluminum in a concentration of about 2.0 atomic percent, 3) a copper alloy containing tin in a concentration of about 2.0 atomic percent deposited utilizing a copper-tin target comprising tin in a concentration of about 2.0 atomic percent, and 4) a copper alloy containing zirconium in a concentration of about 2.0 atomic percent deposited utilizing a copper-zirconium target comprising zirconium in a concentration of about 2.0 atomic percent.
  • A bulk metal layer is at least partially deposited on the seed layer, as shown at [0036] step 487. The metal layer also may be deposited using any conventional deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), electroplating, or electroless plating. The metal layer preferably includes any conductive material such as, for example, aluminum, copper, tungsten, or combinations thereof.
  • FIGS. 2A-2D are schematic representations of an exemplary interconnect structure at different stages of fabrication. FIG. 2A shows a [0037] substrate 100 having an underlying metal layer 110 having a dielectric layer 112 formed thereon. The underlying metal layer 110 may contain any conductive metal such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as a plug, via, contact, line, wire, or be part of a metal gate electrode. The dielectric layer 112 may be any dielectric material including a low k dielectric material (k≦4.0), whether presently known or yet to be discovered. For example, the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example. FIG. 2 shows the dielectric layer 112 etched to form a feature 114. Such an etch may be performed using conventional techniques. The feature 114 may be a plug, via, contact, line, wire, or any other interconnect component. Typically, the feature 114 has vertical sidewalls 116 and a floor 118, having an aspect ratio of about 4:1 or greater, such as about 6:1. The floor 118 exposes at least a portion of the lower level metal interconnect 110.
  • Referring to FIG. 2B, the [0038] barrier layer 130 is conformally deposited on the floor 118 as well as the side walls 116 of the feature 114. Preferably, the barrier layer contains tantalum nitride deposited to a thickness of about 20 Å or less, preferably about 10 Å, by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein. Exemplary tantalum-containing compounds include t-butylimino tris(diethylamino) tantalum (TBTDET), pentakis (ethylmethylamino), tantalum (PEMAT), pentakis (dimethylamino) tantalum (PDMAT), pentakis (diethylamino) tantalum (PDEAT), t-butylimino tris(diethyl methylamino) tantalum(TBTMET), t-butylimino tris(dimethyl amino) tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride ((Cp)2TaH3), bis(methylcyclopentadienyl) tantalum trihydride ((CpMe)2TaH3), derivatives thereof, and combinations thereof. Exemplary nitrogen-containing compounds include ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, derivatives thereof, and combinations thereof.
  • It is to be understood that these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. For example, PDMAT is a solid at room temperature and TBTDET is a liquid at room temperature. Accordingly, such non-gas phase precursors are subjected to a sublimation or vaporization step, both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art. [0039]
  • Pulses of the reactive compounds are performed sequentially, accompanied by a separate flow of non-reactive gas at a rate between about 200 sccm and about 1,000 sccm. The flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the flow of non-reactive gas may be continuous throughout the deposition process. The flow of non-reactive gas, whether pulsed or continuous, serves to remove any excess reactants from the reaction zone. This is done to prevent unwanted gas phase reactions of the reactive compounds, and to remove reaction by-products from the processing chamber, in a function similar to a purge gas. In addition, the flow of non-reactive gas aids in delivery of the reactive compounds to the substrate surface, similar to the function of a carrier gas. The term “non-reactive gas” as used herein refers to a single gas or a mixture of gases that is not a reactant in the formation of the interconnect structure. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof. [0040]
  • “Reaction zone” is intended to include an area that is in fluid communication with a substrate surface being processed. The reaction zone may be an area or volume within a processing chamber that is between a gas source and the substrate surface. For example, the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed. [0041]
  • The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound and/or the process environment, the temperature of the compound and/or the process environment, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times also may vary based upon the type of layer being formed and the geometry of the device being formed. [0042]
  • Typically, with processing conditions and equipment currently used in the art, the duration for each pulse/dose or “dose time” is about 1.0 second or less. However, dose time can range from microseconds to milliseconds to seconds, and even to minutes, depending on factors such as those described above. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto the surface of the substrate and form a layer. [0043]
  • FIG. 3 illustrates a schematic, partial cross section of an [0044] exemplary processing chamber 200 for forming a barrier layer according to embodiments of the present invention. Such a processing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description of chamber 200 follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference.
  • The [0045] processing chamber 200 may be integrated into an integrated processing platform, such as an Endura® platform also available from Applied Materials, Inc. Details of the Endura® platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated by reference herein.
  • Referring to FIG. 3, the [0046] chamber 200 includes a chamber body 202 having a slit valve 208 formed in a sidewall 204 and a substrate support 212. The substrate support 212 is mounted to a lift motor 214 to raise and lower the substrate support 212 and a substrate 210 disposed thereon. The substrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 210 to the substrate support 212 during processing. Further, the substrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 212. A purge ring 222 may be disposed on the substrate support 212 to define a purge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210.
  • A [0047] gas delivery apparatus 230 is located at an upper portion of the chamber body 202 to provide a gas, such as a process gas and/or a purge gas, to the chamber 200. A vacuum system 278 is in communication with a pumping channel 279 to evacuate gases from the chamber 200 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 266 of the chamber 200.
  • The [0048] gas delivery apparatus 230 includes a chamber lid 232 having an expanding channel 234 formed within a central portion thereof. The chamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of the chamber lid 232. The bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on the substrate support 212. The expanding channel 234 has an inner diameter that gradually increases from an upper portion 237 to an intermediate portion 272 to a lower portion 235 adjacent the bottom surface 260 of the chamber lid 232. The velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas. The decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210.
  • The [0049] gas delivery apparatus 230 also includes at least two high speed actuating valves 242A and 242B having one or more ports. At least one valve 242A, 242B is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound. For example, if a silicide is desired, the additional compound may be a silicon-containing compound.
  • The [0050] valves 242A and 242B may be any valve capable of precisely and repeatedly delivering short pulses of compounds into the chamber body 202. In some cases, the on/off cycles or pulses of the valves 242A, 242B may be as fast as about 100 msec or less. The valves 242A, 242B can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System For ALD Chamber”, filed on Mar. 7, 2001, which is incorporated by reference herein. For example, the valves 242A, 242B may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD.
  • To facilitate the control and automation of the overall system, the integrated processing system may include a [0051] controller 280 comprising a central processing unit (CPU), memory, and support circuits. The CPU may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures. The memory is connected to the CPU, and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • In a particular embodiment, a TaN barrier layer is formed by cyclically introducing PDMAT and ammonia to the substrate surface. To initiate the cyclical deposition of the TaN layer, a carrier/inert gas such as argon is introduced, such as at a flow rate of about 50 sccm to about 250 sccm for about 0.1 seconds to about 2 seconds, into the [0052] processing chamber 200 to stabilize the pressure and temperature therein. The carrier gas is allowed to flow continuously during the deposition process such that only the argon flows between pulses of each compound. A first pulse of PDMAT is provided from the gas source 238 using an argon carrier flow at a flow rate between about 50 sccm and about 500 sccm, with a pulse time of about 0.1 seconds to about 5 seconds after the chamber temperature and pressure have been stabilized at about 200° C. to about 300° C. and about 1 Torr to about 5 Torr. A pulse of ammonia is then provided from the gas source 239 at a flow rate between about 250 sccm and about 2500 sccm, with a pulse time of about 0.1 seconds to about 10 seconds.
  • A pause between pulses of PDMAT and ammonia is about 0.1 seconds to about 5 seconds. In various aspects, a reduction in time between pulses provides higher processing throughput. In addition, argon gas flowing between about 1000 sccm and about 10 slm is provided continuously from the [0053] gas source 240 through each valve 242A, 242B. In one aspect, a pulse of PDMAT may still be in the chamber when a pulse of ammonia enters. In general, the duration of the carrier gas and/or pump evacuation should be long enough to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
  • The heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of PDMAT, a pause, a pulse of ammonia, and a second pause may form a tantalum nitride film having a thickness of between about 0.3 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until the desired film thickness is achieved. Such a desired thickness in this case is less than about 20 Å, and typically is about 10 Å. Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles. [0054]
  • In another aspect, a ternary barrier layer having a thickness less than about 20 Å, such as 10 Å, is deposited by providing one or more pulses of a refractory metal-containing compound, one or more pulses of a nitrogen-containing compound, and one or more pulses of a silicon-containing compound. Each pulse is adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer. A “ternary barrier layer” as used herein refers to a material having a composition comprising three major elements, such as titanium, nitrogen and silicon. An exemplary “ternary barrier layer” may also include tantalum, nitrogen and silicon. [0055]
  • Each pulse is performed sequentially, and is accompanied by a separate flow of carrier/inert gas at the same process conditions described above. The flow of carrier/inert gas may be pulsed between each pulse of reactive compound or the flow of carrier/inert gas may be continuous throughout the deposition process. [0056]
  • Preferably, the ternary barrier layer is comprised of titanium silicon nitride. In such an embodiment, each cycle consists of a pulse of a titanium-containing compound, a first pause, a pulse of a silicon-containing compound, a second-pause, a pulse of a nitrogen-containing compound, and a third pause. Exemplary titanium-containing compound include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCl[0057] 4), titanium iodide (Til4), titanium bromide (TiBr4), and other titanium halides. Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane (SiH3Cl), dichlorosilane (SiH2Cl2), and trichlorosilane (SiHCl3). Exemplary nitrogen-containing compounds include: ammonia, hydrazine, methylhydrazine, dimethylhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, derivatives thereof, and combinations thereof.
  • To initiate the cyclical deposition of a Ti[0058] xSiyN layer, argon is introduced into the processing chamber 200 to stabilize the pressure and temperature therein. The flow of argon is continuous during the deposition process and only the argon flows between the pulses of each reactant compound. The flow of argon is between about 100 sccm and about 1000 sccm, such as between about 100 sccm and about 400 sccm. In one embodiment, the chamber pressure and temperature are stabilized at about 250° C. and 2 Torr, and a pulse of TDMAT is provided at a flow rate between about between about 10 sccm and about 1000 sccm, with a pulse time of about 0.6 seconds or less. A pause after the pulse of TDMAT and before the pulse of silane is about 1.0 second or less, preferably about 0.5 seconds or less, more preferably about 0.1 seconds or less. A pulse of silane is then provided at a flow rate between about 5 sccm and about 500 sccm, with a pulse time of 1 second or less. A pause after the pulse of silane and before the pulse of ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. A pulse of ammonia is then provided at a flow rate between about 100 sccm and about 5,000 sccm, with a pulse time of about 0.6 seconds or less. A pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. In one aspect, a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
  • The heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until the desired thickness is achieved. Typically, the desired thickness is less than about 20 Å, such as about 1.0 Å. Accordingly, the deposition method requires between 10 and 70 cycles. [0059]
  • In yet another aspect, an alpha phase tantalum (α-Ta) layer having a thickness of about 20 Å or less, such as about 10 Å, may be deposited over at least a portion of the previously deposited binary (TaN) or ternary (TiSiN) layers. The α-Ta layer may be deposited using conventional techniques, such as PVD and CVD for example, to form a bilayer stack. For example, the bilayer stack may include a TaN portion deposited by cyclical layer deposition described above and an α-Ta portion deposited by high density plasma physical vapor deposition (HDP-PVD). [0060]
  • To further illustrate, the α-Ta portion of the stack may be deposited using an ionized metal plasma (IMP) chamber, such as a Vectra™ chamber, available from Applied Materials, Inc. of Santa Clara, Calif. The IMP chamber includes a target, coil, and biased substrate support member, and may also be integrated into an Endura® platform, also available from Applied Materials, Inc. A power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil. A power between about 200 W and about 500 W at a frequency of about 13.56 MHz is also applied to the substrate support member to bias the substrate. Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm. The pressure of the chamber is typically between about 5 mTorr to about 100 mTorr, while the temperature of the chamber is between about 20° C. and about 300° C. [0061]
  • Referring again to FIG. 2, prior to depositing the [0062] barrier layer 130, the patterned or etched substrate dielectric layer 112 is pre-cleaned. For example, reactive gases are excited into a plasma within a remote plasma source chamber such as a Reactive Pre-clean chamber or a Pre-Clean II chamber available from Applied Materials, Inc., located in Santa Clara, Calif. Pre-cleaning may also be done within a metal CVD or PVD chamber by connecting the chamber to a remote plasma source. Alternatively, metal deposition chambers having gas delivery systems could be modified to deliver the pre-cleaning gas plasma through existing gas inlets such as a gas distribution showerhead positioned above the substrate.
  • In one aspect, the reactive pre-clean process forms radicals from one or more plasmas from one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof. The oxidizing gases may be selected from the group of oxygen, ozone, nitrous oxide, nitric oxide, and H[0063] 2O gas.
  • The etched [0064] substrate dielectric layer 112 may be pre-cleaned as part of an integrated substrate processing sequence. A brief example of a processing sequence is shown in FIG. 4. First, a dielectric layer is deposited on a substrate, as shown at step 152. The dielectric layer is then etched, to form a feature therein, as shown at step 154 using conventional photoresist patterning and etching techniques. The photoresist is then stripped from the substrate, as shown at step 156, and the substrate is degassed, as shown at step 158. Next, the substrate is pre-cleaned, as shown at step 160. After pre-cleaning, a barrier layer is deposited on the substrate, as shown at step 162, a seed layer is deposited on the substrate, as shown at step 164, and a metal layer is electroplated on the seed layer, as shown at step 166.
  • While the pre-cleaning of the substrate may be performed with a plasma from any of the gases described above, it was unexpectedly and surprisingly found that pre-cleaning particular dielectric layer materials with a plasma from particular gases enhanced the deposition of TaN barrier layers that were subsequently deposited on the substrate by a cyclical deposition process, such as atomic layer deposition. FIG. 5 shows that the amount of TaN deposited on a substrate, after 20 cycles of atomic layer deposition of TaN, as estimated by the amount of Ta on the substrate, was increased when substrates with exposed carbon-containing low k dielectric materials such as silicon oxycarbide, oxygen-doped silicon carbide, and carbon-based dielectric materials were pre-cleaned with argon after being degassed. FIGS. 6, 7, and [0065] 8 respectively show the atomic % carbon, atomic % silicon, and atomic % oxygen, as measured by Auger Electron Spectroscopy, at the surface of the dielectric materials of FIG. 5 versus the amount of Ta on the substrate. As used herein, “atomic %” is calculated without hydrogen. FIGS. 5, 6, 7, and 8 show that degassed and argon pre-cleaned carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95% atomic carbon have improved TaN deposition compared to carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95% atomic carbon but are not degassed and argon pre-cleaned. In particular, carbon-containing dielectric layers that contain about 30 atomic % carbon to about 95 atomic % carbon have improved TaN deposition, as estimated by the amount of Ta on the substrate, compared to carbon-containing dielectric layers that contain about 30 atomic % carbon to about 95% atomic carbon but are not degassed and argon pre-cleaned. A plasma from argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, hydrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon may be used instead of the argon plasma for pre-cleaning low k carbon-containing dielectric layers, such as carbon-containing dielectric layers that contain about 20 atomic % carbon to about 95 atomic % carbon or about 30 atomic % carbon to about 95 atomic % carbon. The oxidizing gas may be selected from the group of oxygen, ozone, nitrous oxide, nitric oxide, and H2O gas.
  • It is believed that pre-cleaning carbon-containing dielectric layers with a plasma from argon, argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon may improve the nucleation rate of TaN deposition by a cyclical deposition process because pre-cleaning carbon-containing dielectric layers with any of these plasmas can create a thin, e.g., 50 Å, oxide-like surface on carbon-containing dielectric materials that typically do not have a large amount of oxygen at their surface. For example, a carbon-based dielectric layer that was 1.25 atomic % oxygen at its surface before pre-cleaning with argon was 6.88 atomic % oxygen at its surface after pre-cleaning with argon. The atomic % oxygen at the. surface of other dielectric materials before and after argon pre-cleaning is shown in FIG. 8. It is believed that the formation of Ta—O bonds on an oxide-like surface or a hydroxyl group terminated surface of a pre-cleaned substrate may enhance the nucleation rate of TaN on the substrate. [0066]
  • In any of the embodiments of processing sequences described below, the dielectric layer may be a carbon-containing dielectric layer, such as a carbon-containing dielectric layer that contains about 20 atomic % carbon to about 95 atomic % carbon or about 30 atomic % carbon to about 95 atomic % carbon, and the pre-cleaning may be performed with a plasma from argon, argon and an oxidizing gas, helium and an oxidizing gas, nitrogen, hydrogen, or a first plasma from an oxidizing gas followed by a second plasma from argon, as described above. [0067]
  • One embodiment of a processing sequence will now be described with respect to FIGS. 2 and 4. A [0068] dielectric layer 112 is deposited in step 152 on an underlying metal layer 110 of a substrate 100. The underlying metal layer 110 may be a copper layer or include copper. As discussed above, the dielectric layer 112 may be any dielectric material including a low k dielectric material (k≦4.0), whether presently known or yet to be discovered. For example, the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example. The dielectric layer 112 may be a porous low k layer, such as a porous carbon doped silicon oxide. The dielectric layer may include fluorine-doped silicon glass (FSG), Black Diamond™ film, available from Applied Materials, Inc., of Santa Clara, Calif., extremely low k (elk) dielectric film, or combinations thereof. The dielectric layer 112 may be deposited by conventional techniques in a plasma processing chamber, such as a Producer® Chamber or a DxZ® Chamber, both of which are available from Applied Materials, Inc., of Santa Clara, Calif.
  • Next, the dielectric layer is etched in [0069] step 154. The dielectric layer 112 may be etched using conventional photoresist patterning and etching techniques to form a feature 114 therein, such as a hole. The photoresist is then stripped from the substrate in step 156, using conventional photoresist removal techniques. After the photoresist is stripped, the substrate 100 is degassed in step 158, such as by, for example, heating the substrate at about 250° C. to about 400° C., for about 30 to about 180 seconds at a pressure between about 10−7 to about 10 torr. For example, the substrate may be heated at about 350° C. for about 100 seconds.
  • After the [0070] substrate 100 is degassed, the substrate is then pre-cleaned in step 160. The pre-cleaning may modify, e.g., densify, the exposed surface, including the sidewalls 116 of the feature 114, of the etched substrate dielectric layer 112. Pre-cleaning the etched dielectric layer 112 may include exposing the substrate 100 to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof. The substrate 100 may be exposed to the plasma(s) in a pre-clean chamber, such as a Pre-Clean II Chamber, available from Applied Materials, Inc., of Santa Clara, Calif. Other types of plasma processing chambers may be used. The one or more gases are flowed into the chamber at a rate of between about 5 sccm and about 500 sccm. Typically, the plasma(s) are generated in a processing chamber by supplying RF power, such as about 300 watts (W), to a coil surrounding the processing chamber and supplying RF bias, such as about 300 W, to a substrate support member supporting the substrate. Alternatively, the plasma may be generated using a remote plasma source or both a remote plasma source and an in situ RF power source. Preferably, the substrate 100 is exposed to a plasma of argon, nitrogen, or a nitrogen and hydrogen mixture. The substrate 100 may be exposed to the plasma for between about 1 second and about 60 seconds. The length of the plasma exposure may be varied according to the plasma source gases used and the composition of the substrate.
  • Optionally, following the pre-cleaning, the [0071] substrate 100 may be exposed (not shown) to a hydrogen plasma or exposed to a thermal hydrogen treatment, e.g., a flow of hydrogen in the absence of radio frequency power at a temperature above about 250° C. It is believed that exposing the substrate to hydrogen may reduce any metal oxides, such as copper oxide, that may have formed on the floor 118 of the feature 114 during previous substrate processing steps.
  • After the [0072] substrate 100 is pre-cleaned and optionally exposed to a hydrogen plasma or a thermal hydrogen treatment, a barrier layer 130 is deposited on the substrate 100, as shown in FIG. 2B and described in step 162 of FIG. 4. The barrier layer 130 may be deposited by a cyclical deposition process, such as the cyclical deposition processes described herein. For example, a barrier layer of TaN, such as a 10 Å barrier layer, may be deposited by a cyclical deposition process. Alternatively, the barrier layer may be deposited by a chemical vapor deposition process. For example, a barrier layer of TiSiN may be deposited by chemical vapor deposition.
  • Referring to FIG. 2C and FIG. 4, the [0073] seed layer 140 may be deposited on the barrier layer 130 in step 164 using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage. One example of a HDP-PVD chamber is the Self-Ionized Plasma SIP™ chamber, available from Applied Materials, Inc. of Santa Clara, Calif., which may be integrated into an Endura® platform, available from Applied Materials, Inc. Of course, other techniques, such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
  • A typical SIP™ chamber includes a target, coil, and biased substrate support member. To form the copper seed layer, a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil. A power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate. Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm. The pressure of the chamber is typically between about 5 mTorr to about 100 mTorr. [0074]
  • Alternatively, a [0075] seed layer 140 consisting of copper or containing a copper alloy may be deposited in step 164 by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques. Preferably, a copper alloy seed layer 140 contains aluminum and is deposited using a PVD technique described above. During deposition, the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr. The target includes copper and between about 0 and about 5 atomic weight percent of aluminum. The target may be DC-biased at a power between about 5 kW and about 100 kW. The pedestal may be RF-biased at a power between about 10 W and about 1000 W. The copper or copper alloy seed layer 140 is deposited to a thickness of at about 100 Å to about 1500 Å, such as about 500 Å, on the top surface of the substrate.
  • Referring to FIG. 2D, the [0076] metal layer 142 is preferably copper and deposited on seed layer 140 using CVD, PVD, electroplating, or electroless techniques. The metal layer may be deposited on the seed layer by electroplating, as described in step 166 of FIG. 4. Preferably, the copper layer 142 is formed within an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif. The Electra™ Cu ECP system may also be integrated into an Endura® platform also available from Applied Materials, Inc.
  • A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein. The electroplating bath may contain various additives known in the art. The temperature of the bath typically is between about 15° C. and about 25° C., with a bias between about −15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about −0.1 to about −10 volts. [0077]
  • Optionally, an anneal treatment may be performed following the [0078] metal layer 142 deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C., such as about 350° C., for about 10 minutes to about 1 hour, preferably about 30 minutes. A carrier/purge gas such as, helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm. The chamber pressure is maintained between about 2 Torr and about 10 Torr. The RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • Following deposition, the top portion of the resulting structure may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Santa Clara, Calif., for example. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above. [0079]
  • In another aspect of the invention, a [0080] substrate 300 having an etch stop 310, as shown in FIGS. 9A-9D, may be pre-cleaned as part of an integrated substrate processing sequence. A brief summary of an example of a processing sequence is shown in FIG. 10. FIG. 10 shows first that an etch stop is deposited on a substrate, as shown at step 172. Next, a dielectric layer is deposited on the etch stop, as shown at step 174. The dielectric layer is etched to the etch stop using conventional photoresist patterning and etching techniques, as shown at step 176, and then the substrate is degassed, as shown at step 178. Next, the substrate is pre-cleaned, as shown at step 180. The etch stop is then etched, as shown at step 182, and the photoresist is stripped from the substrate, as shown at step 184. A barrier layer is then deposited on the substrate, as shown at step 186, a seed layer is deposited on the substrate, as shown at step 188, and a metal layer is electroplated on the seed layer, as shown at step 190.
  • One embodiment of a processing sequence will now be described with respect to FIGS. [0081] 9A-D and 10. An etch stop layer 310 is deposited in step 172 on an underlying metal layer 302 of the substrate 300 before a dielectric layer 312 is deposited in step 174 on the substrate 300, as shown in FIG. 9A and described in FIG. 10. The underlying metal layer 302 may be a copper layer or include copper. The etch stop layer 310 may be a SixNy layer. As discussed above, the dielectric layer 312 may be any dielectric material including a low k dielectric material (k≦4.0), whether presently known or yet to be discovered. For example, the dielectric layer 312 may be a silicon oxide or a carbon doped silicon oxide. The dielectric layer 312 may be a porous low k layer, such as a porous carbon doped silicon oxide. The dielectric layer may include fluorine-doped silicon glass (FSG), Black Diamond™ film, available from Applied Materials, Inc., of Santa Clara, Calif., extremely low k (elk) dielectric film, or combinations thereof. The dielectric layer 312 may be deposited by conventional techniques in a plasma processing chamber, such as a Producer® Chamber or a DxZ® Chamber.
  • Next, the dielectric layer is etched to the etch stop in [0082] step 176. The dielectric layer 312 may be etched using conventional photoresist patterning and etching techniques to form a feature 314 therein, such as a hole. While the dielectric layer 312 is etched, preferably, the etch stop layer 310 is not etched. After the dielectric layer 312 is etched, the substrate 300 is degassed in step 178, such as by, for example, heating the substrate to about 350° C. for about 100 seconds.
  • After the substrate is degassed, the substrate is then pre-cleaned in [0083] step 180. The pre-cleaning may modify, e.g., densify, the exposed surface 316 of the etched substrate dielectric layer 312. Pre-cleaning the etched dielectric layer 312 may include exposing the substrate 300 to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases, and combinations thereof. By pre-cleaning the substrate before the etch stop layer 310 is removed, re-sputtering of the underlying layer 302 onto the exposed surface 316 of the etched dielectric layer 312 is minimized. The substrate 300 may be exposed to the plasma in a pre-clean chamber, such as a Pre-Clean II Chamber. Alternatively, the substrate may be pre-cleaned in the same chamber in which the dielectric layer 312 is etched. Other types of plasma processing chambers may be used. Typically, the plasma is generated in a processing chamber by supplying RF power, such as about 300 watts (W), to a coil surrounding the processing chamber and supplying RF bias, such as about 300 W, to a substrate support member supporting the substrate. Preferably, the substrate is exposed to a plasma of argon. The substrate may be exposed to the plasma for between about 1 second and about 60 seconds.
  • After the pre-clean, the [0084] etch stop 310 is removed in step 182 from the bottom of the feature 314, such as by conventional etching techniques. Residual photoresist (not shown) remaining on the substrate is removed in step 184. The photoresist may be removed in the same chamber in which the etch stop is removed, or in a different chamber.
  • Optionally, following the removal of the etch stop and the photoresist, the substrate may be exposed to a hydrogen plasma or exposed to a thermal hydrogen treatment, e.g., a flow of hydrogen in the absence of radio frequency power at a temperature above about 250° C. It is believed that exposing the substrate to hydrogen may reduce any metal oxides, such as copper oxide, that may form on the underlying metal layer that is exposed after the removal of the etch stop. Optionally, the substrate is degassed and pre-cleaned again, using the same methods as described above with respect to the degas and pre-cleaning steps performed before the removal of the etch stop. The second degas and pre-clean may help remove moisture or contaminants absorbed on the substrate surface. [0085]
  • A [0086] barrier layer 330 is deposited in step 186 on the substrate 300, as shown in FIG. 9B. The barrier layer 330 may be deposited by a cyclical deposition process, such as any of the cyclical deposition processes described herein. For example, a barrier layer of TaN, such as a 10 A barrier layer, may be deposited by a cyclical deposition process. Alternatively, the barrier layer may be deposited by a chemical vapor deposition process. For example, a barrier layer of TiSiN may be deposited by chemical vapor deposition.
  • Referring to FIG. 9C, the [0087] seed layer 340 may be deposited in step 188 on the barrier layer 330 using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage. One example of a HDP-PVD chamber is the Self-Ionized Plasma SIP™ chamber. Of course, other techniques, such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
  • A typical SIP™ chamber includes a target, coil, and biased substrate support member. To form the copper seed layer, a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil. A power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate. Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm. The pressure of the chamber is typically between about 5 mTorr to about 100 mTorr. [0088]
  • Alternatively, a [0089] seed layer 340 consisting of copper or containing a copper alloy may be deposited by any suitable technique such as physical vapor deposition, chemical vapor deposition, electroless deposition, or a combination of techniques. Preferably, a copper alloy seed layer 340 contains aluminum and is deposited using a PVD technique described above. During deposition, the process chamber is maintained at a pressure between about 0.1 mtorr and about 10 mtorr. The target includes copper and between about 0 and about 5 atomic weight percent of aluminum. The target may be DC-biased at a power between about 5 kW and about 100 kW. The pedestal may be RF-biased at a power between about 10 W and about 1000 W. The copper or copper alloy seed layer 340 is deposited to a thickness of at about 100 Å to about 1500 Å, such as about 500 Å, on the top surface of the substrate.
  • Referring to FIG. 9D, the [0090] metal layer 342 is preferably copper and deposited on seed layer 340 using CVD, PVD, electroplating, or electroless techniques. The metal layer may be deposited on the seed layer by electroplating, as described in step 190 of FIG. 10. Preferably, the copper layer 342 is formed within an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif. The Electra™ Cu ECP system may also be integrated into an Endura® platform also available from Applied Materials, Inc.
  • A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein. The electroplating bath may contain various additives known in the art. The temperature of the bath typically is between about 15° C. and about 25° C., with a bias between about −15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about −0.1 to about −10 volts. [0091]
  • Optionally, an anneal treatment may be performed following the [0092] metal layer 342 deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C., such as about 350° C., for about 10 minutes to about 1 hour, preferably about 30 minutes. A carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm. The chamber pressure is maintained between about 2 Torr and about 10 Torr. The RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • Following deposition, the top portion of the resulting structure may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Santa Clara, Calif., for example. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above. [0093]
  • FIG. 11 is a schematic top-view diagram of an exemplary multi-chamber processing system [0094] 600 that may be adapted to perform processes as disclosed herein. Such a processing system 600 may be an Endura® system, commercially available from Applied Materials, Inc., of Santa Clara, Calif. A similar multi-chamber processing system is disclosed in U.S. Pat. No. 5,186,718, entitled “Stage Vacuum Wafer Processing System and Method,” issued on Feb. 16, 1993, which is incorporated by reference herein.
  • The system [0095] 600 generally includes load lock chambers 602, 604 for the transfer of substrates into and out from the system 600. Typically, since the system 600 is under vacuum, the load lock chambers 602, 604 may “pump down” the substrates introduced into the system 600. A first robot 610 may transfer the substrates between the load lock chambers 602, 604, and a first set of one or more substrate processing chambers 612, 614, 616, 618, (four are shown). Each processing chamber 612, 614, 616, 618, can be outfitted to perform a number of substrate processing operations such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes. The first robot 610 also transfers substrates to/from one or more transfer chambers 622, 624.
  • The [0096] transfer chambers 622, 624, are used to maintain ultrahigh vacuum conditions while allowing substrates to be transferred within the system 600. A second robot 630 may transfer the substrates between the transfer chambers 622, 624 and a second set of one or more processing chambers 632, 634, 636, 638. Similar to processing chambers 612, 614, 616, 618, the processing chambers 632, 634, 636, 638 can be outfitted to perform a variety of substrate processing operations, such as cyclical layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, and orientation, for example. Any of the substrate processing chambers 612, 614, 616, 618, 632, 634, 636, 638 may be removed from the system 600 if not necessary for a particular process to be performed by the system 600.
  • In one arrangement, each [0097] processing chamber 632 and 638 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a seed layer; each processing chamber 634 and 636 may be a cyclical deposition chamber, a chemical vapor deposition chamber, or a physical vapor deposition chamber adapted to deposit a barrier layer; each processing chamber 612 and 614 may be a physical vapor deposition chamber, a chemical vapor deposition chamber, or a cyclical deposition chamber adapted to deposit a dielectric layer; and each processing chamber 616 and 618 may be an etch chamber outfitted to etch apertures, e.g., holes, or openings for interconnect features. The operation of the system 600 may be controlled by a microprocessor controller 650. The microprocessor controller 650 may be any device or combination of devices configured to implement the inventive operations provided herein. As such, the microprocessor controller 650 may be a controller or array of controllers and/or a general purpose computer configured with software which, when executed, performs the inventive operations. This one particular arrangement of the system 600 is provided to illustrate the invention and should not be used to limit the scope of the invention.
  • The following example is intended to provide a non-limiting illustration of an embodiment of the present invention. [0098]
  • EXAMPLE
  • An extremely low k (k<3) dielectric layer was deposited on a substrate. The dielectric layer was then etched to form a feature, such as a hole, therein, and an etch stop layer at the bottom of the feature is also at least partially etched. The substrate was degassed at 350° C. for about 100 seconds. The substrate was pre-cleaned in a Pre-Clean II chamber under the following conditions: 300 W of RF power was applied to the coil surrounding the chamber, a RF bias of 300 W was applied to the substrate support member supporting the substrate, and the substrate was pre-cleaned with an argon plasma for about 23 seconds. A TaN barrier layer was then deposited on the substrate from a sequential exposure of PDMAT and NH[0099] 3. The TaN barrier layer was deposited by first introducing argon into the chamber at 100 sccm for 0.1 seconds, and then alternately pulsing NH3 at 1000 sccm for 1 second and PDMAT carried in an argon flow of 100 sccm for 0.5 seconds, with a flow of argon continuing between the pulses at 1000 sccm for 0.5 seconds. A copper seed layer was then deposited on the barrier layer. A copper fill layer was electroplated on the copper seed layer. A low temperature anneal of 250° C. was performed before performing CMP on the substrate. After CMP, the substrate was annealed at about 350° C. for about 30 minutes.
  • FIG. 12 shows a drawing of a TEM of a substrate processed according to the Example. FIG. 13 shows a drawing of a TEM of a substrate process according to the Example, except that a pre-cleaning step was not performed. In FIG. 12, the [0100] TaN barrier layer 704 separates the copper layer 700 from the dielectric layer 702, and in FIG. 13, the TaN barrier layer 724 separates the copper layer 720 from the dielectric layer 722. In FIG. 13, the region 726 of the dielectric layer immediately adjacent the barrier layer is hazy. It is believed that the haze is created by the diffusion of the barrier layer precursors into the dielectric layer, which is porous. In FIG. 12, there is little, if any haze in the region 706 of the dielectric layer immediately adjacent the barrier layer.
  • It is believed that the processing sequences including a plasma pre-cleaning described herein modify the exposed surfaces of dielectric layers such that diffusion of barrier layer precursors into the dielectric layers is minimized. It is believed that the exposed surfaces of the dielectric layers are densified by the plasma pre-cleans described herein, such as by reducing the size and number of pores on the surface of the dielectric layer. It is believed that at least some of the pores on the surface of the dielectric layer are “sealed” by the rearrangement of atoms in the dielectric layer upon exposure of the dielectric layer to the plasma in the pre-cleans described herein. [0101]
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0102]

Claims (29)

What is claimed is:
1. A method of processing a substrate, comprising:
depositing a low k dielectric layer on the substrate;
depositing and patterning a photoresist on the low k dielectric layer;
etching the low k dielectric layer to form a hole in the dielectric layer;
stripping the photoresist from the substrate;
degassing the substrate;
pre-cleaning the substrate, wherein pre-cleaning comprises exposing the substrate to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, oxidizing gases, fluorine-containing compounds, and combinations thereof;
depositing a barrier layer on the substrate;
depositing a seed layer on the substrate; and
electroplating a metal layer on the seed layer to fill the hole in the dielectric layer.
2. The method of claim 1, wherein the low k dielectric layer is porous.
3. The method of claim 2, wherein the pre-cleaning densifies an exposed portion of the porous low k dielectric layer.
4. The method of claim 1, wherein the low k dielectric layer is a porous oxygen-doped silicon carbide.
5. The method of claim 1, wherein the pre-cleaning comprises exposing the substrate to a plasma of argon.
6. The method of claim 1, wherein the pre-cleaning is performed for between about 1 second and about 60 seconds.
7. The method of claim 1, wherein the plasma is generated in a processing chamber by supplying RF power to a coil surrounding the processing chamber and supplying RF bias to a substrate support member supporting the substrate.
8. The method of claim 7, wherein the RF power to the coil is about 300 W and the RF bias to the substrate support member is about 300 W.
9. The method of claim 1, wherein the barrier layer is deposited by cyclical deposition.
10. The method of claim 9, wherein the barrier layer comprises TaN.
11. The method of claim 1, wherein the low k dielectric layer is a carbon-containing layer.
12. The method of claim 11, wherein the carbon-containing layer is about 20 atomic % carbon to about 95 atomic % carbon.
13. The method of claim 12, wherein the carbon-containing layer is about 30 atomic % carbon to about 95 atomic % carbon.
14. The method of claim 13, wherein the pre-cleaning comprises exposing the substrate to a plasma from argon.
15. A method of processing a substrate, comprising:
depositing an etch stop on the substrate
depositing a low k dielectric layer on the etch stop;
depositing and patterning a photoresist on the low k dielectric layer;
etching through the low k dielectric layer to the etch stop to form a hole in the dielectric layer;
degassing the substrate;
pre-cleaning the substrate, wherein pre-cleaning comprises exposing the substrate to one or more plasmas from one or more gases selected from the group of argon, helium, hydrogen, nitrogen, fluorine-containing compounds, oxidizing gases and combinations thereof;
etching the etch stop;
stripping the photoresist from the substrate;
depositing a barrier layer on the substrate;
depositing a seed layer on the substrate; and
electroplating a metal layer on the seed layer to fill the hole in the dielectric layer.
16. The method of claim 15, wherein the low k dielectric layer is etched and pre-cleaned in the same chamber.
17. The method of claim 15, wherein the low k dielectric layer is porous.
18. The method of claim 17, wherein the pre-cleaning densifies an exposed portion of the porous low k dielectric layer.
19. The method of claim 15, wherein the low k dielectric layer is a porous oxygen-doped silicon carbide.
20. The method of claim 15, wherein the pre-cleaning comprises exposing the substrate to a plasma of argon.
21. The method of claim 15, wherein the pre-cleaning is performed for between about 1 second and about 60 seconds.
22. The method of claim 15, wherein the plasma is generated in a processing chamber by supplying RF power to a coil surrounding the processing chamber and supplying RF bias to a substrate support member supporting the substrate.
23. The method of claim 22, wherein the RF power to the coil is about 300 W and the RF bias to the substrate support member is about 300 W.
24. The method of claim 15, wherein the barrier layer is deposited by cyclical deposition.
25. The method of claim 24, wherein-the barrier layer comprises TaN.
26. The method of claim 15, wherein the low k dielectric layer is a carbon-containing layer.
27. The method of claim 26, wherein the carbon-containing layer is about 20 atomic % carbon to about 95 atomic % carbon.
28. The method of claim 15, wherein the carbon-containing layer is about 30 atomic % carbon to about 95 atomic % carbon.
29. The method of claim 28, wherein the pre-cleaning comprises exposing the substrate to a plasma from argon.
US10/741,422 2003-01-07 2003-12-19 Integration of ALD/CVD barriers with porous low k materials Active 2024-06-08 US7244683B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/741,422 US7244683B2 (en) 2003-01-07 2003-12-19 Integration of ALD/CVD barriers with porous low k materials

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US43848003P 2003-01-07 2003-01-07
US10/741,422 US7244683B2 (en) 2003-01-07 2003-12-19 Integration of ALD/CVD barriers with porous low k materials

Publications (2)

Publication Number Publication Date
US20040256351A1 true US20040256351A1 (en) 2004-12-23
US7244683B2 US7244683B2 (en) 2007-07-17

Family

ID=32713333

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/741,422 Active 2024-06-08 US7244683B2 (en) 2003-01-07 2003-12-19 Integration of ALD/CVD barriers with porous low k materials

Country Status (3)

Country Link
US (1) US7244683B2 (en)
TW (1) TWI333234B (en)
WO (1) WO2004064147A2 (en)

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186793A1 (en) * 2004-01-26 2005-08-25 Seiichi Omoto Manufacturing method of semiconductor device
US20050253265A1 (en) * 2004-05-13 2005-11-17 International Business Machines Corporation Metal seed layer deposition
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20060088267A1 (en) * 2004-10-21 2006-04-27 Sumitomo Electric Industries, Ltd. Production method of optical waveguide device and optical waveguide device
WO2007041124A1 (en) * 2005-09-30 2007-04-12 Intel Corporation Amine-free deposition of metal-nitride films
US20070134899A1 (en) * 2005-12-12 2007-06-14 Fujitsu Limited Manufacture method for semiconductor device using damascene method
US20070148967A1 (en) * 2005-12-28 2007-06-28 Kim Sang C Method for Manufacturing Semiconductor Device
US20070155166A1 (en) * 2005-12-14 2007-07-05 Jong-Guk Kim Method and apparatus for depositing copper wiring
US20070161232A1 (en) * 2005-12-27 2007-07-12 Se Yeul Bae Method for forming metal interconnection in semicondutor damascene process
US20070190266A1 (en) * 2006-02-10 2007-08-16 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7402210B2 (en) * 2002-11-14 2008-07-22 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20080299761A1 (en) * 2007-06-01 2008-12-04 Macronix International Co., Ltd. Interconnection process
US20090056626A1 (en) * 2002-01-25 2009-03-05 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7691742B2 (en) 2004-07-20 2010-04-06 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7699023B2 (en) * 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20100327447A1 (en) * 2009-06-25 2010-12-30 Nec Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
CN102315156A (en) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20120111271A1 (en) * 2007-10-11 2012-05-10 Begarney Michael J Chemical vapor deposition reactor
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20120266821A1 (en) * 2005-01-18 2012-10-25 Asm America, Inc. Reaction system for growing a thin film
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
CN103295958A (en) * 2013-06-04 2013-09-11 上海华力微电子有限公司 Method for producing copper seed layers
US20130273735A1 (en) * 2008-01-11 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-Free Copper Metallization Process Using In-situ Baking
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
US20150144155A1 (en) * 2012-07-16 2015-05-28 Mattson Technology, Inc Method for High Aspect Ratio Photoresist Removal in Pure Reducing Plasma
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20150371943A1 (en) * 2005-08-23 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20180233352A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US20210384040A1 (en) * 2018-09-17 2021-12-09 Applied Materials, Inc. Methods for depositing dielectric material
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
US20220098729A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. System and method of cleaning process chambers using plasma
US20220130676A1 (en) * 2020-01-24 2022-04-28 Applied Materials, Inc. Methods and devices for subtractive self-alignment

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
WO2005017963A2 (en) 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7749893B2 (en) * 2006-12-18 2010-07-06 Lam Research Corporation Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8839504B2 (en) * 2008-05-13 2014-09-23 HGST Netherlands B.V. Method of fabricating a device having a sidegap
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8524329B2 (en) 2011-12-13 2013-09-03 Lam Research Corporation Electroless copper deposition
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
CN112204738A (en) * 2018-05-28 2021-01-08 株式会社大赛璐 Method for manufacturing semiconductor device
US10643899B2 (en) 2018-07-27 2020-05-05 International Business Machines Corporation Gate stack optimization for wide and narrow nanosheet transistor devices
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11508617B2 (en) 2019-10-24 2022-11-22 Applied Materials, Inc. Method of forming interconnect for semiconductor device
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (en) 2020-07-03 2023-03-03 应用材料公司 Method for refurbishing aircraft components
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337279A (en) * 1981-01-23 1982-06-29 Uop Inc. Method for increasing the peel strength of metal-clad polymers
US4568562A (en) * 1984-11-28 1986-02-04 General Dynamics, Pomona Division Method of electroless plating employing plasma treatment
US4735820A (en) * 1987-05-18 1988-04-05 International Business Machines Corporation Removal of residual catalyst from a dielectric substrate
US4803094A (en) * 1988-05-09 1989-02-07 Myers Richard A Metallized coating
US5017439A (en) * 1989-07-19 1991-05-21 Seagate Technology, Inc. Micro-contamination-free coating for die-cast component in magnetic disk drive
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5203957A (en) * 1991-06-12 1993-04-20 Taiwan Semiconductor Manufacturing Company Contact sidewall tapering with argon sputtering
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US20020074588A1 (en) * 2000-12-20 2002-06-20 Kyu-Mann Lee Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6548424B2 (en) * 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030082300A1 (en) * 2001-02-12 2003-05-01 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20030085408A1 (en) * 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
US20030097013A1 (en) * 2001-11-16 2003-05-22 Applied Materials, Inc. Nitrogen analogs of copper II beta-diketonates as source reagents for semiconductor processing
US20030096468A1 (en) * 2000-05-15 2003-05-22 Soininen Pekka J. Method of growing electrical conductors
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040016866A1 (en) * 2002-07-25 2004-01-29 Veutron Corporation Light source control method and apparatus of image scanner
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040087136A1 (en) * 2002-10-30 2004-05-06 Taiwan Semiconductor Manufacturing Company Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3594216A (en) 1969-06-19 1971-07-20 Westinghouse Electric Corp Vapor phase deposition of metal from a metal-organic beta-ketoamine chelate
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
FR2616030A1 (en) 1987-06-01 1988-12-02 Commissariat Energie Atomique PLASMA ETCHING OR DEPOSITION METHOD AND DEVICE FOR IMPLEMENTING THE METHOD
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5043299B1 (en) 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5464666A (en) 1995-02-06 1995-11-07 Air Products And Chemicals, Inc. Process for chemical vapor codeposition of copper and aluminum alloys
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6753258B1 (en) * 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6495447B1 (en) * 2001-06-26 2002-12-17 Advanced Micro Devices, Inc. Use of hydrogen doping for protection of low-k dielectric layers
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337279A (en) * 1981-01-23 1982-06-29 Uop Inc. Method for increasing the peel strength of metal-clad polymers
US4568562A (en) * 1984-11-28 1986-02-04 General Dynamics, Pomona Division Method of electroless plating employing plasma treatment
US4735820A (en) * 1987-05-18 1988-04-05 International Business Machines Corporation Removal of residual catalyst from a dielectric substrate
US4803094A (en) * 1988-05-09 1989-02-07 Myers Richard A Metallized coating
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5017439A (en) * 1989-07-19 1991-05-21 Seagate Technology, Inc. Micro-contamination-free coating for die-cast component in magnetic disk drive
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5203957A (en) * 1991-06-12 1993-04-20 Taiwan Semiconductor Manufacturing Company Contact sidewall tapering with argon sputtering
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5526244A (en) * 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5711811A (en) * 1994-11-28 1998-01-27 Mikrokemia Oy Method and equipment for growing thin films
US6015590A (en) * 1994-11-28 2000-01-18 Neste Oy Method for growing thin films
US20020041931A1 (en) * 1994-11-28 2002-04-11 Tuomo Suntola Method for growing thin films
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US20020031618A1 (en) * 1996-08-16 2002-03-14 Arthur Sherman Sequential chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6207302B1 (en) * 1997-03-04 2001-03-27 Denso Corporation Electroluminescent device and method of producing the same
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6174809B1 (en) * 1997-12-31 2001-01-16 Samsung Electronics, Co., Ltd. Method for forming metal layer using atomic layer deposition
US6379748B1 (en) * 1998-01-23 2002-04-30 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6372598B2 (en) * 1998-06-16 2002-04-16 Samsung Electronics Co., Ltd. Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6358829B2 (en) * 1998-09-17 2002-03-19 Samsung Electronics Company., Ltd. Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US6207487B1 (en) * 1998-10-13 2001-03-27 Samsung Electronics Co., Ltd. Method for forming dielectric film of capacitor having different thicknesses partly
US20020048635A1 (en) * 1998-10-16 2002-04-25 Kim Yeong-Kwan Method for manufacturing thin film
US20010000866A1 (en) * 1999-03-11 2001-05-10 Ofer Sneh Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US20010002280A1 (en) * 1999-03-11 2001-05-31 Ofer Sneh Radical-assisted sequential CVD
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20030101927A1 (en) * 1999-09-08 2003-06-05 Ivo Raaijmakers Apparatus and method for growth of a thin film
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US20030089308A1 (en) * 1999-09-08 2003-05-15 Ivo Raaijmakers Apparatus and method for growth of a thin film
US20030031807A1 (en) * 1999-10-15 2003-02-13 Kai-Erik Elers Deposition of transition metal carbides
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US20020000598A1 (en) * 1999-12-08 2002-01-03 Sang-Bom Kang Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
US20020020869A1 (en) * 1999-12-22 2002-02-21 Ki-Seon Park Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof
US6534395B2 (en) * 2000-03-07 2003-03-18 Asm Microchemistry Oy Method of forming graded thin films using alternating pulses of vapor phase reactants
US20030032281A1 (en) * 2000-03-07 2003-02-13 Werkhoven Christiaan J. Graded thin films
US6548424B2 (en) * 2000-04-14 2003-04-15 Asm Microchemistry Oy Process for producing oxide thin films
US6399491B2 (en) * 2000-04-20 2002-06-04 Samsung Electronics Co., Ltd. Method of manufacturing a barrier metal layer using atomic layer deposition
US20020081844A1 (en) * 2000-04-20 2002-06-27 In-Sang Jeon Method of manufacturing a barrier metal layer using atomic layer deposition
US6686271B2 (en) * 2000-05-15 2004-02-03 Asm International N.V. Protective layers prior to alternating layer deposition
US20030054631A1 (en) * 2000-05-15 2003-03-20 Ivo Raaijmakers Protective layers prior to alternating layer deposition
US20030096468A1 (en) * 2000-05-15 2003-05-22 Soininen Pekka J. Method of growing electrical conductors
US20040009307A1 (en) * 2000-06-08 2004-01-15 Won-Yong Koh Thin film forming method
US20020052097A1 (en) * 2000-06-24 2002-05-02 Park Young-Hoon Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20040018723A1 (en) * 2000-06-27 2004-01-29 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20020007790A1 (en) * 2000-07-22 2002-01-24 Park Young-Hoon Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method
US20020021544A1 (en) * 2000-08-11 2002-02-21 Hag-Ju Cho Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same
US20020076837A1 (en) * 2000-11-30 2002-06-20 Juha Hujanen Thin films for magnetic device
US6528432B1 (en) * 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US20020068458A1 (en) * 2000-12-06 2002-06-06 Chiang Tony P. Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber
US6569501B2 (en) * 2000-12-06 2003-05-27 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020073924A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076508A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Varying conductance out of a process region to control gas flux in an ALD reactor
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020076481A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US20020074588A1 (en) * 2000-12-20 2002-06-20 Kyu-Mann Lee Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same
US20030082300A1 (en) * 2001-02-12 2003-05-01 Todd Michael A. Improved Process for Deposition of Semiconductor Films
US20030013320A1 (en) * 2001-05-31 2003-01-16 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20030049942A1 (en) * 2001-08-31 2003-03-13 Suvi Haukka Low temperature gate stack
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US20030053799A1 (en) * 2001-09-14 2003-03-20 Lei Lawrence C. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030082296A1 (en) * 2001-09-14 2003-05-01 Kai Elers Metal nitride deposition by ALD with reduction pulse
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20030057527A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030072975A1 (en) * 2001-10-02 2003-04-17 Shero Eric J. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US20030079686A1 (en) * 2001-10-26 2003-05-01 Ling Chen Gas delivery apparatus and method for atomic layer deposition
US20030082307A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US20030082301A1 (en) * 2001-10-26 2003-05-01 Applied Materials, Inc. Enhanced copper growth with ultrathin barrier layer for high performance interconnects
US20030085408A1 (en) * 2001-11-02 2003-05-08 Neng-Hui Yang Oxygen-doped silicon carbide etch stop layer
US20030097013A1 (en) * 2001-11-16 2003-05-22 Applied Materials, Inc. Nitrogen analogs of copper II beta-diketonates as source reagents for semiconductor processing
US20030106490A1 (en) * 2001-12-06 2003-06-12 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US20030108674A1 (en) * 2001-12-07 2003-06-12 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030113187A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20040046197A1 (en) * 2002-05-16 2004-03-11 Cem Basceri MIS capacitor and method of formation
US20040018304A1 (en) * 2002-07-10 2004-01-29 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US20040011504A1 (en) * 2002-07-17 2004-01-22 Ku Vincent W. Method and apparatus for gas temperature control in a semiconductor processing system
US20040014320A1 (en) * 2002-07-17 2004-01-22 Applied Materials, Inc. Method and apparatus of generating PDMAT precursor
US20040018747A1 (en) * 2002-07-20 2004-01-29 Lee Jung-Hyun Deposition method of a dielectric layer
US20040015300A1 (en) * 2002-07-22 2004-01-22 Seshadri Ganguli Method and apparatus for monitoring solid precursor delivery
US20040016866A1 (en) * 2002-07-25 2004-01-29 Veutron Corporation Light source control method and apparatus of image scanner
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US20040033698A1 (en) * 2002-08-17 2004-02-19 Lee Yun-Jung Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US20040043630A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US20040087136A1 (en) * 2002-10-30 2004-05-06 Taiwan Semiconductor Manufacturing Company Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure

Cited By (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20070218688A1 (en) * 2000-06-28 2007-09-20 Ming Xi Method for depositing tungsten-containing layers by vapor deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7709385B2 (en) 2000-06-28 2010-05-04 Applied Materials, Inc. Method for depositing tungsten-containing layers by vapor deposition techniques
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7699023B2 (en) * 2001-10-26 2010-04-20 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US8123860B2 (en) * 2002-01-25 2012-02-28 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US20090056626A1 (en) * 2002-01-25 2009-03-05 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7867896B2 (en) 2002-03-04 2011-01-11 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7678194B2 (en) 2002-07-17 2010-03-16 Applied Materials, Inc. Method for providing gas to a processing chamber
US20080274299A1 (en) * 2002-11-14 2008-11-06 Ling Chen Apparatus and method for hybrid chemical processing
US7402210B2 (en) * 2002-11-14 2008-07-22 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US8070879B2 (en) * 2002-11-14 2011-12-06 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7591907B2 (en) * 2002-11-14 2009-09-22 Applied Materials, Inc. Apparatus for hybrid chemical processing
US20090308318A1 (en) * 2002-11-14 2009-12-17 Ling Chen Apparatus and method for hybrid chemical processing
US20080272494A1 (en) * 2004-01-26 2008-11-06 Kabushiki Kaisha Toshiba Semiconductor device
US7399706B2 (en) * 2004-01-26 2008-07-15 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US20050186793A1 (en) * 2004-01-26 2005-08-25 Seiichi Omoto Manufacturing method of semiconductor device
US8282992B2 (en) 2004-05-12 2012-10-09 Applied Materials, Inc. Methods for atomic layer deposition of hafnium-containing high-K dielectric materials
US7794544B2 (en) 2004-05-12 2010-09-14 Applied Materials, Inc. Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8343279B2 (en) 2004-05-12 2013-01-01 Applied Materials, Inc. Apparatuses for atomic layer deposition
US20050253265A1 (en) * 2004-05-13 2005-11-17 International Business Machines Corporation Metal seed layer deposition
US20070155164A1 (en) * 2004-05-13 2007-07-05 Barkyoumb Steven P Metal seed layer deposition
US7235487B2 (en) * 2004-05-13 2007-06-26 International Business Machines Corporation Metal seed layer deposition
US7691742B2 (en) 2004-07-20 2010-04-06 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7745348B2 (en) * 2004-09-17 2010-06-29 Dongbu Electronics Co., Ltd. Manufacturing method of a semiconductor device
US20060063395A1 (en) * 2004-09-17 2006-03-23 Dongbuanam Semiconductor Inc. Manufacturing method of a semiconductor device
US20060088267A1 (en) * 2004-10-21 2006-04-27 Sumitomo Electric Industries, Ltd. Production method of optical waveguide device and optical waveguide device
US20120266821A1 (en) * 2005-01-18 2012-10-25 Asm America, Inc. Reaction system for growing a thin film
US10468291B2 (en) 2005-01-18 2019-11-05 Asm America, Inc. Reaction system for growing a thin film
US9359672B2 (en) * 2005-01-18 2016-06-07 Asm America, Inc. Reaction system for growing a thin film
US9978681B2 (en) * 2005-08-23 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US20150371943A1 (en) * 2005-08-23 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US7550385B2 (en) 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
WO2007041124A1 (en) * 2005-09-30 2007-04-12 Intel Corporation Amine-free deposition of metal-nitride films
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7682946B2 (en) 2005-11-04 2010-03-23 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US9032906B2 (en) 2005-11-04 2015-05-19 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070134899A1 (en) * 2005-12-12 2007-06-14 Fujitsu Limited Manufacture method for semiconductor device using damascene method
US8101513B2 (en) * 2005-12-12 2012-01-24 Fujitsu Limited Manufacture method for semiconductor device using damascene method
US20070155166A1 (en) * 2005-12-14 2007-07-05 Jong-Guk Kim Method and apparatus for depositing copper wiring
US20070161232A1 (en) * 2005-12-27 2007-07-12 Se Yeul Bae Method for forming metal interconnection in semicondutor damascene process
US7541279B2 (en) * 2005-12-28 2009-06-02 Dongbu Electronics Co., Ltd Method for manufacturing semiconductor device
US20070148967A1 (en) * 2005-12-28 2007-06-28 Kim Sang C Method for Manufacturing Semiconductor Device
WO2007094961A2 (en) * 2006-02-10 2007-08-23 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US20070190266A1 (en) * 2006-02-10 2007-08-16 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
JP2009526399A (en) * 2006-02-10 2009-07-16 アプライド マテリアルズ インコーポレイテッド Water vapor passivation of plasma facing walls
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
WO2007094961A3 (en) * 2006-02-10 2008-01-17 Applied Materials Inc Water vapor passivation of a wall facing a plasma
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7625819B2 (en) * 2007-06-01 2009-12-01 Macronix International Co., Ltd. Interconnection process
US20080299761A1 (en) * 2007-06-01 2008-12-04 Macronix International Co., Ltd. Interconnection process
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20120111271A1 (en) * 2007-10-11 2012-05-10 Begarney Michael J Chemical vapor deposition reactor
US9502290B2 (en) * 2008-01-11 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-free copper metallization process using in-situ baking
US20130273735A1 (en) * 2008-01-11 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation-Free Copper Metallization Process Using In-situ Baking
US9418890B2 (en) 2008-09-08 2016-08-16 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100327447A1 (en) * 2009-06-25 2010-12-30 Nec Electronics Corporation Method of manufacturing semiconductor device and semiconductor device
CN102315156A (en) * 2010-07-08 2012-01-11 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
US10431469B2 (en) * 2012-07-16 2019-10-01 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
US20150144155A1 (en) * 2012-07-16 2015-05-28 Mattson Technology, Inc Method for High Aspect Ratio Photoresist Removal in Pure Reducing Plasma
US11107693B2 (en) 2012-07-16 2021-08-31 Beijing E-town Semiconductor Technology Co., Ltd. Method for high aspect ratio photoresist removal in pure reducing plasma
CN103295958A (en) * 2013-06-04 2013-09-11 上海华力微电子有限公司 Method for producing copper seed layers
CN103295958B (en) * 2013-06-04 2015-09-30 上海华力微电子有限公司 A kind of method preparing copper seed layer
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10388521B2 (en) * 2017-02-10 2019-08-20 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20180233353A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US20180233352A1 (en) * 2017-02-10 2018-08-16 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11626313B2 (en) 2017-11-03 2023-04-11 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US20210384040A1 (en) * 2018-09-17 2021-12-09 Applied Materials, Inc. Methods for depositing dielectric material
US11631591B2 (en) * 2018-09-17 2023-04-18 Applied Materials, Inc. Methods for depositing dielectric material
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US20220130676A1 (en) * 2020-01-24 2022-04-28 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11908696B2 (en) * 2020-01-24 2024-02-20 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures
US20220098729A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. System and method of cleaning process chambers using plasma

Also Published As

Publication number Publication date
TW200419642A (en) 2004-10-01
WO2004064147A3 (en) 2004-09-02
TWI333234B (en) 2010-11-11
US7244683B2 (en) 2007-07-17
WO2004064147A2 (en) 2004-07-29

Similar Documents

Publication Publication Date Title
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
US20030082307A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US10910263B2 (en) Doping control of metal nitride films
US7041335B2 (en) Titanium tantalum nitride silicide layer
US8324095B2 (en) Integration of ALD tantalum nitride for copper metallization
US7691442B2 (en) Ruthenium or cobalt as an underlayer for tungsten film deposition
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US20030190497A1 (en) Cyclical deposition of a variable content titanium silicon nitride layer
JP4711624B2 (en) Integration of ALD tantalum nitride and alpha phase tantalum for copper electrode formation applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHUNG, HUA;BEKIARIS, NIKOLAOS;MARCADAL, CHRISTOPHE;AND OTHERS;REEL/FRAME:015075/0720;SIGNING DATES FROM 20040126 TO 20040204

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12