US20050056941A1 - Method of fabricating a semiconductor device - Google Patents

Method of fabricating a semiconductor device Download PDF

Info

Publication number
US20050056941A1
US20050056941A1 US10/962,339 US96233904A US2005056941A1 US 20050056941 A1 US20050056941 A1 US 20050056941A1 US 96233904 A US96233904 A US 96233904A US 2005056941 A1 US2005056941 A1 US 2005056941A1
Authority
US
United States
Prior art keywords
opening
barrier layer
plasma
layer
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/962,339
Inventor
Serge Vanhaelemeersch
Karen Maex
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Priority to US10/962,339 priority Critical patent/US20050056941A1/en
Assigned to INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC) reassignment INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC) ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAEX, KAREN, VANHAELEMEERSCH, SERGE
Publication of US20050056941A1 publication Critical patent/US20050056941A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention relates to Integrated Circuit (IC) processing and fabrication.
  • a device and a method are provided for etching an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening.
  • the structures connecting these devices can comprise multiple metal levels which are, depending upon the aimed interconnect pattern, either separated one from another by means of interlevel electrically insulating layers or connected one to the other by means of a conductive connection through these insulating layers. These insulating layers also take part in the separation of interconnect structures defined on the same metal level. Besides the downscaling of the dimensions of these interconnecting structures, additional measures are required to be able to meet the stringent speed specifications.
  • copper and new low-k dielectrics e.g., polymers
  • copper offers a lower resistance and, depending on many processing parameters, this low resistivity can be combined with a better resistance to electromigration.
  • damascene processing is an important change with respect to classical processing that uses patterning of the conductive elements.
  • damascene processing trenches are formed in the insulating layers. After the patterning of the trenches, metal layers are deposited in the trenches and on top of the insulation layers. Finally, the metal layer is polished down to the top of the insulating layers, leaving only the via and trenches filled with metal.
  • the use of copper in interconnect structures has some commonly known disadvantages. Copper can diffuse very fast in the surrounding insulating layers, such as the low-k materials, which negatively affects the reliability and the signal delay.
  • diffusion barrier layers e.g., refractory metals such as tantalum nitride (TaN), titanium nitride (TiN) in between the copper and the insulating layers.
  • TaN tantalum nitride
  • TiN titanium nitride
  • the horizontal, i.e. the bottom, as well as the vertical side walls of an opening in these insulating layers, e.g. a via or contact hole, are therefore covered with a barrier material.
  • the barrier is conformal deposited.
  • PVD Physical Vapor Deposition
  • the coverage of the vertical side walls of an opening and the bottom of this opening is less, compared to the coverage of the top of the opening.
  • the ratio between side wall and horizontal coverage can be tuned to a certain extent by modifying the process parameters, such as deposition power and the bias of deposition.
  • the first step is a pre-clean of the copper surface, which can be an in-situ pre-clean.
  • This step includes the high risk of having re-deposition of copper on the low-k side wall, which may lead to its subsequent diffusion into the low-k material during the following barrier sputter process at higher temperature.
  • the pre-clean process step may also change the low k material properties leading to, e.g., an increased k value after the full process integration.
  • the formation of TaN barrier layers with PVD techniques is difficult for high aspect ratio features, due to the intrinsic limited step coverage of such a process. Bad quality TaN barriers or layers which are too thin may lead to local breakdown of the copper barrier film and thus create a diffusion path for the copper.
  • the CMP process step makes use of two different slurries for, respectively, the CMP removal of copper and of the TaN. This makes the CMP process very difficult, very complex and very time-consuming and slurry-consuming, resulting in a high cost of ownership with medium process quality only.
  • the pores of the insulating material at the side wall of the opening are intersected, resulting in a rough side wall.
  • the barrier layer is deposited by, e.g., PVD on the side walls of the opening, resulting in a barrier layer with the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance.
  • U.S. Pat. No. 5,818,071 discloses interconnect structures incorporating a silicon carbide layer as a diffusion barrier layer, more specifically a layer between a dielectric and a highly conductive metal layer with a resistivity less than about 2.5 microhm-centimeters.
  • U.S. Pat. No. 5,818,071 does not disclose how to pattern or to remove the silicon carbide layer selectively to expose the underlying layer, more particularly a metal layer.
  • U.S. Pat. No. 5,904,565 discloses a method for selectively forming barrier layers in a via or damascene structure after patterning these vias and damascene structures.
  • U.S. Pat. No. 5,176,790 discloses an improved process for forming a via in an integrated circuit by etching through an insulating layer while inhibiting the sputtering of the underlying metal.
  • the process is performed by, e.g., using in the gaseous etchant one or more 3-6 carbon atom fluorinated hydrocarbons having the chemical formula C x H y F z .
  • a method for etching an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening is therefore desirable.
  • a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, comprising the steps of: subjecting the substrate to a plasma, the plasma being generated in a gaseous mixture comprising at least three components: a first component for depositing the metal barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer being formed on the bottom of the opening; etching the insulating layer with the plasma; and depositing the barrier layer on the side walls of the opening with the plasma.
  • the steps of etching and depositing are performed by controlling the plasma in a manner that while creating an opening in an insulating layer, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom on the opening.
  • the first component comprises at least one of the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl silane, a mixture of SiH 4 and N 2 , a mixture of WF 6 and N 2 , and combinations thereof.
  • the second component comprises at least one of the group consisting of N x O y , C x F y H z O u , N 2 /O 2 , N 2 /H 2 , O 2 , O 3 , NH 3 , CO, CO 2 , CH 4 , and combinations thereof.
  • the third component comprises at least a chemical compound that form halogen ions or radicals in the etching plasma.
  • the third component is at least one of the group consisting of NF 3 , SF 6 , F 2 , CiF 3 , and C x F y H z .
  • the gaseous mixture further comprises an inert gas.
  • the plasma is a continuous plasma.
  • a method as recited in the first aspect of a preferred embodiment is disclosed wherein the plasma is a pulsed plasma.
  • the barrier layer is a metal diffusion barrier layer.
  • the barrier layer is a copper diffusion barrier layer.
  • the barrier layer can be selected from the group consisting of Ti, TiN, Ta, TaN, Ta x Si y N z , W x N y , W x C y N z , SiC, SiOC, hydrogenated SiC, hydrogenated SiOC, and combinations thereof.
  • the insulating layer comprises at least a porous material.
  • the insulating layer can be an organic containing insulating layer or an inorganic containing insulating layer.
  • the opening is a via hole, the via hole extending through the insulating layer to an underlying conductive layer or an underlying barrier layer.
  • the method further comprises, prior to the step of subjecting the substrate, the steps of covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer being formed on the insulating layer and a resist layer being formed on the hard mask layer; and patterning the bilayer.
  • a device comprising an insulating layer on a substrate, the insulating layer having an opening, wherein side walls of the opening are covered with a barrier layer and a bottom of the opening is essentially not covered with the barrier layer, characterized in that the device is produced by a method comprising the steps of: subjecting the substrate to a plasma, wherein the plasma is generated in a gaseous mixture comprising at least three components, the components comprising: a first component for depositing the metal barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer formed on the bottom of the opening; etching the insulating layer with the plasma; and depositing the barrier layer on the side walls of the opening with the plasma.
  • the first component is selected from the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl-silane, a mixture of SiH 4 and N 2 , and combinations thereof.
  • the second component is selected from the group consisting of N x O y , C x F y H x O u , N 2 /O 2 mixtures, N 2 /H 2 mixtures, O 2 , O 3 , and combinations thereof.
  • the third component comprises a chemical compound that forms a halogen ion or a radical in the plasma.
  • the third component is selected from the group consisting of NF 3 , SF 6 , F 2 , ClF 3 , and mixtures thereof.
  • the gaseous mixture further comprises an inert gas.
  • the plasma is a continuous plasma.
  • the plasma etching is a pulsed plasma.
  • the barrier layer is a metal diffusion barrier layer.
  • the barrier layer may comprise silicon carbide.
  • the insulating layer may comprise a porous material.
  • the insulating may organic containing insulating layer or an inorganic containing insulating layer.
  • the opening is a via hole, the via hole extending through the insulating layer to an underlying conductive layer or an underlying barrier layer.
  • the method for producing the device further comprising the steps of: covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer formed on the insulating layer and a resist layer formed on the hard mask layer; and patterning the bilayer.
  • a gaseous mixture for use in a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on side walls of the opening without essentially depositing the barrier layer on a bottom of the opening, wherein a plasma is generated from the gaseous mixture, and wherein the gaseous mixture comprises a first component, a second component, and a third component, wherein the first component deposits a barrier layer on the side wall of the opening, wherein the second component forms the opening in the insulating layer, and wherein the third component removes the barrier layer formed on the bottom of the opening.
  • the first component is selected from the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl-silane, a mixture of SiH 4 and N 2 , a mixture of WF 6 and N 2 , and mixtures thereof.
  • the second component is selected from the group consisting of N x O y , C x F y H x O u , N 2 /O 2 mixtures, N 2 /H 2 mixtures, O 2 , O 3 , NH 3 , CO, CO 2 , CH 4 , and mixtures thereof.
  • the third component comprises a chemical compound that forms a halogen ion or a radical in the plasma.
  • the third component may be selected from the group consisting of NF 3 , SF 6 , F 2 , ClF 3 , and mixtures thereof.
  • the gaseous mixture further comprises an inert gas.
  • FIG. 1 illustrates the prior method for forming an opening in an insulating layer and depositing a barrier layer on the side walls of the opening according to the prior art.
  • FIG. 2 illustrates a method for forming an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening.
  • the dashed arrows illustrate the anisotropic aspect of the plasma etching
  • a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, the method comprising the steps of:
  • the steps as disclosed in the first aspect of this preferred embodiment are also called plasma etching or plasma etch process, thus including at least the subjecting step, etching step, and depositing step.
  • etching and depositing are performed by controlling the plasma in a manner that, while creating an opening in an insulating layer, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom of the opening.
  • a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom of the opening.
  • the term “essentially” as used in this context means that the barrier layer on the bottom of the opening can be formed but is substantially removed by the plasma.
  • barrier layer should be understood as any layer being present on at least a part of the side walls of the opening. If the opening is filled with a material, the barrier layer should be understood to be a layer between the insulating layer and the material filling the opening. The barrier layer should essentially prevent the diffusion of the material filling the opening into the insulating layer.
  • layer should be understood to include any layer having a thickness of at least one molecule. Examples of a layer include, but are not limited to, a monolayer, a stack of monolayers, a film with a thickness less than 50 nm, or a film with a thickness greater than 50 nm.
  • the method as described herein is performed in such a way as to obtain the formation of a barrier layer on at least a part of at least one of the side walls of the opening while etching an opening in the insulating layer.
  • the plasma is controlled in such a way that the barrier layer is formed as a permanent, adhesive layer on the side walls of the opening in the insulating material.
  • specific conditions of the plasma such as the ion energy and bias, the temperature, and the pressure and the composition of the etching gas should be selected.
  • the barrier layer on the side walls of the opening is essentially not etched during the process.
  • the composition of the gaseous mixture and the conditions are chosen so as to obtain removal of the barrier material that builds up at the bottom of the opening.
  • a plasma is used. Etching using a plasma typically results in anisotropic etching. To obtain plasma etching, the spontaneous etching is preferably negligible while the etch reaction is effectively stimulated by the ion bombardment. In other words, the lateral etch should be negligibly small compared to the vertical etch rate. Furthermore, the plasma should be controlled such that an opening is created in such a manner that while creating an opening, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom of the opening.
  • plasma etching is understood as plasma-assisted etching, reactive ion etching (RIE), or reactive ion beam etching (RIBE).
  • the gaseous etching mixture for plasma etching comprises at least three components: a first component for depositing the barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer being formed on the bottom of the opening.
  • the first, second, and third component can be different chemical species.
  • the gaseous mixture can also comprise one or two components. If the gaseous component comprises one component, this component is for depositing the barrier layer on at least the side walls of the opening, for forming an opening in the insulating layer, and for removing the barrier layer being formed on the bottom of the opening. This means that the chemical composition and the corresponding physical behavior in a plasma should be pre-selected.
  • the first component should be defined as being selected (i) for depositing the barrier layer on at least the side walls of the opening and for forming an opening in the insulating layer, or (ii) for depositing the barrier layer on at least the side walls of the opening and for removing the barrier layer being formed on the bottom of the opening, or (iii) for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening.
  • the second component is (i) for depositing the barrier layer on at least the side walls of the opening, or (ii) for forming an opening in the insulating layer, or (iii) for removing the barrier layer being formed on the bottom of the opening.
  • the substrate can be a partly processed or pristine wafer or slice of semi-conductive material, like Si, GaAs, Ge, or an insulating material, e.g. a glass slice or a conductive material.
  • the substrate can comprise a patterned conductive layer. Particularly, when the substrate is a partly processed wafer or slice, at least a part of an active and/or passive device can already be formed and/or at least a part of the structures interconnecting these devices can be formed.
  • the insulating layer can be an organic-containing insulating layer or an inorganic insulating layer.
  • the invention as described in the first aspect of this invention is particularly relevant for semiconductor processing.
  • a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, the method comprising the steps of:
  • the method can further comprise the step of filling the opening, the side walls of which are covered with a barrier layer, with a conductive material.
  • the substrate can be a substrate used in semiconducting processing including, but not limited to, e.g., silicon substrates and germanium substrates.
  • the substrate can be a wafer, a structure in the process of IC fabrication, any layer on a substrate in the process of IC fabrication, a semiconducting layer, or a semiconducting layer in the process of IC fabrication.
  • Fabrication refers to the patterning of structures. More particularly, the substrates can be subjected to damascene processing, dual damascene processing, or front-end processing, where front-end processing means providing contacting on the source, drain, or gate regions.
  • opening should be understood to include a via hole, trench, groove, or contact hole made in a substrate used in semiconductor manufacturing. If the opening is a via hole, the opening extends through the insulating layer to an underlying conductive layer or an underlying barrier layer. If the opening is a contact hole, the opening extends through the insulating layer to contact the underlying source, drain, or gate regions.
  • the term “insulating layer” refers to a layer or a stack of layers made of non-conductive material used to electrically isolate layers made of conductive material from each other.
  • the insulating layer may include silicon oxide, silicon nitride, and silicon oxynitride.
  • the insulating layer can also comprise silicon oxycarbide, with varying concentrations of oxygen, carbon and silicon, as well as hydrogenated silicon oxycarbide.
  • the insulating layer can also be an organic-containing material, including, but not limited to, organic containing materials containing benzyl groups, saturated carbon-carbon bounds, and the like.
  • the insulating layer can be made of porous material.
  • the barrier layer can be a layer or a stack of layers preventing the diffusion of conductive material (which fills the opening) into the insulating layer.
  • the nature of the barrier layer is determined by the conductive material filling the opening.
  • the barrier layer may include, but is not limited to, Ti, TiN, Ta, TaN, Ta x Si y N z , W x N y , W x C y N z , SiC, SiOC, hydrogenated SiC, hydrogenated SiOC, and combinations thereof.
  • the thickness of the deposited barrier layer can be lower than 50 nm, lower than 20 mm, and preferably lower than 10 nm. In the most preferred embodiment, the thickness of the barrier layer is between 1 and 5 nm.
  • the barrier layer is a permanent barrier layer; this means that the barrier layer remains essentially on the side walls of the opening after filling the opening.
  • the barrier layer should have a good adhesion to the side walls of the opening.
  • the mixture comprises at least three components.
  • the mixture can be a gaseous mixture.
  • components should be understood to include the chemical molecules present in the reaction chamber and exposed to the plasma.
  • an opening is formed in the insulating layer.
  • a barrier layer is formed on the side walls of the opening.
  • a first component contains the chemistries accounting for the in-situ deposition of the barrier layer.
  • examples of the first component include, but are not limited to, 1-methyl silane (1MS), 2-methyl silane (2MS), 3-methyl silane (3MS), and 4-methyl-silane (4MS).
  • the first component may include, but is not limited to, a mixture of WF 6 and N 2 .
  • the first component can be a mixture of SiH 4 and N 2 .
  • a second component contains the chemistries accounting for the anisotropic etching of the insulating dielectric, thereby creating the opening.
  • Examples of the third component are N x O y , C x F y H z O u , N 2 /O 2 , N 2 /H 2 , O 2 , O 3 , NH 3 , CO, CO 2 , CH 4 , and combinations thereof.
  • a third component contains the chemistries accounting for the anisotropic etching of this barrier layer according to another embodiment of the invention.
  • the second component is able to form ions or radicals in a plasma and is able to react with the barrier layer on the bottom of the opening, such that volatile reaction products are formed.
  • the second component are fluorine containing chemistries, e.g., NF 3 , SF 6 , F 2 , ClF 3 , and chlorine containing chemistries, with or with additives such as oxygen.
  • etching plasmas can further comprise inert gasses such as helium and argon.
  • first component second component
  • third component Various combinations of the above-mentioned first component, second component, and third component are possible.
  • the gaseous mixture can also comprise one or two components. If the gaseous component comprises one component, this component is for depositing the barrier layer on at least the side walls of the opening, for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening. If the gaseous mixture comprises at least two components, the first component should be defined as being selected (i) for depositing the barrier layer on at least the side walls of the opening and for forming an opening in the insulating layer, or (ii) for depositing the barrier layer on at least the side walls of the opening and for removing the barrier layer being formed on the bottom of the opening, or (iii) for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening.
  • the second component is (i) for depositing the barrier layer on at least the side walls of the opening, or (ii) for forming an opening in the insulating layer or (iii) for removing the barrier layer being formed on the bottom of the opening.
  • Various combinations of the first and the second component are possible.
  • etching of the insulating layer with the plasma and deposition of the barrier layer on the side walls of the opening with the plasma occurs.
  • the plasma is selected so as to obtain an opening in the insulating layer and so as to obtain a deposition of the barrier layer on the side walls of the opening.
  • the etching gases, the temperature, the pressure, the ion energy and bias of the etching gases are pre-selected.
  • the electron density of the plasma is selected by adjusting the power applied to the plasma, the frequency of the power, and the nature of the walls of the reactor and the inert gases.
  • the gaseous etching mixture can comprise three components. The components are preferably volatile and contain the chemical atoms necessary for the formation of the barrier layer.
  • the etched surface is subjected to an ion flux, oriented vertically to the wafer surface.
  • ion flux is present in a plasma that aims to obtain a high degree of anisotropy.
  • the origin of the vertically oriented ion flux is found in the existence of the sheath potential between the bulk of the plasma and the wafer surface, whereas the bulk of the plasma is at a more positive potential than the wafer surface.
  • ions move in randomly distributed directions. Whenever they approach the sheath, however, the potential difference induces the ions to collide onto the wafer surface orthogonal to the wafer surface, as implied by the electrical field.
  • anisotropic etching is to induce etch reactions to occur in the presence of this ion flux and prevent etching, or cause deposition, on the surfaces that are not subjected to this ion flux.
  • the insulating material is removed such that an opening is created.
  • the opening is formed by a physical process (ion flux) and a chemical reaction.
  • the ion flux accounts also for the immediate removal of the barrier layer on the bottom of the opening.
  • the ion flux essentially avoids the build-up of a barrier layer on the bottom of the opening.
  • the vertical side walls of the etched openings are preferably not subjected to this ion flux, and hence, etching will not occur.
  • the etching plasma can be a continuous plasma, meaning that the excitation power is continuously applied to the mixture.
  • the plasma can also be interrupted. Therefore, the power applied to the gaseous mixture is applied for a defined period, e.g., in the range of from 1 ms to 10 ms.
  • the time between the pulses (duty cycle) depends on the specific plasma conditions.
  • the process as described herein protects the insulating layer from the etching plasma and, equally important, copper sputtering onto the side walls of the insulating layers becomes less likely because the copper diffusion barrier is already deposited. Furthermore, the process as disclosed in this aspect of the preferred embodiment has the advantage in that the cleaning after barrier etch is facilitated as the insulating material is protected and there is no need for removal of the copper from the side walls of the opening.
  • the method of a preferred embodiment can, prior to the plasma etching step, further comprise the steps of (i) covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer being formed on the insulating layer and a resist layer being formed on the hard mask layer, and (ii) patterning the bilayer.
  • the opening can be cleaned and subsequently filled with a conductive material.
  • the conductive material may include, but is not limited to, a metal such as copper, aluminum, gold, tungsten, and combinations thereof.
  • FIG. 2 illustrates a method of a preferred embodiment.
  • the opening is a via hole in an insulating layer.
  • the insulating layer covers an underlying conductive layer ( 2 ).
  • the trench extends to the conductive layer.
  • the conductive layer is formed on a substrate ( 1 ) subject to back-end IC processing.
  • the copper-barrier layer comprises a silicon carbide layer.
  • silicon carbide is understood to include a layer composed of at least Si and C, e.g., SiC, or of at least Si, C, and O, i.e., a silicon oxycarbide, e.g., SiOC, or at least Si, C, and H, e.g., an amorphous hydrogenated silicon carbide such as SiC:H.
  • the substrates are introduced into a pressurized chamber of a plasma-etch tool, such as a reactive ion etch (RIE) plasma tool, and then processed.
  • RIE reactive ion etch
  • the temperature in the chamber is preferably 600 degrees C. or below, even more preferably below 400 degrees C. This temperature can also be in the range of from 100 to 600 degrees C.
  • the process is performed using plasma etching with a gaseous mixture comprising at least three components.
  • a first component contains the chemistries accounting for the in-situ deposition of the barrier layer.
  • examples of the first component include, but are not limited to, 1-methyl silane (1MS), 2-methyl silane (2MS), 3-methyl silane (3MS), and 4-methyl-silane (4MS).
  • a second component contains the chemistries accounting for the anisotropic etching of this barrier layer according to another embodiment of the invention.
  • the second component include halogen-containing chemistries, e.g., NF 3 , SF 6 , F 2 , ClF 3 , and chlorine containing chemistries, with or without additives.
  • a third component contains the chemistries accounting for the anisotropic etching of the insulating dielectric, thereby creating the opening.
  • the third component include N x O y , C x F y H x O u , N 2 /O 2 , N 2 /H 2 , O 2 , O 3 , and equivalent chemistries.
  • the amount of each component is preferably at least 0.1% of the total mixture.
  • Typical ratios of these mixtures include, but are not limited to, 25/50/25.
  • etching plasmas can further comprise inert gasses such as helium and argon.
  • the optimized process conditions such as temperature, ion energy and bias, pressure, and composition of the plasma depend on the barrier layer to be deposited and on the insulation layer to be simultaneously etched.
  • the type of barrier layer depends on the kind of metal used in the metallization process, e.g., copper, aluminum, and the like.
  • FIG. 1 illustrates the process as known in the prior art.
  • the method of preferred embodiments minimizes the sputtering of copper onto the side walls of the insulating layers, since the side walls are protected by the deposited barrier layer.
  • the process of preferred embodiments facilitates cleaning after the formation of the opening and there is no need for removal of the copper from the side walls of the opening.
  • the method as disclosed is used for the formation of a copper-barrier layer while etching a porous insulating material present on a substrate.
  • the substrates that are to be etched can be silicon substrates subject to damascene processing.
  • the substrate is subjected to a plasma, the plasma being generated in a gaseous mixture comprising three components: a first component for depositing a SiC barrier layer, a second component for creating an opening in the porous SILK layer, and a third component for removing the SIC barrier layer being formed on the bottom of the opening.
  • an opening is created in the porous insulating layer, and a SiC barrier layer is formed on the side wall of the opening. Since the insulating layer is made of a porous material, the side walls are rough.
  • the opening in the side walls is filled in the first part of the insulating layer, and a barrier layer is formed on the side walls. This results in smooth side walls.
  • the barrier layer has the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance.
  • the copper-barrier layer comprises a silicon carbide layer.
  • This silicon carbide is understood to be a layer composed of at least Si and C, e.g., SiC, or of at least Si, C, and O, i.e., a silicon oxycarbide, e.g., SiOC, or at least Si, C, and H, such as, e.g., amorphous hydrogenated silicon carbide, SiC:H.
  • the insulating material is a porous low k dielectric, commercially available as porous SiLKTM (Dow Chemical).
  • the substrate comprises a wafer subjected to back-end IC processing.
  • the porous insulating layer is covered with a hardmask material, e.g., SiO 2 , SiC, or a combination of SiO 2 and SiC, or a spin-on hardmask, on top of which photoresist is spun.
  • the substrates are introduced into a pressurized chamber of a plasma-etch tool, such as a reactive ion etch (RIE) plasma tool, and then processed.
  • RIE reactive ion etch
  • the temperature in the chamber is in the range of from 15 to 40 degrees C.
  • RF power settings range from 200 to 2200 W for both electrodes.
  • Operating pressure is typically between 50 and 250 mTorr.
  • the process is performed using plasma etching with a gaseous mixture comprising at least three components.
  • the first component contains the chemistries for the in-situ deposition of the barrier layer.
  • SiC 3-methyl-silane (3MS) or 4-methyl-silane (4MS) is used.
  • the second component contains the chemistries accounting for the anisotropic etching of this barrier layer.
  • Fluorine-containing gases such as CF 4 , CHF 3 , CH 2 F 2 , or CHF 3 are used.
  • An inorganic hardmask opening is also performed using these gases in combination with an inert gas such as argon in combination with O 2 and/or CO.
  • the third component contains the chemistries for the anisotropic etching of the insulating dielectric whereby the opening is created.
  • Preferred chemistries for this third component are N 2 /O 2 , N 2 /H 2 , and O 2 , optionally combined with CH 4 or C 2 H 4 for enhanced side wall passivation and hardmask selectivity.
  • the first step in the processing sequence is the hardmask opening.
  • the second step is etching of the porous insulating material while a SiC layer is deposited on the side walls. To propagate the etching, it is preferred to continuously remove the SiC at the bottom of the etched feature, until the SiC copper diffusion barrier is reached. Finally, this barrier is etched anisotropically, so as not to remove the SiC on the side walls.
  • a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening comprising the steps of:
  • the barrier layer is not necessary to remove the barrier layer from the bottom of the opening. This is particularly relevant when the barrier layer is made of a conductive material.
  • a device in a second aspect of a preferred embodiment, comprises an insulating layer on a substrate, the insulating layer having an opening, the side walls of the opening being covered with a barrier layer, wherein the bottom of the opening is essentially not covered with the barrier layer.
  • the device is obtainable by a method comprising the steps of:
  • a device comprising a porous insulating layer on a substrate, the porous insulating layer having an opening, the side walls of the opening being covered with a barrier layer wherein the bottom of the opening is essentially not covered with the barrier layer.
  • the device is obtainable by a method comprising the steps of:
  • etching an opening in an insulating material would result in rough openings, since the pores of the insulating material are intersected by the plasma.
  • the barrier layer is subsequently deposited by a technique known in the prior art, e.g., PVD, the barrier layer has the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance.
  • the opening in the side walls are filled in the first part of the insulating layer, and a barrier layer will be formed on the side walls. This results in smooth side walls.
  • the device as disclosed in the second aspect of a preferred embodiment is characterized in that the pores that are intersected by the plasma during the formation of the opening are filled with material forming the barrier layer and that a barrier layer, preferably a thin layer, is formed on the side walls such that the side walls are essentially smooth.

Abstract

This invention relates to Integrated Circuit (IC) processing and fabrication. A device and a method are provided for etching an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening.

Description

    RELATED APPLICATION
  • This is a division of application Ser. No. 10/078,284, filed Feb. 15, 2002, now pending, claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Application No. 60/269,109, filed Feb. 15, 2001.
  • FIELD OF THE INVENTION
  • This invention relates to Integrated Circuit (IC) processing and fabrication. A device and a method are provided for etching an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening.
  • BACKGROUND OF THE INVENTION
  • Over the years, the demand for higher speed integrated circuits has been addressed through shrinkage of the device dimensions and increase of the packing density of the devices in integrated circuits or on carrier packages. By decreasing the layout rules of the devices, one can obtain transistors with higher intrinsic switching speed. In addition, putting the devices closer to each other reduces the communication time between transistor devices. Both approaches allow building circuits with increased overall performance, i.e., higher switching speed combined with higher functional circuits. Additionally, the integrated circuit area has increased, leading to circuits with even higher functionality as more devices can be integrated in a given area.
  • The structures connecting these devices can comprise multiple metal levels which are, depending upon the aimed interconnect pattern, either separated one from another by means of interlevel electrically insulating layers or connected one to the other by means of a conductive connection through these insulating layers. These insulating layers also take part in the separation of interconnect structures defined on the same metal level. Besides the downscaling of the dimensions of these interconnecting structures, additional measures are required to be able to meet the stringent speed specifications.
  • For future technologies the increasing impact of the back end processing in the fabrication of integrated circuits is recognized. A major change in back end processing is necessitated by the ever-decreasing feature size of the devices of integrated circuits that has indicated RC delay-time of the interconnect structures to be the limiting speed factor of the next generation of integrated circuits. To address this problem, two major routes are being explored: introduction of metals with higher conductivity and introduction of dielectric materials with lower dielectric constants.
  • The introduction of these materials has changed the outlook of the back end processing schemes where dry etch processes, cleaning recipes, and barrier requirements all need to be adapted
  • Although aluminum alloys and oxides are still widely used in interconnect technology, copper and new low-k dielectrics, e.g., polymers, are rapidly being implemented in microelectronics as they are now accepted as the future materials of choice. Copper offers a lower resistance and, depending on many processing parameters, this low resistivity can be combined with a better resistance to electromigration. The introduction of copper requires the introduction of damascene processing, which is an important change with respect to classical processing that uses patterning of the conductive elements. In damascene processing, trenches are formed in the insulating layers. After the patterning of the trenches, metal layers are deposited in the trenches and on top of the insulation layers. Finally, the metal layer is polished down to the top of the insulating layers, leaving only the via and trenches filled with metal.
  • The use of copper in interconnect structures has some commonly known disadvantages. Copper can diffuse very fast in the surrounding insulating layers, such as the low-k materials, which negatively affects the reliability and the signal delay. Several solutions have been proposed to solve this problem. The currently used techniques inhibit the migration of copper ions in the surrounding layers by depositing, in a non-selective way, diffusion barrier layers, e.g., refractory metals such as tantalum nitride (TaN), titanium nitride (TiN) in between the copper and the insulating layers. The horizontal, i.e. the bottom, as well as the vertical side walls of an opening in these insulating layers, e.g. a via or contact hole, are therefore covered with a barrier material. In case of Chemical Vapor Deposition (CVD) techniques, the barrier is conformal deposited. In case of Physical Vapor Deposition (PVD) techniques, the coverage of the vertical side walls of an opening and the bottom of this opening is less, compared to the coverage of the top of the opening. However, the ratio between side wall and horizontal coverage can be tuned to a certain extent by modifying the process parameters, such as deposition power and the bias of deposition.
  • Several problems are related to the above-mentioned process. Since a barrier layer is always deposited on both the vertical side walls and the bottom surface of an opening in the insulating layer, the presence of a barrier layer on the bottom of the opening causes several inconveniences. In order to ensure the conductivity of the metal lines, the barrier on the bottom of the opening must be made of an electrically conductive material. The adhesion between the barrier layer and the underlying conductive layer is not always good, thereby influencing the current flow between the different conductive levels. When the opening is afterwards filled with a metal in order to connect the metal layer underneath the insulating layer, the barrier layer between both metal layers has a detrimental effect on the electromigration behavior of the structure.
  • In addition, the exposure of the copper layers to the dielectric etch plasma in the classical scheme leads to the formation of residues on top of the copper. At the same time, copper sputtering, even though in very small amounts, and re-deposition onto the unprotected low-k side walls can not be avoided. Therefore, one has to make use of after-etch cleaning methods to remove the copper from the side walls of the opening etched in the insulating low-k material in order to prevent copper diffusion into the low-k material. After etching of the barrier, further cleaning methods are needed to clean up the residues on top of the copper in order to obtain a good via resistance. The different cleaning methods that are used must be compatible with the presence of the low-k material and must avoid sputtering of copper onto the side walls of the opening.
  • In the damascene metallization scheme, the first step is a pre-clean of the copper surface, which can be an in-situ pre-clean. This step includes the high risk of having re-deposition of copper on the low-k side wall, which may lead to its subsequent diffusion into the low-k material during the following barrier sputter process at higher temperature. In addition, it is clear that the pre-clean process step may also change the low k material properties leading to, e.g., an increased k value after the full process integration. The formation of TaN barrier layers with PVD techniques is difficult for high aspect ratio features, due to the intrinsic limited step coverage of such a process. Bad quality TaN barriers or layers which are too thin may lead to local breakdown of the copper barrier film and thus create a diffusion path for the copper.
  • The CMP process step makes use of two different slurries for, respectively, the CMP removal of copper and of the TaN. This makes the CMP process very difficult, very complex and very time-consuming and slurry-consuming, resulting in a high cost of ownership with medium process quality only.
  • When an opening is formed in a porous insulating material, the pores of the insulating material at the side wall of the opening are intersected, resulting in a rough side wall. Subsequently, the barrier layer is deposited by, e.g., PVD on the side walls of the opening, resulting in a barrier layer with the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance.
  • U.S. Pat. No. 5,818,071 discloses interconnect structures incorporating a silicon carbide layer as a diffusion barrier layer, more specifically a layer between a dielectric and a highly conductive metal layer with a resistivity less than about 2.5 microhm-centimeters. U.S. Pat. No. 5,818,071 does not disclose how to pattern or to remove the silicon carbide layer selectively to expose the underlying layer, more particularly a metal layer.
  • U.S. Pat. No. 5,904,565 discloses a method for selectively forming barrier layers in a via or damascene structure after patterning these vias and damascene structures.
  • U.S. Pat. No. 5,176,790 discloses an improved process for forming a via in an integrated circuit by etching through an insulating layer while inhibiting the sputtering of the underlying metal. The process is performed by, e.g., using in the gaseous etchant one or more 3-6 carbon atom fluorinated hydrocarbons having the chemical formula CxHyFz.
  • SUMMARY OF THE INVENTION
  • A method for etching an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening is therefore desirable.
  • In a first embodiment, a method is disclosed for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, comprising the steps of: subjecting the substrate to a plasma, the plasma being generated in a gaseous mixture comprising at least three components: a first component for depositing the metal barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer being formed on the bottom of the opening; etching the insulating layer with the plasma; and depositing the barrier layer on the side walls of the opening with the plasma.
  • The steps of etching and depositing are performed by controlling the plasma in a manner that while creating an opening in an insulating layer, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom on the opening.
  • In an aspect of the first embodiment, the first component comprises at least one of the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl silane, a mixture of SiH4 and N2, a mixture of WF6 and N2, and combinations thereof.
  • In a further aspect of the first embodiment, the second component comprises at least one of the group consisting of NxOy, CxFyHzOu, N2/O2, N2/H2, O2, O3, NH3, CO, CO2, CH4, and combinations thereof.
  • In a further aspect of the first embodiment, the third component comprises at least a chemical compound that form halogen ions or radicals in the etching plasma.
  • In a further aspect of the first embodiment, the third component is at least one of the group consisting of NF3, SF6, F2, CiF3, and CxFyHz.
  • In a further aspect of the first embodiment, the gaseous mixture further comprises an inert gas.
  • In a further aspect of the first embodiment, the plasma is a continuous plasma. In a further aspect of the first embodiment, a method as recited in the first aspect of a preferred embodiment is disclosed wherein the plasma is a pulsed plasma.
  • In a further aspect of the first embodiment, the barrier layer is a metal diffusion barrier layer. Preferably, the barrier layer is a copper diffusion barrier layer. The barrier layer can be selected from the group consisting of Ti, TiN, Ta, TaN, TaxSiyNz, WxNy, WxCyNz, SiC, SiOC, hydrogenated SiC, hydrogenated SiOC, and combinations thereof.
  • In a further aspect of the first embodiment, the insulating layer comprises at least a porous material. The insulating layer can be an organic containing insulating layer or an inorganic containing insulating layer.
  • In a further aspect of the first embodiment, the opening is a via hole, the via hole extending through the insulating layer to an underlying conductive layer or an underlying barrier layer.
  • In a further aspect of the first embodiment, the method further comprises, prior to the step of subjecting the substrate, the steps of covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer being formed on the insulating layer and a resist layer being formed on the hard mask layer; and patterning the bilayer.
  • In a second embodiment, a device is provided, the device comprising an insulating layer on a substrate, the insulating layer having an opening, wherein side walls of the opening are covered with a barrier layer and a bottom of the opening is essentially not covered with the barrier layer, characterized in that the device is produced by a method comprising the steps of: subjecting the substrate to a plasma, wherein the plasma is generated in a gaseous mixture comprising at least three components, the components comprising: a first component for depositing the metal barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer formed on the bottom of the opening; etching the insulating layer with the plasma; and depositing the barrier layer on the side walls of the opening with the plasma.
  • In a first aspect of the second embodiment, the first component is selected from the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl-silane, a mixture of SiH4 and N2, and combinations thereof.
  • In a further aspect of the second embodiment, the second component is selected from the group consisting of NxOy, CxFyHxOu, N2/O2 mixtures, N2/H2 mixtures, O2, O3, and combinations thereof.
  • In a further aspect of the second embodiment, the third component comprises a chemical compound that forms a halogen ion or a radical in the plasma.
  • In a further aspect of the second embodiment, the third component is selected from the group consisting of NF3, SF6, F2, ClF3, and mixtures thereof.
  • In a further aspect of the second embodiment, the gaseous mixture further comprises an inert gas.
  • In a further aspect of the second embodiment, the plasma is a continuous plasma. In a further aspect of the second embodiment, the plasma etching is a pulsed plasma.
  • In a further aspect of the second embodiment, the barrier layer is a metal diffusion barrier layer. The barrier layer may comprise silicon carbide.
  • In a further aspect of the second embodiment, the insulating layer may comprise a porous material. Alternatively, the insulating may organic containing insulating layer or an inorganic containing insulating layer.
  • In a further aspect of the second embodiment, the opening is a via hole, the via hole extending through the insulating layer to an underlying conductive layer or an underlying barrier layer.
  • In a further aspect of the second embodiment, the method for producing the device further comprising the steps of: covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer formed on the insulating layer and a resist layer formed on the hard mask layer; and patterning the bilayer.
  • In a third embodiment, a gaseous mixture is provided for use in a method for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on side walls of the opening without essentially depositing the barrier layer on a bottom of the opening, wherein a plasma is generated from the gaseous mixture, and wherein the gaseous mixture comprises a first component, a second component, and a third component, wherein the first component deposits a barrier layer on the side wall of the opening, wherein the second component forms the opening in the insulating layer, and wherein the third component removes the barrier layer formed on the bottom of the opening.
  • In a first aspect of the third embodiment, the first component is selected from the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl-silane, a mixture of SiH4 and N2, a mixture of WF6 and N2, and mixtures thereof.
  • In a further aspect of the third embodiment, the second component is selected from the group consisting of NxOy, CxFyHxOu, N2/O2 mixtures, N2/H2 mixtures, O2, O3, NH3, CO, CO2, CH4, and mixtures thereof.
  • In a further aspect of the third embodiment, the third component comprises a chemical compound that forms a halogen ion or a radical in the plasma. The third component may be selected from the group consisting of NF3, SF6, F2, ClF3, and mixtures thereof.
  • In a further aspect of the third embodiment, the gaseous mixture further comprises an inert gas.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates the prior method for forming an opening in an insulating layer and depositing a barrier layer on the side walls of the opening according to the prior art.
  • FIG. 2 illustrates a method for forming an opening in an insulating layer while depositing a barrier layer on the side walls of the opening without essentially depositing a barrier layer on the bottom of the opening. The dashed arrows illustrate the anisotropic aspect of the plasma etching
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The following description and examples illustrate preferred embodiments of the present invention in detail. Those of skill in the art will recognize that there are numerous variations and modifications of this invention that are encompassed by its scope. Accordingly, the description of preferred embodiments should not be deemed to limit the scope of the present invention.
  • In a first aspect of a preferred embodiment, a method is disclosed for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, the method comprising the steps of:
      • subjecting the substrate to a plasma, the plasma being generated in a mixture comprising at least three components including:
        • a first component for depositing the metal barrier layer on at least the side walls of the opening,
        • a second component for forming the opening in the insulating layer, and
        • a third component for removing the barrier layer being formed on the bottom of the opening;
      • etching the insulating layer with the plasma; and
      • depositing the barrier layer on the side walls of the opening with the plasma.
  • As referred to herein, the steps as disclosed in the first aspect of this preferred embodiment are also called plasma etching or plasma etch process, thus including at least the subjecting step, etching step, and depositing step.
  • The steps of etching and depositing are performed by controlling the plasma in a manner that, while creating an opening in an insulating layer, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom of the opening. The term “essentially” as used in this context means that the barrier layer on the bottom of the opening can be formed but is substantially removed by the plasma.
  • The term “barrier layer” should be understood as any layer being present on at least a part of the side walls of the opening. If the opening is filled with a material, the barrier layer should be understood to be a layer between the insulating layer and the material filling the opening. The barrier layer should essentially prevent the diffusion of the material filling the opening into the insulating layer.
  • The term “layer” should be understood to include any layer having a thickness of at least one molecule. Examples of a layer include, but are not limited to, a monolayer, a stack of monolayers, a film with a thickness less than 50 nm, or a film with a thickness greater than 50 nm.
  • The method as described herein is performed in such a way as to obtain the formation of a barrier layer on at least a part of at least one of the side walls of the opening while etching an opening in the insulating layer. Preferably, the plasma is controlled in such a way that the barrier layer is formed as a permanent, adhesive layer on the side walls of the opening in the insulating material. This means that specific conditions of the plasma, such as the ion energy and bias, the temperature, and the pressure and the composition of the etching gas should be selected. Thus, the barrier layer on the side walls of the opening is essentially not etched during the process. Preferably, the composition of the gaseous mixture and the conditions are chosen so as to obtain removal of the barrier material that builds up at the bottom of the opening. To create an opening in the insulating layer, a plasma is used. Etching using a plasma typically results in anisotropic etching. To obtain plasma etching, the spontaneous etching is preferably negligible while the etch reaction is effectively stimulated by the ion bombardment. In other words, the lateral etch should be negligibly small compared to the vertical etch rate. Furthermore, the plasma should be controlled such that an opening is created in such a manner that while creating an opening, a barrier layer is formed on the side walls of the opening without essentially forming a barrier layer on the bottom of the opening. For the purpose of this invention, plasma etching is understood as plasma-assisted etching, reactive ion etching (RIE), or reactive ion beam etching (RIBE).
  • The gaseous etching mixture for plasma etching comprises at least three components: a first component for depositing the barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer being formed on the bottom of the opening. The first, second, and third component can be different chemical species. The gaseous mixture can also comprise one or two components. If the gaseous component comprises one component, this component is for depositing the barrier layer on at least the side walls of the opening, for forming an opening in the insulating layer, and for removing the barrier layer being formed on the bottom of the opening. This means that the chemical composition and the corresponding physical behavior in a plasma should be pre-selected. If the gaseous mixture comprises at least two components, the first component should be defined as being selected (i) for depositing the barrier layer on at least the side walls of the opening and for forming an opening in the insulating layer, or (ii) for depositing the barrier layer on at least the side walls of the opening and for removing the barrier layer being formed on the bottom of the opening, or (iii) for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening. The second component is (i) for depositing the barrier layer on at least the side walls of the opening, or (ii) for forming an opening in the insulating layer, or (iii) for removing the barrier layer being formed on the bottom of the opening.
  • Various combinations of the first and the second component are possible.
  • The substrate can be a partly processed or pristine wafer or slice of semi-conductive material, like Si, GaAs, Ge, or an insulating material, e.g. a glass slice or a conductive material. The substrate can comprise a patterned conductive layer. Particularly, when the substrate is a partly processed wafer or slice, at least a part of an active and/or passive device can already be formed and/or at least a part of the structures interconnecting these devices can be formed.
  • The insulating layer can be an organic-containing insulating layer or an inorganic insulating layer.
  • The invention as described in the first aspect of this invention is particularly relevant for semiconductor processing.
  • In a first aspect of a preferred embodiment, a method is disclosed for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening without essentially depositing the barrier layer on the bottom of the opening, the method comprising the steps of:
      • subjecting the substrate to a plasma, the plasma being generated in a mixture comprising at least three components:
        • a first component for depositing the barrier layer on at least the side walls of the opening,
        • a second component for forming an opening in the insulating layer,
        • a third component for removing the barrier layer being formed on the bottom of the opening;
      • etching the insulating layer with the plasma; and
      • depositing the barrier layer on the side walls of the opening with the plasma.
  • The method can further comprise the step of filling the opening, the side walls of which are covered with a barrier layer, with a conductive material.
  • The substrate can be a substrate used in semiconducting processing including, but not limited to, e.g., silicon substrates and germanium substrates. The substrate can be a wafer, a structure in the process of IC fabrication, any layer on a substrate in the process of IC fabrication, a semiconducting layer, or a semiconducting layer in the process of IC fabrication.
  • The term “fabrication” refers to the patterning of structures. More particularly, the substrates can be subjected to damascene processing, dual damascene processing, or front-end processing, where front-end processing means providing contacting on the source, drain, or gate regions.
  • The term “opening” should be understood to include a via hole, trench, groove, or contact hole made in a substrate used in semiconductor manufacturing. If the opening is a via hole, the opening extends through the insulating layer to an underlying conductive layer or an underlying barrier layer. If the opening is a contact hole, the opening extends through the insulating layer to contact the underlying source, drain, or gate regions.
  • The term “insulating layer” refers to a layer or a stack of layers made of non-conductive material used to electrically isolate layers made of conductive material from each other. The insulating layer may include silicon oxide, silicon nitride, and silicon oxynitride. The insulating layer can also comprise silicon oxycarbide, with varying concentrations of oxygen, carbon and silicon, as well as hydrogenated silicon oxycarbide. The insulating layer can also be an organic-containing material, including, but not limited to, organic containing materials containing benzyl groups, saturated carbon-carbon bounds, and the like. The insulating layer can be made of porous material.
  • The barrier layer can be a layer or a stack of layers preventing the diffusion of conductive material (which fills the opening) into the insulating layer. The nature of the barrier layer is determined by the conductive material filling the opening. When the metal is copper, the barrier layer may include, but is not limited to, Ti, TiN, Ta, TaN, TaxSiyNz, WxNy, WxCyNz, SiC, SiOC, hydrogenated SiC, hydrogenated SiOC, and combinations thereof. The thickness of the deposited barrier layer can be lower than 50 nm, lower than 20 mm, and preferably lower than 10 nm. In the most preferred embodiment, the thickness of the barrier layer is between 1 and 5 nm. The barrier layer is a permanent barrier layer; this means that the barrier layer remains essentially on the side walls of the opening after filling the opening. The barrier layer should have a good adhesion to the side walls of the opening.
  • In the first aspect of a preferred embodiment, the mixture comprises at least three components. The mixture can be a gaseous mixture. The term “components” should be understood to include the chemical molecules present in the reaction chamber and exposed to the plasma. By bringing at least those three components into the plasma, an opening is formed in the insulating layer. Further, a barrier layer is formed on the side walls of the opening.
  • A first component contains the chemistries accounting for the in-situ deposition of the barrier layer. For a SiC barrier layer, examples of the first component include, but are not limited to, 1-methyl silane (1MS), 2-methyl silane (2MS), 3-methyl silane (3MS), and 4-methyl-silane (4MS). For a WxNy barrier layer, the first component may include, but is not limited to, a mixture of WF6 and N2. For a Si3N4 barrier layer, the first component can be a mixture of SiH4 and N2.
  • A second component contains the chemistries accounting for the anisotropic etching of the insulating dielectric, thereby creating the opening. Examples of the third component are NxOy, CxFyHzOu, N2/O2, N2/H2, O2, O3, NH3, CO, CO2, CH4, and combinations thereof.
  • A third component contains the chemistries accounting for the anisotropic etching of this barrier layer according to another embodiment of the invention. The second component is able to form ions or radicals in a plasma and is able to react with the barrier layer on the bottom of the opening, such that volatile reaction products are formed. Examples of the second component are fluorine containing chemistries, e.g., NF3, SF6, F2, ClF3, and chlorine containing chemistries, with or with additives such as oxygen.
  • These etching plasmas can further comprise inert gasses such as helium and argon.
  • Various combinations of the above-mentioned first component, second component, and third component are possible.
  • The gaseous mixture can also comprise one or two components. If the gaseous component comprises one component, this component is for depositing the barrier layer on at least the side walls of the opening, for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening. If the gaseous mixture comprises at least two components, the first component should be defined as being selected (i) for depositing the barrier layer on at least the side walls of the opening and for forming an opening in the insulating layer, or (ii) for depositing the barrier layer on at least the side walls of the opening and for removing the barrier layer being formed on the bottom of the opening, or (iii) for forming an opening in the insulating layer and for removing the barrier layer being formed on the bottom of the opening. The second component is (i) for depositing the barrier layer on at least the side walls of the opening, or (ii) for forming an opening in the insulating layer or (iii) for removing the barrier layer being formed on the bottom of the opening. Various combinations of the first and the second component are possible.
  • By subjecting the substrate to a plasma, etching of the insulating layer with the plasma and deposition of the barrier layer on the side walls of the opening with the plasma occurs. The plasma is selected so as to obtain an opening in the insulating layer and so as to obtain a deposition of the barrier layer on the side walls of the opening. This means that the etching gases, the temperature, the pressure, the ion energy and bias of the etching gases are pre-selected. Furthermore, the electron density of the plasma is selected by adjusting the power applied to the plasma, the frequency of the power, and the nature of the walls of the reactor and the inert gases. The gaseous etching mixture can comprise three components. The components are preferably volatile and contain the chemical atoms necessary for the formation of the barrier layer.
  • In plasma etching, the etched surface is subjected to an ion flux, oriented vertically to the wafer surface. In a plasma, radicals are also generated, but the radicals have a random distribution. This ion flux is present in a plasma that aims to obtain a high degree of anisotropy. The origin of the vertically oriented ion flux is found in the existence of the sheath potential between the bulk of the plasma and the wafer surface, whereas the bulk of the plasma is at a more positive potential than the wafer surface. In the bulk of the plasma, ions move in randomly distributed directions. Whenever they approach the sheath, however, the potential difference induces the ions to collide onto the wafer surface orthogonal to the wafer surface, as implied by the electrical field. The purpose of anisotropic etching is to induce etch reactions to occur in the presence of this ion flux and prevent etching, or cause deposition, on the surfaces that are not subjected to this ion flux. While etching the insulating layer, the insulating material is removed such that an opening is created. The opening is formed by a physical process (ion flux) and a chemical reaction. The ion flux accounts also for the immediate removal of the barrier layer on the bottom of the opening. The ion flux essentially avoids the build-up of a barrier layer on the bottom of the opening. The vertical side walls of the etched openings are preferably not subjected to this ion flux, and hence, etching will not occur.
  • The etching plasma can be a continuous plasma, meaning that the excitation power is continuously applied to the mixture. The plasma can also be interrupted. Therefore, the power applied to the gaseous mixture is applied for a defined period, e.g., in the range of from 1 ms to 10 ms. The time between the pulses (duty cycle) depends on the specific plasma conditions.
  • It is also possible to apply a continuous low power and to apply a pulsed plasma.
  • The process as described herein protects the insulating layer from the etching plasma and, equally important, copper sputtering onto the side walls of the insulating layers becomes less likely because the copper diffusion barrier is already deposited. Furthermore, the process as disclosed in this aspect of the preferred embodiment has the advantage in that the cleaning after barrier etch is facilitated as the insulating material is protected and there is no need for removal of the copper from the side walls of the opening.
  • The method of a preferred embodiment can, prior to the plasma etching step, further comprise the steps of (i) covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer being formed on the insulating layer and a resist layer being formed on the hard mask layer, and (ii) patterning the bilayer. After the plasma etching process as described in the preferred embodiment is conducted, the opening can be cleaned and subsequently filled with a conductive material. The conductive material may include, but is not limited to, a metal such as copper, aluminum, gold, tungsten, and combinations thereof.
  • FIG. 2 illustrates a method of a preferred embodiment. There is provided a process for the formation of a opening (4) in an insulating layer (3) while forming a copper barrier layer (5) on the side walls (7) of the opening (4) without essentially forming a barrier layer on the bottom (8) of the opening. The opening is a via hole in an insulating layer. The insulating layer covers an underlying conductive layer (2). The trench extends to the conductive layer. The conductive layer is formed on a substrate (1) subject to back-end IC processing.
  • According to a preferred embodiment, the copper-barrier layer comprises a silicon carbide layer. For the purpose of this invention, silicon carbide is understood to include a layer composed of at least Si and C, e.g., SiC, or of at least Si, C, and O, i.e., a silicon oxycarbide, e.g., SiOC, or at least Si, C, and H, e.g., an amorphous hydrogenated silicon carbide such as SiC:H. The substrates are introduced into a pressurized chamber of a plasma-etch tool, such as a reactive ion etch (RIE) plasma tool, and then processed. The temperature in the chamber is preferably 600 degrees C. or below, even more preferably below 400 degrees C. This temperature can also be in the range of from 100 to 600 degrees C.
  • The process is performed using plasma etching with a gaseous mixture comprising at least three components.
  • A first component contains the chemistries accounting for the in-situ deposition of the barrier layer. For SiC, examples of the first component include, but are not limited to, 1-methyl silane (1MS), 2-methyl silane (2MS), 3-methyl silane (3MS), and 4-methyl-silane (4MS).
  • A second component contains the chemistries accounting for the anisotropic etching of this barrier layer according to another embodiment of the invention. Examples of the second component include halogen-containing chemistries, e.g., NF3, SF6, F2, ClF3, and chlorine containing chemistries, with or without additives.
  • A third component contains the chemistries accounting for the anisotropic etching of the insulating dielectric, thereby creating the opening. Examples of the third component include NxOy, CxFyHxOu, N2/O2, N2/H2, O2, O3, and equivalent chemistries.
  • The amount of each component is preferably at least 0.1% of the total mixture.
  • Typical ratios of these mixtures include, but are not limited to, 25/50/25.
  • These etching plasmas can further comprise inert gasses such as helium and argon.
  • The optimized process conditions, such as temperature, ion energy and bias, pressure, and composition of the plasma depend on the barrier layer to be deposited and on the insulation layer to be simultaneously etched. The type of barrier layer depends on the kind of metal used in the metallization process, e.g., copper, aluminum, and the like.
  • FIG. 1 illustrates the process as known in the prior art. Compared to the prior art, the method of preferred embodiments minimizes the sputtering of copper onto the side walls of the insulating layers, since the side walls are protected by the deposited barrier layer. The process of preferred embodiments facilitates cleaning after the formation of the opening and there is no need for removal of the copper from the side walls of the opening.
  • In another embodiment of the present invention, the method as disclosed is used for the formation of a copper-barrier layer while etching a porous insulating material present on a substrate. The substrates that are to be etched can be silicon substrates subject to damascene processing. In a first step, the substrate is subjected to a plasma, the plasma being generated in a gaseous mixture comprising three components: a first component for depositing a SiC barrier layer, a second component for creating an opening in the porous SILK layer, and a third component for removing the SIC barrier layer being formed on the bottom of the opening.
  • By subjecting the substrate to the plasma, an opening is created in the porous insulating layer, and a SiC barrier layer is formed on the side wall of the opening. Since the insulating layer is made of a porous material, the side walls are rough. By using the method of the preferred embodiments, the opening in the side walls is filled in the first part of the insulating layer, and a barrier layer is formed on the side walls. This results in smooth side walls. In the prior art, when the opening is formed and subsequently the barrier layer is deposited by, e.g., PVD, the barrier layer has the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance.
  • According to a preferred embodiment of the invention, the copper-barrier layer comprises a silicon carbide layer. This silicon carbide is understood to be a layer composed of at least Si and C, e.g., SiC, or of at least Si, C, and O, i.e., a silicon oxycarbide, e.g., SiOC, or at least Si, C, and H, such as, e.g., amorphous hydrogenated silicon carbide, SiC:H.
  • The insulating material is a porous low k dielectric, commercially available as porous SiLK™ (Dow Chemical). The substrate comprises a wafer subjected to back-end IC processing. The porous insulating layer is covered with a hardmask material, e.g., SiO2, SiC, or a combination of SiO2 and SiC, or a spin-on hardmask, on top of which photoresist is spun. The substrates are introduced into a pressurized chamber of a plasma-etch tool, such as a reactive ion etch (RIE) plasma tool, and then processed. The temperature in the chamber is in the range of from 15 to 40 degrees C. RF power settings range from 200 to 2200 W for both electrodes. Operating pressure is typically between 50 and 250 mTorr. The process is performed using plasma etching with a gaseous mixture comprising at least three components. The first component contains the chemistries for the in-situ deposition of the barrier layer. For SiC, 3-methyl-silane (3MS) or 4-methyl-silane (4MS) is used.
  • The second component contains the chemistries accounting for the anisotropic etching of this barrier layer. Fluorine-containing gases such as CF4, CHF3, CH2F2, or CHF3 are used. An inorganic hardmask opening is also performed using these gases in combination with an inert gas such as argon in combination with O2 and/or CO.
  • The third component contains the chemistries for the anisotropic etching of the insulating dielectric whereby the opening is created. Preferred chemistries for this third component are N2/O2, N2/H2, and O2, optionally combined with CH4 or C2H4 for enhanced side wall passivation and hardmask selectivity.
  • The first step in the processing sequence is the hardmask opening. The second step is etching of the porous insulating material while a SiC layer is deposited on the side walls. To propagate the etching, it is preferred to continuously remove the SiC at the bottom of the etched feature, until the SiC copper diffusion barrier is reached. Finally, this barrier is etched anisotropically, so as not to remove the SiC on the side walls.
  • In another preferred embodiment, a method is disclosed for forming at least one opening in an insulating layer on a substrate while depositing a barrier layer on the side walls of the opening, the method comprising the steps of:
      • subjecting the substrate to a plasma, the plasma being generated in a mixture comprising at least two components:
        • a first component for depositing the barrier layer on at least the side walls of the opening, and
        • a second component for forming an opening in the insulating layer;
      • etching the insulating layer with the plasma; and
      • depositing the barrier layer on the side walls of the opening with the plasma.
  • Contrary to the preferred embodiments described previously, in this embodiment it is not necessary to remove the barrier layer from the bottom of the opening. This is particularly relevant when the barrier layer is made of a conductive material.
  • The various aspects of this preferred embodiment are similar to those of previously described preferred embodiments, with the exception that the gaseous mixture does not comprise a third component.
  • In a second aspect of a preferred embodiment, a device is disclosed. The device comprises an insulating layer on a substrate, the insulating layer having an opening, the side walls of the opening being covered with a barrier layer, wherein the bottom of the opening is essentially not covered with the barrier layer. The device is obtainable by a method comprising the steps of:
      • subjecting the substrate to a plasma, the plasma being generated in a gaseous mixture comprising at least three components:
        • a first component for depositing the metal barrier layer on at least the side walls of the opening,
        • a second component for forming an opening in the insulating layer, and
        • a third component for removing the barrier layer being formed on the bottom of the opening;
      • etching the insulating layer with the plasma; and
      • depositing the barrier layer on the side walls of the opening with the plasma.
  • The scope of the method is determined by the disclosure of the first aspect of a preferred embodiment.
  • In an embodiment of the second aspect of the preferred embodiment, a device is disclosed, the device comprising a porous insulating layer on a substrate, the porous insulating layer having an opening, the side walls of the opening being covered with a barrier layer wherein the bottom of the opening is essentially not covered with the barrier layer. The device is obtainable by a method comprising the steps of:
      • subjecting the substrate to a plasma, the plasma being generated in a gaseous mixture comprising at least three components:
        • a first component for depositing the metal barrier layer on at least the side walls of the opening,
        • a second component for forming an opening in the insulating layer, and
        • a third component for removing the barrier layer being formed on the bottom of the opening;
      • etching the insulating layer with the plasma; and
      • depositing the barrier layer on the side walls of the opening with the plasma.
  • In the prior art, etching an opening in an insulating material would result in rough openings, since the pores of the insulating material are intersected by the plasma. When the barrier layer is subsequently deposited by a technique known in the prior art, e.g., PVD, the barrier layer has the same profile as the rough side wall of the opening. This has a detrimental effect on the final device performance. By applying the method as described above, the opening in the side walls are filled in the first part of the insulating layer, and a barrier layer will be formed on the side walls. This results in smooth side walls.
  • The device as disclosed in the second aspect of a preferred embodiment is characterized in that the pores that are intersected by the plasma during the formation of the opening are filled with material forming the barrier layer and that a barrier layer, preferably a thin layer, is formed on the side walls such that the side walls are essentially smooth.
  • The above description discloses several methods and materials of the present invention. This invention is susceptible to modifications in the methods and materials. Such modifications will become apparent to those skilled in the art from a consideration of this disclosure or practice of the invention disclosed herein. Consequently, it is not intended that this invention be limited to the specific embodiments disclosed herein, but that it cover all modifications and alternatives coming within the true scope and spirit of the invention as embodied in the attached claims. The disclosures of all references cited herein are hereby incorporated by reference in their entireties.

Claims (15)

1. A device comprising an insulating layer on a substrate, the insulating layer having an opening, wherein side walls of the opening are covered with a barrier layer and a bottom of the opening is essentially not covered with the barrier layer, characterized in that the device is produced by a method comprising the steps of:
subjecting the substrate to a plasma, wherein the plasma is generated in a gaseous mixture comprising at least three components, the components comprising: a first component for depositing the metal barrier layer on at least the side walls of the opening, a second component for forming an opening in the insulating layer, and a third component for removing the barrier layer formed on the bottom of the opening;
etching the insulating layer with the plasma; and
depositing the barrier layer on the side walls of the opening with the plasma.
2. A device as recited in claim 1, wherein the first component is selected from the group consisting of 1-methyl silane, 2-methyl silane, 3-methyl silane, 4-methyl-silane, a mixture of SiH4 and N2, and mixtures thereof.
3. A device as recited in claim 1, wherein the second component is selected from the group consisting of NxOy, CxFyHxOu, N2/O2 mixtures, N2/H2 mixtures, O2, O3, and mixtures thereof.
4. A device as recited in claim 1, wherein the third component comprises a chemical compound that forms a halogen ion or a radical in the plasma.
5. A device as recited in claim 4, wherein the third component is selected from the group consisting of NF3, SF6, F2, ClF3, and mixtures thereof.
6. A device as recited in claim 1, wherein the gaseous mixture further comprises an inert gas.
7. A device as recited in claim 1, wherein the plasma is a continuous plasma.
8. A device as recited in claim 1, wherein the plasma is a pulsed plasma.
9. A device as recited in claim 1, wherein the barrier layer is a metal diffusion barrier layer.
10. A device as recited in claim 9, wherein the barrier layer comprises silicon carbide.
11. A device as recited in claim 1, wherein the insulating layer comprises a porous material.
12. A device as recited in claim 1, wherein the insulating is an organic containing insulating layer.
13. A device as recited in claim 1, wherein the insulating layer is an inorganic containing insulating layer.
14. A device as recited in claim 1, wherein the opening is a via hole, the via hole extending through the insulating layer to an underlying conductive layer or an underlying barrier layer.
15. A device as recited in claim 1, the method for producing the device further comprising the steps of:
covering the insulating layer with a bilayer, the bilayer comprising a resist hard mask layer formed on the insulating layer and a resist layer formed on the hard mask layer; and
patterning the bilayer.
US10/962,339 2001-02-15 2004-10-08 Method of fabricating a semiconductor device Abandoned US20050056941A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/962,339 US20050056941A1 (en) 2001-02-15 2004-10-08 Method of fabricating a semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US26910901P 2001-02-15 2001-02-15
US10/078,284 US6821884B2 (en) 2001-02-15 2002-02-15 Method of fabricating a semiconductor device
US10/962,339 US20050056941A1 (en) 2001-02-15 2004-10-08 Method of fabricating a semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/078,284 Division US6821884B2 (en) 2001-02-15 2002-02-15 Method of fabricating a semiconductor device

Publications (1)

Publication Number Publication Date
US20050056941A1 true US20050056941A1 (en) 2005-03-17

Family

ID=23025835

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/078,284 Expired - Lifetime US6821884B2 (en) 2001-02-15 2002-02-15 Method of fabricating a semiconductor device
US10/962,303 Abandoned US20050048782A1 (en) 2001-02-15 2004-10-08 Method of fabricating a semiconductor device
US10/962,339 Abandoned US20050056941A1 (en) 2001-02-15 2004-10-08 Method of fabricating a semiconductor device

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/078,284 Expired - Lifetime US6821884B2 (en) 2001-02-15 2002-02-15 Method of fabricating a semiconductor device
US10/962,303 Abandoned US20050048782A1 (en) 2001-02-15 2004-10-08 Method of fabricating a semiconductor device

Country Status (3)

Country Link
US (3) US6821884B2 (en)
EP (1) EP1233449A3 (en)
JP (1) JP4625229B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048782A1 (en) * 2001-02-15 2005-03-03 Serge Vanhaelemeersch Method of fabricating a semiconductor device
US20070281474A1 (en) * 2006-05-19 2007-12-06 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device
US20080081483A1 (en) * 2006-09-30 2008-04-03 Semiconductor Manufacturing International (Shanghai) Corporation Pulsed plasma etching method and apparatus
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20090184089A1 (en) * 2007-12-21 2009-07-23 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US20100105208A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US10062602B2 (en) 2012-12-28 2018-08-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of etching a porous dielectric material

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100448592B1 (en) * 2001-12-29 2004-09-13 주식회사 하이닉스반도체 Method of forming a copper wiring in a semiconductor device
US6831003B1 (en) * 2002-05-31 2004-12-14 Advanced Micro Devices, Inc. Continuous barrier for interconnect structure formed in porous dielectric material with minimized electromigration
US6620741B1 (en) * 2002-06-10 2003-09-16 Intel Corporation Method for controlling etch bias of carbon doped oxide films
JP2004200203A (en) * 2002-12-16 2004-07-15 Semiconductor Leading Edge Technologies Inc Semiconductor device and its manufacturing method
EP1593153A1 (en) 2003-02-03 2005-11-09 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained by using such a method
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7101785B2 (en) * 2003-07-22 2006-09-05 Infineon Technologies Ag Formation of a contact in a device, and the device including the contact
JP2005217371A (en) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing the same
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
US20060009030A1 (en) * 2004-07-08 2006-01-12 Texas Instruments Incorporated Novel barrier integration scheme for high-reliability vias
US20070066740A1 (en) * 2005-09-16 2007-03-22 Odle Roy R Annular or tubular shaped articles of novel polymer blends
US8018023B2 (en) * 2008-01-14 2011-09-13 Kabushiki Kaisha Toshiba Trench sidewall protection by a carbon-rich layer in a semiconductor device
JP6097192B2 (en) * 2013-04-19 2017-03-15 東京エレクトロン株式会社 Etching method
FR3018951B1 (en) 2014-03-18 2017-06-09 Commissariat Energie Atomique METHOD FOR ETCHING A POROUS DIELECTRIC MATERIAL
US9941125B2 (en) * 2015-08-31 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JP6748354B2 (en) * 2015-09-18 2020-09-02 セントラル硝子株式会社 Dry etching method and dry etching agent
US10242510B2 (en) 2016-06-27 2019-03-26 Snap-On Incorporated System and method for providing vehicle data reports
US11361992B2 (en) * 2019-10-08 2022-06-14 Eugenus, Inc. Conformal titanium nitride-based thin films and methods of forming same
KR20210138927A (en) * 2020-05-13 2021-11-22 에스케이하이닉스 주식회사 Method for fabricating semiconductor device
JP7371590B2 (en) * 2020-08-28 2023-10-31 味の素株式会社 Manufacturing method of printed wiring board
JP7327347B2 (en) 2020-10-19 2023-08-16 味の素株式会社 Method for manufacturing printed wiring board

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US6100587A (en) * 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6300236B1 (en) * 1997-09-30 2001-10-09 International Business Machines Corporation Copper stud structure with refractory metal liner
US6329290B1 (en) * 2000-02-24 2001-12-11 Conexant Systems, Inc. Method for fabrication and structure for high aspect ratio vias
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US20020106895A1 (en) * 2001-02-08 2002-08-08 Macronix International Co., Ltd. Method for forming copper interconnect and enhancing electromigration resistance
US20020119664A1 (en) * 2000-12-22 2002-08-29 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6577009B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of dielectric layer
US6750137B1 (en) * 1999-03-26 2004-06-15 Canon Sales Co., Inc. Method and apparatus for forming an interlayer insulating film and semiconductor device
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0368732B1 (en) * 1988-11-04 1995-06-28 Fujitsu Limited Process for forming resist mask pattern
US5155175A (en) * 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JP3641869B2 (en) * 1996-03-19 2005-04-27 ソニー株式会社 Manufacturing method of semiconductor device
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6465159B1 (en) * 1999-06-28 2002-10-15 Lam Research Corporation Method and apparatus for side wall passivation for organic etch
JP2001035832A (en) * 1999-07-16 2001-02-09 Canon Inc Dry etching method
US6180518B1 (en) * 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US5904154A (en) * 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US6300236B1 (en) * 1997-09-30 2001-10-09 International Business Machines Corporation Copper stud structure with refractory metal liner
US6750137B1 (en) * 1999-03-26 2004-06-15 Canon Sales Co., Inc. Method and apparatus for forming an interlayer insulating film and semiconductor device
US6100587A (en) * 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6329290B1 (en) * 2000-02-24 2001-12-11 Conexant Systems, Inc. Method for fabrication and structure for high aspect ratio vias
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US20020119664A1 (en) * 2000-12-22 2002-08-29 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US6518174B2 (en) * 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US6577009B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of dielectric layer
US20020106895A1 (en) * 2001-02-08 2002-08-08 Macronix International Co., Ltd. Method for forming copper interconnect and enhancing electromigration resistance
US6821884B2 (en) * 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US20050048782A1 (en) * 2001-02-15 2005-03-03 Serge Vanhaelemeersch Method of fabricating a semiconductor device

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048782A1 (en) * 2001-02-15 2005-03-03 Serge Vanhaelemeersch Method of fabricating a semiconductor device
US20070281474A1 (en) * 2006-05-19 2007-12-06 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device
US8669183B2 (en) * 2006-05-19 2014-03-11 Sanyo Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
US20080081483A1 (en) * 2006-09-30 2008-04-03 Semiconductor Manufacturing International (Shanghai) Corporation Pulsed plasma etching method and apparatus
US20090184089A1 (en) * 2007-12-21 2009-07-23 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US9330926B2 (en) 2007-12-21 2016-05-03 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US9865472B2 (en) 2007-12-21 2018-01-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US20090176375A1 (en) * 2008-01-04 2009-07-09 Benson Russell A Method of Etching a High Aspect Ratio Contact
US20100105208A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US20100105209A1 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
WO2010047976A2 (en) * 2008-10-23 2010-04-29 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
WO2010047976A3 (en) * 2008-10-23 2010-07-01 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8598037B2 (en) 2008-10-23 2013-12-03 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US9018098B2 (en) 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US10062602B2 (en) 2012-12-28 2018-08-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of etching a porous dielectric material

Also Published As

Publication number Publication date
JP2002367972A (en) 2002-12-20
EP1233449A3 (en) 2006-03-01
US20020173142A1 (en) 2002-11-21
EP1233449A2 (en) 2002-08-21
US20050048782A1 (en) 2005-03-03
US6821884B2 (en) 2004-11-23
JP4625229B2 (en) 2011-02-02

Similar Documents

Publication Publication Date Title
US6821884B2 (en) Method of fabricating a semiconductor device
US7125792B2 (en) Dual damascene structure and method
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US10714379B2 (en) Reducing contact resistance in vias for copper interconnects
US7799693B2 (en) Method for manufacturing a semiconductor device
US8207060B2 (en) High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
KR100652334B1 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
JP2000332112A (en) Plasma washing method for opening part formed in more than one small relative permittivity insulating layer on copper metal coating of integrated circuit structure
KR20050086476A (en) A method for depositing a metal layer on a semiconductor interconnect structure
US7615494B2 (en) Method for fabricating semiconductor device including plug
US20030181034A1 (en) Methods for forming vias and trenches with controlled SiC etch rate and selectivity
US6872652B2 (en) Method of cleaning an inter-level dielectric interconnect
US8097536B2 (en) Reducing metal voids in a metallization layer stack of a semiconductor device by providing a dielectric barrier layer
KR20120052190A (en) Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices
US7091612B2 (en) Dual damascene structure and method
CN110970394A (en) Semiconductor structure and method for semiconductor process
KR100780680B1 (en) Method for forming metal wiring of semiconductor device
JP4948278B2 (en) Manufacturing method of semiconductor device
KR100603703B1 (en) Method for removing photoresist and method for forming metal line in semiconductor device using the same
KR20070033175A (en) Method of forming a metal wiring in a semiconductor device
WO2021173421A1 (en) Dielectric etch stop layer for reactive ion etch (rie) lag reduction and chamfer corner protection
KR20030054912A (en) Method of forming a metal line in semiconductor device
KR20050118469A (en) A method for forming a dual damascene pattern in semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM (IMEC),

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VANHAELEMEERSCH, SERGE;MAEX, KAREN;REEL/FRAME:015890/0511;SIGNING DATES FROM 20020312 TO 20020314

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION