US20050103265A1 - Gas distribution showerhead featuring exhaust apertures - Google Patents

Gas distribution showerhead featuring exhaust apertures Download PDF

Info

Publication number
US20050103265A1
US20050103265A1 US10/717,881 US71788103A US2005103265A1 US 20050103265 A1 US20050103265 A1 US 20050103265A1 US 71788103 A US71788103 A US 71788103A US 2005103265 A1 US2005103265 A1 US 2005103265A1
Authority
US
United States
Prior art keywords
exhaust
chamber
gas
showerhead
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/717,881
Inventor
Steven Gianoulakis
Karthik Janakiraman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/717,881 priority Critical patent/US20050103265A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GIANOULAKIS, STEVEN, JANAKIRAMAN, KARTHIK
Priority to CN2004800339871A priority patent/CN101120122B/en
Priority to PCT/US2004/039065 priority patent/WO2005052998A2/en
Priority to JP2006541584A priority patent/JP5001656B2/en
Priority to TW093135727A priority patent/TWI332997B/en
Publication of US20050103265A1 publication Critical patent/US20050103265A1/en
Priority to US11/452,786 priority patent/US7452827B2/en
Priority to KR1020067012156A priority patent/KR101081628B1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Definitions

  • Semiconductor wafer processing systems generally contain a process chamber having a pedestal or susceptor for supporting a semiconductor wafer within the chamber proximate a processing region.
  • the chamber forms a vacuum enclosure defining, in part, the process region.
  • a gas distribution assembly or showerhead provides one or more process gases to the process region. The gases may then be heated and/or supplied with energy to form a plasma which performs certain processes upon the wafer. These processes may include chemical vapor deposition (CVD) to deposit a film upon the wafer, or an etch reaction to remove material from the wafer.
  • CVD chemical vapor deposition
  • FIG. 2 shows a prior art deposition chamber 210 with a prior art showerhead 220 .
  • the prior art showerhead 220 features a plurality of equally spaced holes 222 in the lower surface 225 of the showerhead.
  • Process gases flow into the showerhead 220 through the inlet pipe 214 along the direction marked 215 .
  • the holes 222 serve to distribute the process gases along directions 218 inside the showerhead.
  • the process gases exit the showerhead through holes 222 and interact with the surface of the semiconductor wafer 230 .
  • the spatial distribution of the gases inside the showerhead determines the uniformity of gas distributed across the surface of the semiconductor wafer.
  • the process gases flow over the top surface 235 of the semiconductor wafer 230 and react with the surface 235 or with other gaseous species to form the desired film 236 on the wafer surface 235 .
  • the gases flow in directions 238 over the edge of the wafer and are exhausted through the annular exhaust port 250 .
  • process gases introduced by the showerhead over the center of the wafer generally flow in a radial direction along the wafer surface and over the edges of the wafer along directions 238 . Therefore, the velocity of gaseous species may increase as the gases flow in a radial direction toward the edge of the wafer.
  • the rate of deposition typically depends on the flow of reactive species to the semiconductor wafer surface. If the velocity of reactive species increases in the radial direction, the deposition rate may be greater near the wafer periphery than near the wafer center, resulting in non-uniform film thickness.
  • Embodiments in accordance with the present invention relate to systems and methods for distributing process gases over the surface of a workpiece.
  • process gases are flowed from a source to a workpiece surface through a gas distribution showerhead defining a plurality of orifices.
  • the gas distribution showerhead also features a plurality of exhaust orifices for removing material from above the wafer surface.
  • the supplemental exhaust afforded by the showerhead exhaust orifices serves to reduce variations in gas velocity attributable to radial flow across the wafer surface, thereby enhancing the uniformity of processing at the edge of the wafer versus the center of the wafer.
  • An embodiment of an apparatus in accordance with the present invention comprises walls enclosing a process chamber, and a wafer susceptor positioned within the chamber.
  • a first exhaust conduit is in fluid communication with the chamber
  • a processing gas source is in fluid communication with the chamber through a gas distribution showerhead.
  • the gas distribution showerhead comprises a first channel in fluid communication with the processing gas source and with apertures distributed over a lower surface of the showerhead, and a second channel separate from the first channel and in fluid communication with a second exhaust conduit and with exhaust apertures distributed over the lower surface of the showerhead.
  • An embodiment of a method in accordance with the present invention for processing a semiconductor workpiece comprises, flowing a process gas to a semiconductor workpiece through a first plurality of orifices positioned in a gas distribution faceplate. Gas is removed from over the semiconductor workpiece through a chamber exhaust port and a second plurality of orifices positioned in the gas distribution faceplate.
  • An embodiment of a method in accordance with the present invention for processing a semiconductor wafer in a chamber comprises, inserting a semiconductor wafer into the chamber, and evacuating the chamber through a first exhaust port. At least one process gas is introduced through a first set of orifices located on a surface of a showerhead. Gas is removed through the first exhaust port, and gas is removed through a plurality of orifices positioned on the surface of the showerhead.
  • An embodiment of a method in accordance with the present invention of controlling uniformity of a property of a film deposited on a semiconductor wafer comprises, positioning a wafer in a processing chamber, and introducing gases to the wafer through a first plurality of orifices positioned on a faceplate. The gases are removed through a second plurality of orifices positioned on the faceplate, and the gases are simultaneously removed across a radial exhaust path.
  • FIG. 1A is a simplified schematic diagram of a CVD system.
  • FIG. 1B is a simplified schematic illustration of an exploded, perspective view of the chamber wall portion of a CVD system.
  • FIG. 1C is a simplified schematic illustration of an exploded, perspective view of the chamber lid assembly of a CVD system.
  • FIG. 2 is a simplified schematic diagram of a prior art deposition chamber and showerhead.
  • FIG. 3A is a simplified schematic diagram of a deposition chamber according to an embodiment of the present invention.
  • FIG. 3B is a simplified schematic diagram of a deposition chamber according to an alternative embodiment of the present invention.
  • FIG. 3C is a simplified schematic diagram of a deposition chamber according to an additional embodiment of the present invention.
  • FIG. 4A is a simplified side, cross sectional view of a showerhead according to an embodiment of the present invention.
  • FIG. 4B is a simplified bottom view of a showerhead according to an embodiment of the present invention.
  • FIG. 4C is a simplified bottom view of a showerhead illustrating the relationship between the bottom view figures.
  • FIG. 4C A is an enlarged view of a portion of the underside of the showerhead shown in FIG. 4C .
  • FIG. 5 is a simplified bottom view of a showerhead according to another embodiment of the present invention.
  • FIG. 6 is a simplified bottom view of a radial section of a showerhead according to an embodiment of the present invention.
  • FIG. 7 is a simplified bottom view of a radial section of a showerhead according to another embodiment of the present invention.
  • FIG. 8 is a simplified bottom view of a radial section of a showerhead according to another embodiment of the present invention.
  • FIG. 9A is a flowchart illustrating an embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • FIG. 9B is a flowchart illustrating an alternative embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • FIG. 9C is a flowchart illustrating another alternative embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • Embodiments in accordance with the present invention relate to systems and methods for distributing process gases over the surface of a workpiece.
  • process gases are flowed from a source to a workpiece surface through a gas distribution showerhead defining a plurality of orifices.
  • the gas distribution showerhead also features a plurality of exhaust orifices for removing material from above the wafer surface.
  • the supplemental exhaust afforded by the showerhead exhaust orifices serves to reduce variations in gas velocity attributable to radial flow across the wafer surface, thereby enhancing the uniformity of processing at the edge of the wafer versus the center of the wafer.
  • FIG. 3A illustrates a deposition chamber 300 according to an embodiment of the present invention.
  • Process gases enter the chamber through the showerhead 310 with dual channel faceplate 311 and flow into a cylindrical volume 305 located above the surface of the semiconductor wafer 320 .
  • the flow of process gases into the chamber is illustrated by arrows 312 extending through the faceplate on the lower surface of the showerhead.
  • the cylindrical volume 305 defined by the area of the wafer and the distance between the wafer and the faceplate is sometimes referred to as the reaction region. Reaction of the deposition gases with each other and the semiconductor wafer result in deposition of film 321 on the upper surface of the semiconductor wafer 320 .
  • gases After passing over the edge of the susceptor, gases are exhausted through the primary or main annular exhaust port 340 , which in certain embodiments may be separated from the process chamber by a ceramic ring 341 containing holes 349 . Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 322 located on the peripheral edges of the susceptor 330 .
  • This main exhaust port has sufficient capacity to maintain a desired process pressure by controlling the quantity of exhaust gas flowing through the exhaust port 340 .
  • the quantity of exhaust gases is identified by a specific recipe.
  • this main exhaust port has sufficient capacity to ensure maintenance within the processing chamber of sufficiently low pressures to sustain a plasma therein.
  • the exhaust of gases through port 340 also minimizes re-deposition, which may occur if unreacted gases are not exhausted from the chamber and pass back over the surface of the wafer.
  • the operator may wish to control the distance between the semiconductor wafer 320 and faceplate 310 to compensate for the impact of various process parameters dependent upon the wafer to faceplate distance.
  • process parameters include but are not limited to, the concentration of reactive species, the residence times of reactive species, and the temperature.
  • embodiments in accordance with the present invention also provide additional supplemental exhaust paths through the dual channel showerhead.
  • arrows 314 and 316 of FIG. 3A illustrate the supplemental exhaust path that passes through the lower surface of faceplate 311 and out the side of the showerhead.
  • exhaust lines 318 connected to the showerhead are routed outside the main chamber 300 , separating the supplemental showerhead exhaust gases flowing along paths 314 and 316 from the primary radial exhaust gases flowing along paths 322 .
  • Valves 346 are installed in exhaust lines 318 to provide control over the supplemental exhaust gas flow rate and pressure. In the particular embodiment illustrated in FIG.
  • valve 348 is installed in exhaust line 342 to provide control over the primary exhaust gas flow rate and pressure.
  • FIG. 3B illustrates an alternative embodiment in accordance with the present invention in which exhaust lines 367 connected to showerhead 360 remain inside the chamber 350 .
  • Process gases enter the chamber through the showerhead 360 with dual channel faceplate 361 .
  • the flow of process gases into the chamber is illustrated by arrows 362 extending through the faceplate on the lower surface of the showerhead.
  • Reaction of the deposition gases with each other and with the semiconductor wafer result in film deposition 371 on the upper surface of the semiconductor wafer 370 .
  • the gap proximate to the outer edge of the susceptor 355 defines a primary exhaust path. Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 372 located on the peripheral edges of the susceptor 355 .
  • the exhaust gases from the showerhead 366 and the radial exhaust gases 372 are combined in region 368 and are removed through main exhaust port 373 by means of vacuum pump 374 .
  • a single foreline pump is connected to exhaust port 373 to exhaust the chamber 350 .
  • FIG. 3C An additional embodiment in accordance with the present invention is illustrated in FIG. 3C .
  • both primary pump 390 and secondary pump 391 exhaust gases from the chamber.
  • Process gases enter the chamber 376 through the showerhead 377 with dual channel faceplate 378 .
  • the flow of process gases into the chamber is illustrated by arrows 385 extending through the faceplate on the lower surface of the showerhead. Reaction of the deposition gases with each other and with the semiconductor wafer result in the deposition of film 382 on the upper surface of the semiconductor wafer 381 .
  • Primary pump 390 exhausts gases along radial exhaust path 386 and secondary pump 391 exhausts gases along supplemental exhaust path 387 and 388 .
  • the annular exhaust port proximate to the outer edge of the susceptor 380 defines an exhaust path for deposition gases. Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 386 , located on the peripheral edges of the susceptor 380 .
  • the exhaust lines 395 connected to the showerhead 377 are routed outside the main chamber 376 , separating the showerhead exhaust gases 387 and 388 from the radial exhaust gases 386 .
  • the exhaust line 396 connected to the main exhaust port 394 is connected the a primary foreline pump 390 .
  • a separate foreline pump 391 is connected to the exhaust line 393 , which is connected to the supplemental exhaust lines 395 in communication with the showerhead. Consequently, in the embodiment illustrated in FIG. 3C , separate pumps exhaust the gases from the separate radial and supplementary exhaust paths.
  • valve 397 is located in exhaust line 396 and valve 392 is located in exhaust line 393 .
  • the valves 392 and 392 may be used to create differential pumping pressure between the primary and supplemental exhaust paths.
  • the area of the faceplate dedicated to exhaust paths is a function of radial distance from the center of the faceplate.
  • the additional exhaust paths provided by the dual channel faceplate enable one skilled in the art to optimize the deposition process by exercising precise control over the process parameters as a function of radial distance from the center of the wafer. These parameters may include but are not limited to, for example, the concentration of reactive species, the residence time of the reactive species, the concentration of carrier gases, the velocity of gas flow, and the gas pressure in the reaction region.
  • the optimization of the deposition process utilizing the dual channel faceplate architecture in accordance with an embodiment of the present invention may increase uniformity of film thickness across the wafer surface. Optimization of the process may also result in preferential variation in film thickness, density, index of refraction, dielectric constant, or other film properties as a function of radial distance from the center of the wafer.
  • FIG. 4A is an enlarged cross section view showing details of a distribution/exhaust showerhead according to an embodiment of the present invention.
  • the showerhead 400 is a component of a larger chamber 440 .
  • This embodiment of the distribution/exhaust showerhead 400 includes gas distribution apertures 410 located at various locations on the bottom surface of the faceplate 405 .
  • Process gases are injected through distribution channels 410 and distribution apertures 411 , flow along lines 412 and contact the top surface of semiconductor wafer 430 .
  • Exhaust gases flow along lines 418 and through exhaust path 419 as they are exhausted over the edge of the semiconductor wafer 430 in a radial direction.
  • the exhaust path 419 may be referred to as the primary exhaust path.
  • the distribution/exhaust showerhead 400 also includes gas exhaust apertures 415 located at various locations on the bottom surface of the faceplate 405 . Additional exhaust gases flow from a region near the top surface of the semiconductor wafer 430 and through the gas exhaust apertures 415 and gas exhaust channels 416 . These exhaust gases flow along lines 417 and are exhausted from the reaction chamber. In some embodiments of the present invention, the exhaust path through channel 416 is referred to as the supplementary exhaust path. The percentage of gases exhausted through exhaust channel 419 and through exhaust channel 416 will depend on the gas pressure along the surface of the wafer and in the primary and supplementary exhaust channels, among other factors.
  • FIG. 4B shows a partial bottom view of an embodiment of the faceplate according to the present invention.
  • gas distribution apertures include injection holes 450 located at various locations across the bottom of the faceplate.
  • Gas exhaust apertures include exhaust holes 455 located at various other locations across the bottom of the faceplate.
  • FIGS. 4 C- 4 CA illustrates how the simplified partial bottom view illustrations presented in FIG. 4B - FIG. 8 relate to the larger faceplate design.
  • These partial bottom view illustrations represent a magnified view 480 in FIG. 4C A, of a portion 485 of the faceplate 475 shown in FIG. 4C . Consequently, details related to the circular nature of the faceplate, which are obvious to one of skill in the art, are omitted from these bottom view illustrations.
  • the area of the faceplate comprising gas distribution apertures can be summed to determine a combined (or total faceplate) distribution area.
  • the area of the faceplate comprising exhaust apertures can be summed to determine a combined (or total faceplate) exhaust area.
  • the ratio of the combined distribution area to the combined exhaust area is approximately 4:1. Furthermore, this ratio of the combined distribution/exhaust area is constant across the surface of the faceplate.
  • the number of both the gas distribution apertures and the gas exhaust apertures can be selected to optimize the ratios and flow rates of the various process gases.
  • the number of exhaust apertures, and thus the exhaust aperture area may be varied as a function of faceplate position to control the localized flow of gaseous species in accordance with process requirements.
  • the size of both the gas distribution and exhaust apertures can be varied in accordance with process requirements.
  • a larger number of small apertures can be located on the faceplate to attain the same aperture area as that attained with a smaller number of large apertures.
  • embodiments in accordance with the present invention provide the required flexibility to attain this goal.
  • FIG. 4B While the embodiment shown in FIG. 4B features a distribution/exhaust area ratio that is constant as a function of radial distance, this is not required by the present invention. In accordance with alternative embodiments, the ratio of the distribution aperture area to the exhaust aperture area may be varied across the faceplate to promote either process uniformity or variation, as desired.
  • FIG. 5 accordingly illustrates another embodiment of the present invention in which the number of exhaust apertures 520 is increased relative to that illustrated in FIG. 4B , resulting in an increase in the combined exhaust area.
  • the number of distribution apertures 510 have remained unchanged. Similar effects could be achieved by increasing the size of the gas exhaust apertures shown in FIG. 4B , thereby decreasing the ratio of combined distribution area to combined exhaust area while maintaining the same number of distribution and exhaust apertures.
  • FIG. 6 illustrates another embodiment of the present invention that may be utilized to further ensure radial uniformity of the deposited films.
  • the number of exhaust apertures 520 increases as the radial distance 630 from the center of the wafer increases. Therefore, the embodiment of FIG. 6 provides additional exhaust aperture area as the radial distance from the wafer center increases.
  • the embodiment of FIG. 6 increases the localized ratio of gas distribution area to gas exhaust area as a function of radial distance from the center of the wafer.
  • FIG. 7 illustrates an alternative functional relationship, in which the exhaust aperture area decreases with radial distance 630 .
  • the increase in exhaust area can be linear with respect to the radial distance as shown in Eqn. 1.
  • FIG. 6 illustrates a step-wise linear relationship, as the exhaust area increases by an additional exhaust aperture 520 per unit area with each group of two distribution blocks.
  • Area exhaust K ⁇ dist radial (Eqn. 1)
  • the increase in exhaust aperture area on the showerhead can be non-linear with respect to the radius.
  • Such a non-linear relationship could take the form of a function that monotonically increases or decreases with distance, for example increasing the exhaust area with the square of the radial distance.
  • FIG. 8 illustrates an alternative functional relationship, in which, starting at the center of the wafer, the exhaust aperture area increases with radial distance, reaches a maximum, then decreases as the radial distance increases to the radius of the faceplate.
  • the exhaust aperture area increases with radial distance, reaches a maximum, then decreases as the radial distance increases to the radius of the faceplate.
  • the embodiments discussed above increase or decrease the localized exhaust area to produce variation in the localized ratio of gas distribution area to gas exhaust area as a function of radial distance.
  • the local gas distribution area as a function of radial distance from the center of the wafer could be varied to achieve the desired results.
  • the size and number of gas distribution apertures can be varied to achieve the desired distribution of reactive species.
  • the susceptor is controllably translatable in the vertical direction.
  • the vertical motion of the susceptor is often used in wafer loading and unloading operations, as well as to vary the distance from the wafer to the faceplate during deposition.
  • Variation of the distance from the wafer to the faceplate during deposition can have several impacts on the deposition process.
  • deposition processes have used a wide spacing ( ⁇ 150 mils) between the wafer and the faceplate.
  • the gas pressure in the reaction region may be non-uniform across the wafer surface, with the pressure at the wafer edge typically less than the pressure at the wafer center. This decreased pressure at the wafer periphery lowers the concentration of reactive species and reduces deposition at the wafer edge.
  • additional exhaust area may be provided on the showerhead to increase flow of exhaust gases near the wafer center, reducing on a local scale the concentration of reactive species and the resulting deposition rate.
  • FIG. 7 illustrates such an embodiment, in which the number of exhaust apertures and consequently, the exhaust area, is greater in the center of the faceplate than near the edges.
  • the size of the individual exhaust apertures could be increased to achieve the same increase in exhaust area.
  • the susceptor or other support structure may be characterized by a non-uniform temperature distribution.
  • the temperature at the center of susceptor may be maintained at a higher temperature than the susceptor periphery to enable rapid cooling of the susceptor without introduction of tensile stress and possible fracture of the susceptor assembly.
  • deposition rate is partly a function of temperature
  • an increased temperature at the susceptor center may decrease the local deposition rate with respect to the susceptor edge.
  • Embodiments of the present invention can counteract such non-uniform deposition by increasing the exhaust flow near the center of the wafer, thereby increasing the concentration of reactive species and hence the reaction rate.
  • CMP chemical mechanical polishing
  • an embodiment in accordance with the present invention may be used to deposit films having non-uniform thickness as a function of radial distance from the center of the wafer, thereby counteracting non-uniform effects of the CMP process.
  • the end result of such a two-step deposition/polishing process will produce a film exhibiting desired thickness uniformity.
  • FIG. 9A is a flowchart illustrating a method 900 in which a deposition system may be operated in accordance with the present invention.
  • a wafer is inserted into the deposition chamber by means known to those skilled in the art.
  • the chamber is sealed and evacuated to a reduced pressure.
  • the chamber may be evacuated by opening the valve in the foreline connected to the primary pump.
  • the chamber may be evacuated by opening the valve in the foreline connected to the secondary exhaust pump or both the primary and secondary pumps in combination.
  • the pressure may be lowered to a level sufficient to support the generation of a plasma in the chamber. For example, the pressure may be lowered to a pressure between 5 and 20 torr.
  • step 912 process gases are introduced into the chamber through a plurality of orifices located on the faceplate of the showerhead.
  • the number, size, and distribution of these gas distribution apertures has been described extensively above.
  • the process gases flow over the top surface of the semiconductor wafer and react with the surface or with other gaseous species to form the desired film on the wafer surface.
  • Process gases and reaction byproducts are simultaneously exhausted from the chamber through the primary radial exhaust path in step 916 and the secondary exhaust path comprising exhaust channels in the showerhead in step 918 .
  • the ratio of gas volume passing through these alternate exhaust paths may be controlled by the relative positions of the valves installed in the exhaust lines of the respective paths.
  • step 920 Upon the completion of the deposition process, in step 920 the delivery of process gases is discontinued. In steps 922 and 924 , respectively, the chamber is returned to atmospheric pressure, and the wafer is removed.
  • FIG. 9B is a flowchart illustrating an alternative embodiment of a method of operating the deposition system in accordance with the present invention.
  • a wafer is inserted into the deposition chamber.
  • the chamber is sealed and evacuated to a reduced pressure using the primary exhaust path in step 932 .
  • the chamber is evacuated by opening of a valve located in the foreline connected to the primary exhaust pump.
  • process gases are introduced through a plurality of orifices located on the faceplate of the showerhead in step 934 .
  • step 936 initial exhaust of the process gases and reaction byproducts is accomplished through the use of the primary exhaust channel.
  • the process gases and reaction byproducts are simultaneously exhausted from the chamber through the first radial exhaust path in step 938 and the second exhaust path comprising exhaust channels in the showerhead in step 940 .
  • the majority of the exhaust gases pass through the primary exhaust channel, lines, and pump.
  • the secondary exhaust path is used to remove gases from the chamber in smaller amounts than the primary exhaust path, thereby providing the operator with a “fine-tuning” control over the process parameters.
  • the ratio of gas volume passing through the secondary and primary exhaust paths may be varied between a value close to zero and 1.
  • step 942 Upon the completion of the deposition process, in step 942 the delivery of process gases is discontinued. In steps 944 and 946 , respectively, the chamber is returned to atmospheric pressure, and the wafer is removed.
  • FIG. 9C is a flowchart of yet another alternative embodiment of a method of operating the chamber in accordance with the present invention.
  • the wafer is inserted into the chamber.
  • the chamber is evacuated in step 952 and a plasma is struck in the chamber in step 954 .
  • the chamber may be evacuated by the exhaust of gases through either the primary or secondary exhausts, or a combination of the two.
  • process gases are introduced into the chamber through a plurality of orifices located on a surface of the faceplate.
  • Process gases and reaction byproducts are removed from the chamber through both the primary and secondary exhaust paths in steps 958 and 960 , respectively.
  • the exhaust rates of the primary and secondary exhaust paths are adjusted during the deposition process in steps 962 and 964 .
  • the exhaust rates may be varied during the deposition process to modulate the properties of the deposited film. These properties may include, but are not limited to film thickness, density, index of refraction, or dielectric constant.
  • step 966 Upon the completion of the deposition process, in step 966 the flow of process gases is discontinued. In steps 968 and 970 , respectively, the chamber is vented to atmospheric pressure, and the wafer is removed.
  • the supplemental exhaust path provided through the showerhead of embodiments in accordance with the present invention offer certain advantages over the prior art.
  • the exhaust apertures present in the showerhead offer a supplemental exhaust path useful in optimizing the flow of reactive species near the wafer surface.
  • the variability in the ratio of the distribution to exhaust area as a function of radial distance provides spatial control over both the distribution and exhaust of process gases and reaction byproducts.
  • the volume of gases flowing in the radial direction across the wafer surface and out through the radial exhaust path may be modified by design of the faceplate.
  • the volume and concentration of reactive gas species flowing laterally across the wafer surface may be controlled by the selective exhaust of process gases and reaction byproducts through the supplementary showerhead exhaust paths.
  • the volume and concentration of reactive gas species flowing across the wafer may be maintained at a constant value as a function of radial distance, by increasing the exhaust aperture area in regions of increased lateral flow volume. Such improved process control may result in greater film uniformity.
  • the residence time of reactive species at the wafer surface may be controlled by the spatial distribution of exhaust aperture area of the showerhead.
  • FIG. 8 shown an embodiment in accordance with the present invention wherein the exhaust aperture area provided by the showerhead near the wafer center 835 and edge 840 is less than the exhaust aperture area at a distance equal to 1 ⁇ 2 the faceplate radius.
  • the region at a distance equal to 1 ⁇ 2 the faceplate radius may be referred to as the mid-radius region 830 . Consequently, process gases introduced at the wafer center 835 travel a larger distance across the wafer surface before exiting the reaction region through the faceplate in the mid-radius region 830 than process gases introduced closer to the mid-radius region 830 .
  • the flow of process gases across the wafer surface near the mid-radius region is enhanced by selective placement of gas distribution and exhaust apertures.
  • FIG. 1A is a vertical, cross-sectional view of a CVD system 10 , having a vacuum or processing chamber 15 that includes a chamber wall 15 a and chamber lid assembly 15 b .
  • Chamber wall 15 a and chamber lid assembly 15 b are shown in exploded, perspective views in FIGS. 1B and 1C .
  • CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber.
  • the substrate for example, a semiconductor wafer
  • the substrate is positioned on a flat (or slightly convex) surface 12 a ( FIG. 1B ) of pedestal 12 .
  • the pedestal can be moved controllably between a lower loading/off-loading position (not shown) and an upper processing position (shown in FIG. 1A ), which is closely adjacent to manifold 11 .
  • a centerboard (not shown) includes sensors for providing information on the position of the wafers.
  • Deposition and carrier gases are introduced into chamber 15 through perforated holes 13 b ( FIG. 1C ) of a flat, circular gas distribution faceplate 13 a , as has been described extensively above. More specifically, deposition process gases flow into the chamber through the inlet manifold 11 (indicated by arrow 40 in FIG. 1A ), through a conventional perforated blocker plate 42 and then through holes 13 b in gas distribution faceplate 13 a.
  • deposition and carrier gases are input from gas sources 7 a through gas supply lines 8 of gas delivery system 7 ( FIG. 1A ) into a mixing system 9 where they are combined and then sent to manifold 11 .
  • the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line.
  • toxic gases for example, ozone or halogenated gas
  • the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
  • the deposition process performed in CVD system 10 can be either a thermal process or a plasma-enhanced process.
  • an RF power supply 44 applies electrical power between the gas distribution faceplate 13 a and the pedestal so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and the pedestal, referred to as the “reaction region.” Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12 .
  • RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF 1 ) of 13.56 MHz and at a low RF frequency (RF 2 ) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15 .
  • RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on pedestal 12 , which is resistively heated to provide thermal energy for the reaction.
  • the plasma heats the entire process chamber 10 , including the walls of the chamber body 15 a surrounding the exhaust passageway 23 and the shut-off valve 24 .
  • a hot liquid is circulated through the walls 15 a of the process chamber to maintain the chamber at an elevated temperature.
  • Fluids used to heat the chamber walls 15 a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids.
  • This heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • the remainder of the gas mixture that is not deposited in a layer, including reaction products, is evacuated from the chamber by a vacuum pump 50 connected to the exhaust passageway 23 by foreline 55 .
  • the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17 .
  • the annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20 .
  • the 360° circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are typically important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
  • the gases flow underneath a lateral extension portion 21 of the exhaust plenum 17 , past a viewing port (not shown), through a downward-extending gas passage 23 , past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a ), and into the exhaust outlet 25 that connects to the external vacuum pump 50 through foreline 55 .
  • the wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively-heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
  • An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element passes through the stem of the pedestal 12 .
  • any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or a ceramic.
  • An example of such a CVD apparatus is described in U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber”.
  • the U.S. Pat. No. 5,558,717 is assigned to Applied Materials, Inc., the assignee of the present invention, and is incorporated by reference for all purposes.
  • a lift mechanism and motor raises and lowers the heated pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10 .
  • the motor raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position.
  • the motor, valves or flow controllers connected to the supply lines 8 , gas delivery system, throttle valve, RF power supply 44 , and chamber and substrate heating systems are all controlled by a system controller 34 ( FIG. 1A ) over control lines 36 , of which only some are shown. Controller 34 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 34 .
  • the system controller includes a hard disk drive (memory 38 ), a floppy disk drive and a processor 37 .
  • the processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • SBC single-board computer
  • Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • System controller 34 controls all of the activities of the CVD machine.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38 .
  • memory 38 is a hard disk drive, but memory 38 may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing of introduction and evacuation of gases, the mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
  • Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34 .
  • the above reactor description is mainly for illustrative purposes, and other plasma CVD equipment such as electron cyclotron resonance (ECR) plasma CVD devices, induction coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above-described system, such as variations in pedestal design, heater design, RF power frequencies, location of RF power connections and others are possible.
  • the wafer could be supported by a susceptor and heated by quartz lamps.
  • the layer and method for forming such a layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.

Abstract

Embodiments in accordance with the present invention relate to systems and methods for distributing process gases over the surface of a workpiece. In accordance with one embodiment of the present invention, process gases are flowed from a source to a workpiece surface through a gas distribution showerhead defining a plurality of orifices. The gas distribution showerhead also features a plurality of exhaust orifices for removing material above the wafer surface. The supplemental exhaust afforded by the showerhead exhaust orifices serves to reduce variations in gas velocity attributable to radial flow across the wafer surface, thereby enhancing the uniformity between resulting processing at the wafer edge and center. The ratio of the distribution and exhaust aperture areas may vary or remain constant across the faceplate. Additionally, the size and number of distribution and exhaust apertures may be selected to optimize gas distribution across the semiconductor wafer surface.

Description

    BACKGROUND OF THE INVENTION
  • Semiconductor wafer processing systems generally contain a process chamber having a pedestal or susceptor for supporting a semiconductor wafer within the chamber proximate a processing region. The chamber forms a vacuum enclosure defining, in part, the process region. A gas distribution assembly or showerhead provides one or more process gases to the process region. The gases may then be heated and/or supplied with energy to form a plasma which performs certain processes upon the wafer. These processes may include chemical vapor deposition (CVD) to deposit a film upon the wafer, or an etch reaction to remove material from the wafer.
  • As the size and complexity of semiconductor devices has increased, wafer real estate has become more valuable. Consequently, it is desirable to locate devices not only near the center of the wafer, but as close to the outer edge of the wafer as possible. Location of devices near the wafer periphery has increased the demands on the radial uniformity of wafer processing steps. As a result, it is desirable if semiconductor fabrication processes achieve uniformity across nearly the entire wafer surface.
  • FIG. 2 shows a prior art deposition chamber 210 with a prior art showerhead 220. The prior art showerhead 220 features a plurality of equally spaced holes 222 in the lower surface 225 of the showerhead. Process gases flow into the showerhead 220 through the inlet pipe 214 along the direction marked 215. The holes 222 serve to distribute the process gases along directions 218 inside the showerhead. The process gases exit the showerhead through holes 222 and interact with the surface of the semiconductor wafer 230. The spatial distribution of the gases inside the showerhead determines the uniformity of gas distributed across the surface of the semiconductor wafer.
  • During a deposition process, the process gases flow over the top surface 235 of the semiconductor wafer 230 and react with the surface 235 or with other gaseous species to form the desired film 236 on the wafer surface 235. The gases flow in directions 238 over the edge of the wafer and are exhausted through the annular exhaust port 250.
  • In the prior art deposition chamber illustrated in FIG. 2, to reach the exhaust port 250, process gases introduced by the showerhead over the center of the wafer generally flow in a radial direction along the wafer surface and over the edges of the wafer along directions 238. Therefore, the velocity of gaseous species may increase as the gases flow in a radial direction toward the edge of the wafer.
  • In a deposition process, the rate of deposition typically depends on the flow of reactive species to the semiconductor wafer surface. If the velocity of reactive species increases in the radial direction, the deposition rate may be greater near the wafer periphery than near the wafer center, resulting in non-uniform film thickness.
  • Therefore, there is a need in the art for an apparatus exhibiting improved uniformity of films deposited on semiconductor wafers.
  • SUMMARY OF THE INVENTION
  • Embodiments in accordance with the present invention relate to systems and methods for distributing process gases over the surface of a workpiece. In accordance with one embodiment of the present invention, process gases are flowed from a source to a workpiece surface through a gas distribution showerhead defining a plurality of orifices. The gas distribution showerhead also features a plurality of exhaust orifices for removing material from above the wafer surface. The supplemental exhaust afforded by the showerhead exhaust orifices serves to reduce variations in gas velocity attributable to radial flow across the wafer surface, thereby enhancing the uniformity of processing at the edge of the wafer versus the center of the wafer.
  • An embodiment of an apparatus in accordance with the present invention comprises walls enclosing a process chamber, and a wafer susceptor positioned within the chamber. A first exhaust conduit is in fluid communication with the chamber, and a processing gas source is in fluid communication with the chamber through a gas distribution showerhead. The gas distribution showerhead comprises a first channel in fluid communication with the processing gas source and with apertures distributed over a lower surface of the showerhead, and a second channel separate from the first channel and in fluid communication with a second exhaust conduit and with exhaust apertures distributed over the lower surface of the showerhead.
  • An embodiment of a method in accordance with the present invention for processing a semiconductor workpiece, comprises, flowing a process gas to a semiconductor workpiece through a first plurality of orifices positioned in a gas distribution faceplate. Gas is removed from over the semiconductor workpiece through a chamber exhaust port and a second plurality of orifices positioned in the gas distribution faceplate.
  • An embodiment of a method in accordance with the present invention for processing a semiconductor wafer in a chamber, comprises, inserting a semiconductor wafer into the chamber, and evacuating the chamber through a first exhaust port. At least one process gas is introduced through a first set of orifices located on a surface of a showerhead. Gas is removed through the first exhaust port, and gas is removed through a plurality of orifices positioned on the surface of the showerhead.
  • An embodiment of a method in accordance with the present invention of controlling uniformity of a property of a film deposited on a semiconductor wafer, comprises, positioning a wafer in a processing chamber, and introducing gases to the wafer through a first plurality of orifices positioned on a faceplate. The gases are removed through a second plurality of orifices positioned on the faceplate, and the gases are simultaneously removed across a radial exhaust path.
  • These and other embodiments of the present invention, as well as its features and some potential advantages are described in more detail in conjunction with the text below and attached figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a simplified schematic diagram of a CVD system.
  • FIG. 1B is a simplified schematic illustration of an exploded, perspective view of the chamber wall portion of a CVD system.
  • FIG. 1C is a simplified schematic illustration of an exploded, perspective view of the chamber lid assembly of a CVD system.
  • FIG. 2 is a simplified schematic diagram of a prior art deposition chamber and showerhead.
  • FIG. 3A is a simplified schematic diagram of a deposition chamber according to an embodiment of the present invention.
  • FIG. 3B is a simplified schematic diagram of a deposition chamber according to an alternative embodiment of the present invention.
  • FIG. 3C is a simplified schematic diagram of a deposition chamber according to an additional embodiment of the present invention.
  • FIG. 4A is a simplified side, cross sectional view of a showerhead according to an embodiment of the present invention.
  • FIG. 4B is a simplified bottom view of a showerhead according to an embodiment of the present invention.
  • FIG. 4C is a simplified bottom view of a showerhead illustrating the relationship between the bottom view figures.
  • FIG. 4CA is an enlarged view of a portion of the underside of the showerhead shown in FIG. 4C.
  • FIG. 5 is a simplified bottom view of a showerhead according to another embodiment of the present invention.
  • FIG. 6 is a simplified bottom view of a radial section of a showerhead according to an embodiment of the present invention.
  • FIG. 7 is a simplified bottom view of a radial section of a showerhead according to another embodiment of the present invention.
  • FIG. 8 is a simplified bottom view of a radial section of a showerhead according to another embodiment of the present invention.
  • FIG. 9A is a flowchart illustrating an embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • FIG. 9B is a flowchart illustrating an alternative embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • FIG. 9C is a flowchart illustrating another alternative embodiment of a method of operating a deposition chamber in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Embodiments in accordance with the present invention relate to systems and methods for distributing process gases over the surface of a workpiece. In accordance with one embodiment of the present invention, process gases are flowed from a source to a workpiece surface through a gas distribution showerhead defining a plurality of orifices. The gas distribution showerhead also features a plurality of exhaust orifices for removing material from above the wafer surface. The supplemental exhaust afforded by the showerhead exhaust orifices serves to reduce variations in gas velocity attributable to radial flow across the wafer surface, thereby enhancing the uniformity of processing at the edge of the wafer versus the center of the wafer.
  • FIG. 3A illustrates a deposition chamber 300 according to an embodiment of the present invention. Process gases enter the chamber through the showerhead 310 with dual channel faceplate 311 and flow into a cylindrical volume 305 located above the surface of the semiconductor wafer 320. The flow of process gases into the chamber is illustrated by arrows 312 extending through the faceplate on the lower surface of the showerhead. The cylindrical volume 305 defined by the area of the wafer and the distance between the wafer and the faceplate is sometimes referred to as the reaction region. Reaction of the deposition gases with each other and the semiconductor wafer result in deposition of film 321 on the upper surface of the semiconductor wafer 320.
  • After passing over the edge of the susceptor, gases are exhausted through the primary or main annular exhaust port 340, which in certain embodiments may be separated from the process chamber by a ceramic ring 341 containing holes 349. Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 322 located on the peripheral edges of the susceptor 330. This main exhaust port has sufficient capacity to maintain a desired process pressure by controlling the quantity of exhaust gas flowing through the exhaust port 340.
  • In an embodiment in accordance with the present invention, the quantity of exhaust gases is identified by a specific recipe. In some embodiments, this main exhaust port has sufficient capacity to ensure maintenance within the processing chamber of sufficiently low pressures to sustain a plasma therein. The exhaust of gases through port 340 also minimizes re-deposition, which may occur if unreacted gases are not exhausted from the chamber and pass back over the surface of the wafer.
  • The operator may wish to control the distance between the semiconductor wafer 320 and faceplate 310 to compensate for the impact of various process parameters dependent upon the wafer to faceplate distance. Such process parameters include but are not limited to, the concentration of reactive species, the residence times of reactive species, and the temperature.
  • In addition to the primary exhaust path 325 provided around the edge of the susceptor, embodiments in accordance with the present invention also provide additional supplemental exhaust paths through the dual channel showerhead. Specifically, arrows 314 and 316 of FIG. 3A illustrate the supplemental exhaust path that passes through the lower surface of faceplate 311 and out the side of the showerhead. As illustrated in FIG. 3A, exhaust lines 318 connected to the showerhead are routed outside the main chamber 300, separating the supplemental showerhead exhaust gases flowing along paths 314 and 316 from the primary radial exhaust gases flowing along paths 322. Valves 346 are installed in exhaust lines 318 to provide control over the supplemental exhaust gas flow rate and pressure. In the particular embodiment illustrated in FIG. 3A, the exhaust line 342 connected to the main exhaust port 340 and the exhaust lines 318 connected to the supplemental exhaust paths in the showerhead are rejoined outside the chamber 300 and are connected to the same foreline pump 344. Valve 348 is installed in exhaust line 342 to provide control over the primary exhaust gas flow rate and pressure.
  • FIG. 3B illustrates an alternative embodiment in accordance with the present invention in which exhaust lines 367 connected to showerhead 360 remain inside the chamber 350. Process gases enter the chamber through the showerhead 360 with dual channel faceplate 361. The flow of process gases into the chamber is illustrated by arrows 362 extending through the faceplate on the lower surface of the showerhead. Reaction of the deposition gases with each other and with the semiconductor wafer result in film deposition 371 on the upper surface of the semiconductor wafer 370. The gap proximate to the outer edge of the susceptor 355 defines a primary exhaust path. Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 372 located on the peripheral edges of the susceptor 355.
  • The exhaust gases from the showerhead 366 and the radial exhaust gases 372 are combined in region 368 and are removed through main exhaust port 373 by means of vacuum pump 374. A single foreline pump is connected to exhaust port 373 to exhaust the chamber 350.
  • An additional embodiment in accordance with the present invention is illustrated in FIG. 3C. In the processing chamber architecture shown in FIG. 3C, both primary pump 390 and secondary pump 391 exhaust gases from the chamber.
  • Process gases enter the chamber 376 through the showerhead 377 with dual channel faceplate 378. The flow of process gases into the chamber is illustrated by arrows 385 extending through the faceplate on the lower surface of the showerhead. Reaction of the deposition gases with each other and with the semiconductor wafer result in the deposition of film 382 on the upper surface of the semiconductor wafer 381.
  • Primary pump 390 exhausts gases along radial exhaust path 386 and secondary pump 391 exhausts gases along supplemental exhaust path 387 and 388.
  • The annular exhaust port proximate to the outer edge of the susceptor 380 defines an exhaust path for deposition gases. Exhaust gases passing from the region near the wafer surface through this exhaust path are labeled with arrows 386, located on the peripheral edges of the susceptor 380. The exhaust lines 395 connected to the showerhead 377 are routed outside the main chamber 376, separating the showerhead exhaust gases 387 and 388 from the radial exhaust gases 386.
  • In the embodiment illustrated in FIG. 3C, the exhaust line 396 connected to the main exhaust port 394 is connected the a primary foreline pump 390. A separate foreline pump 391 is connected to the exhaust line 393, which is connected to the supplemental exhaust lines 395 in communication with the showerhead. Consequently, in the embodiment illustrated in FIG. 3C, separate pumps exhaust the gases from the separate radial and supplementary exhaust paths.
  • Furthermore, in the embodiment illustrated in FIG. 3C, valve 397 is located in exhaust line 396 and valve 392 is located in exhaust line 393. In some embodiments of the present invention, the valves 392 and 392 may be used to create differential pumping pressure between the primary and supplemental exhaust paths.
  • In some embodiments, the area of the faceplate dedicated to exhaust paths is a function of radial distance from the center of the faceplate. The additional exhaust paths provided by the dual channel faceplate enable one skilled in the art to optimize the deposition process by exercising precise control over the process parameters as a function of radial distance from the center of the wafer. These parameters may include but are not limited to, for example, the concentration of reactive species, the residence time of the reactive species, the concentration of carrier gases, the velocity of gas flow, and the gas pressure in the reaction region.
  • The optimization of the deposition process utilizing the dual channel faceplate architecture in accordance with an embodiment of the present invention may increase uniformity of film thickness across the wafer surface. Optimization of the process may also result in preferential variation in film thickness, density, index of refraction, dielectric constant, or other film properties as a function of radial distance from the center of the wafer.
  • FIG. 4A is an enlarged cross section view showing details of a distribution/exhaust showerhead according to an embodiment of the present invention. The showerhead 400 is a component of a larger chamber 440. This embodiment of the distribution/exhaust showerhead 400 includes gas distribution apertures 410 located at various locations on the bottom surface of the faceplate 405. Process gases are injected through distribution channels 410 and distribution apertures 411, flow along lines 412 and contact the top surface of semiconductor wafer 430. Exhaust gases flow along lines 418 and through exhaust path 419 as they are exhausted over the edge of the semiconductor wafer 430 in a radial direction. In certain embodiments of the present invention, the exhaust path 419 may be referred to as the primary exhaust path.
  • The distribution/exhaust showerhead 400 also includes gas exhaust apertures 415 located at various locations on the bottom surface of the faceplate 405. Additional exhaust gases flow from a region near the top surface of the semiconductor wafer 430 and through the gas exhaust apertures 415 and gas exhaust channels 416. These exhaust gases flow along lines 417 and are exhausted from the reaction chamber. In some embodiments of the present invention, the exhaust path through channel 416 is referred to as the supplementary exhaust path. The percentage of gases exhausted through exhaust channel 419 and through exhaust channel 416 will depend on the gas pressure along the surface of the wafer and in the primary and supplementary exhaust channels, among other factors.
  • FIG. 4B shows a partial bottom view of an embodiment of the faceplate according to the present invention. In this embodiment, gas distribution apertures include injection holes 450 located at various locations across the bottom of the faceplate. Gas exhaust apertures include exhaust holes 455 located at various other locations across the bottom of the faceplate.
  • In the simplified partial bottom view illustrations presented herein (FIG. 4B-FIG. 8), cylindrically symmetric features have been omitted for ease of description and illustration. FIGS. 4C-4CA illustrates how the simplified partial bottom view illustrations presented in FIG. 4B-FIG. 8 relate to the larger faceplate design. These partial bottom view illustrations represent a magnified view 480 in FIG. 4CA, of a portion 485 of the faceplate 475 shown in FIG. 4C. Consequently, details related to the circular nature of the faceplate, which are obvious to one of skill in the art, are omitted from these bottom view illustrations.
  • If a deposition process requires that reactant gases are not commingled prior to reaching the surface of the semiconductor wafer, the gas distribution channels and the corresponding apertures can be subdivided to prevent the gases from mixing prior to reaching the surface. U.S. Pat. No. 6,086,677, assigned to the assignee of the present invention and incorporated herein by reference, describes a faceplate and gas distribution manifold assembly in which process gases may be delivered to the process region through a common faceplate without commingling.
  • In the embodiment illustrated in FIG. 4B, the area of the faceplate comprising gas distribution apertures can be summed to determine a combined (or total faceplate) distribution area. Likewise, the area of the faceplate comprising exhaust apertures can be summed to determine a combined (or total faceplate) exhaust area. In the embodiment illustrated in FIG. 4B, the ratio of the combined distribution area to the combined exhaust area is approximately 4:1. Furthermore, this ratio of the combined distribution/exhaust area is constant across the surface of the faceplate.
  • In accordance with embodiments of the present invention, the number of both the gas distribution apertures and the gas exhaust apertures can be selected to optimize the ratios and flow rates of the various process gases. For example, in accordance with one embodiment, the number of exhaust apertures, and thus the exhaust aperture area, may be varied as a function of faceplate position to control the localized flow of gaseous species in accordance with process requirements.
  • Alternatively, in addition to varying the number of gas distribution and exhaust apertures, the size of both the gas distribution and exhaust apertures can be varied in accordance with process requirements. In an embodiment in which small aperture size is desirable, a larger number of small apertures can be located on the faceplate to attain the same aperture area as that attained with a smaller number of large apertures. Conversely, where a particular application dictates that a smaller number of large apertures are desirable, embodiments in accordance with the present invention provide the required flexibility to attain this goal.
  • While the embodiment shown in FIG. 4B features a distribution/exhaust area ratio that is constant as a function of radial distance, this is not required by the present invention. In accordance with alternative embodiments, the ratio of the distribution aperture area to the exhaust aperture area may be varied across the faceplate to promote either process uniformity or variation, as desired.
  • FIG. 5 accordingly illustrates another embodiment of the present invention in which the number of exhaust apertures 520 is increased relative to that illustrated in FIG. 4B, resulting in an increase in the combined exhaust area. In this embodiment, the number of distribution apertures 510 have remained unchanged. Similar effects could be achieved by increasing the size of the gas exhaust apertures shown in FIG. 4B, thereby decreasing the ratio of combined distribution area to combined exhaust area while maintaining the same number of distribution and exhaust apertures.
  • In some deposition applications, the semiconductor wafer may be spun in a horizontal plane during the deposition process. The spinning of the wafer may result in increased flow of gases along the wafer surface due to centripetal forces. Accordingly, FIG. 6 illustrates another embodiment of the present invention that may be utilized to further ensure radial uniformity of the deposited films. In this partial bottom view, the number of exhaust apertures 520 increases as the radial distance 630 from the center of the wafer increases. Therefore, the embodiment of FIG. 6 provides additional exhaust aperture area as the radial distance from the wafer center increases. The embodiment of FIG. 6 increases the localized ratio of gas distribution area to gas exhaust area as a function of radial distance from the center of the wafer. By contrast, FIG. 7 illustrates an alternative functional relationship, in which the exhaust aperture area decreases with radial distance 630.
  • In certain embodiments in accordance with the present invention, the increase in exhaust area can be linear with respect to the radial distance as shown in Eqn. 1. FIG. 6 illustrates a step-wise linear relationship, as the exhaust area increases by an additional exhaust aperture 520 per unit area with each group of two distribution blocks.
    Areaexhaust =K∘dist radial  (Eqn. 1)
  • However, with alternative embodiments, the increase in exhaust aperture area on the showerhead can be non-linear with respect to the radius. Such a non-linear relationship could take the form of a function that monotonically increases or decreases with distance, for example increasing the exhaust area with the square of the radial distance.
  • FIG. 8 illustrates an alternative functional relationship, in which, starting at the center of the wafer, the exhaust aperture area increases with radial distance, reaches a maximum, then decreases as the radial distance increases to the radius of the faceplate. Various other non-linear functional relationships will be apparent to those skilled in the art. Decreases in aperture size and increased aperture density can serve to “smooth out” the step-wise variations illustrated in FIGS. 6, 7, and 8.
  • The embodiments discussed above increase or decrease the localized exhaust area to produce variation in the localized ratio of gas distribution area to gas exhaust area as a function of radial distance. Alternatively, the local gas distribution area as a function of radial distance from the center of the wafer could be varied to achieve the desired results. As discussed with respect to variation of the exhaust area, the size and number of gas distribution apertures can be varied to achieve the desired distribution of reactive species.
  • As mentioned previously, the susceptor is controllably translatable in the vertical direction. The vertical motion of the susceptor is often used in wafer loading and unloading operations, as well as to vary the distance from the wafer to the faceplate during deposition.
  • Variation of the distance from the wafer to the faceplate during deposition can have several impacts on the deposition process. Typically, deposition processes have used a wide spacing (≧150 mils) between the wafer and the faceplate. At a spacing of 150 mils or less, the gas pressure in the reaction region may be non-uniform across the wafer surface, with the pressure at the wafer edge typically less than the pressure at the wafer center. This decreased pressure at the wafer periphery lowers the concentration of reactive species and reduces deposition at the wafer edge.
  • However, using a faceplate according to an embodiment of the present invention, it is possible to counteract this edge thinning by increasing the exhaust area corresponding to the edge of the wafer, thereby increasing the flow of reactive species to the wafer edge. The particular embodiment illustrated in FIG. 6 would be useful in such an application, as the exhaust area increases with the radial distance. Alternative embodiments exhibiting a non-linear increase in exhaust area with radial distance could also be useful.
  • Other applications may call for a decrease in the spacing between the wafer and the faceplate to less than 150 mils in order to enhance processing speed and throughput. As the showerhead approaches the wafer and the reaction region decreases in volume, reactive species distributed near the center of the wafer experience longer residence times, resulting in a greater thickness of the deposited film near the wafer center.
  • Accordingly, in certain embodiments of the present invention, additional exhaust area may be provided on the showerhead to increase flow of exhaust gases near the wafer center, reducing on a local scale the concentration of reactive species and the resulting deposition rate. FIG. 7 illustrates such an embodiment, in which the number of exhaust apertures and consequently, the exhaust area, is greater in the center of the faceplate than near the edges. Alternatively, or in conjunction with changing the number of exhaust apertures, the size of the individual exhaust apertures could be increased to achieve the same increase in exhaust area.
  • In still other processing systems, the susceptor or other support structure may be characterized by a non-uniform temperature distribution. For example, the temperature at the center of susceptor may be maintained at a higher temperature than the susceptor periphery to enable rapid cooling of the susceptor without introduction of tensile stress and possible fracture of the susceptor assembly. As deposition rate is partly a function of temperature, an increased temperature at the susceptor center may decrease the local deposition rate with respect to the susceptor edge. Embodiments of the present invention can counteract such non-uniform deposition by increasing the exhaust flow near the center of the wafer, thereby increasing the concentration of reactive species and hence the reaction rate.
  • The desire to impose different processing regimes at various regions of a substrate may also arise due to the dictates of other processing steps. For example, chemical mechanical polishing (CMP) techniques are widely used to planarize layers of material that have been deposited by CVD. However, rather than producing a completely planarized wafer surface, the CMP process itself can introduce radial variations in surface planarity and film thickness. Therefore, in some processes utilizing CMP techniques, the deposition of films with specifically tailored non-uniform thickness profiles can be desirable.
  • Accordingly, an embodiment in accordance with the present invention may be used to deposit films having non-uniform thickness as a function of radial distance from the center of the wafer, thereby counteracting non-uniform effects of the CMP process. The end result of such a two-step deposition/polishing process will produce a film exhibiting desired thickness uniformity.
  • Embodiments in accordance with the present invention provide the system operator with several methods of processing a semiconductor wafer. For example, FIG. 9A is a flowchart illustrating a method 900 in which a deposition system may be operated in accordance with the present invention. First, in step 910 a wafer is inserted into the deposition chamber by means known to those skilled in the art. In step 912 the chamber is sealed and evacuated to a reduced pressure. In the embodiment illustrated in FIG. 9A, the chamber may be evacuated by opening the valve in the foreline connected to the primary pump. In alternative embodiments, the chamber may be evacuated by opening the valve in the foreline connected to the secondary exhaust pump or both the primary and secondary pumps in combination. In some embodiments in accordance with the present invention, the pressure may be lowered to a level sufficient to support the generation of a plasma in the chamber. For example, the pressure may be lowered to a pressure between 5 and 20 torr.
  • Once the chamber reaches the desired pressure, in step 912 process gases are introduced into the chamber through a plurality of orifices located on the faceplate of the showerhead. The number, size, and distribution of these gas distribution apertures has been described extensively above. The process gases flow over the top surface of the semiconductor wafer and react with the surface or with other gaseous species to form the desired film on the wafer surface.
  • Process gases and reaction byproducts are simultaneously exhausted from the chamber through the primary radial exhaust path in step 916 and the secondary exhaust path comprising exhaust channels in the showerhead in step 918. The ratio of gas volume passing through these alternate exhaust paths may be controlled by the relative positions of the valves installed in the exhaust lines of the respective paths.
  • Upon the completion of the deposition process, in step 920 the delivery of process gases is discontinued. In steps 922 and 924, respectively, the chamber is returned to atmospheric pressure, and the wafer is removed.
  • FIG. 9B is a flowchart illustrating an alternative embodiment of a method of operating the deposition system in accordance with the present invention. In step 930 of method 901, a wafer is inserted into the deposition chamber. The chamber is sealed and evacuated to a reduced pressure using the primary exhaust path in step 932. In the alternative embodiment of the method illustrated in FIG. 9B, the chamber is evacuated by opening of a valve located in the foreline connected to the primary exhaust pump. Once the chamber reaches the desired pressure, process gases are introduced through a plurality of orifices located on the faceplate of the showerhead in step 934. In step 936 initial exhaust of the process gases and reaction byproducts is accomplished through the use of the primary exhaust channel. Subsequently, the process gases and reaction byproducts are simultaneously exhausted from the chamber through the first radial exhaust path in step 938 and the second exhaust path comprising exhaust channels in the showerhead in step 940. In the alternative embodiment of the method 901 shown in FIG. 9B, the majority of the exhaust gases pass through the primary exhaust channel, lines, and pump. The secondary exhaust path is used to remove gases from the chamber in smaller amounts than the primary exhaust path, thereby providing the operator with a “fine-tuning” control over the process parameters. The ratio of gas volume passing through the secondary and primary exhaust paths may be varied between a value close to zero and 1.
  • Upon the completion of the deposition process, in step 942 the delivery of process gases is discontinued. In steps 944 and 946, respectively, the chamber is returned to atmospheric pressure, and the wafer is removed.
  • FIG. 9C is a flowchart of yet another alternative embodiment of a method of operating the chamber in accordance with the present invention. In step 950 of method 902, the wafer is inserted into the chamber. The chamber is evacuated in step 952 and a plasma is struck in the chamber in step 954. The chamber may be evacuated by the exhaust of gases through either the primary or secondary exhausts, or a combination of the two. After the plasma has stabilized, in step 956 process gases are introduced into the chamber through a plurality of orifices located on a surface of the faceplate.
  • Process gases and reaction byproducts are removed from the chamber through both the primary and secondary exhaust paths in steps 958 and 960, respectively. In the embodiment of the method 902 illustrated in FIG. 9C, the exhaust rates of the primary and secondary exhaust paths are adjusted during the deposition process in steps 962 and 964. In some embodiments, the exhaust rates may be varied during the deposition process to modulate the properties of the deposited film. These properties may include, but are not limited to film thickness, density, index of refraction, or dielectric constant.
  • Upon the completion of the deposition process, in step 966 the flow of process gases is discontinued. In steps 968 and 970, respectively, the chamber is vented to atmospheric pressure, and the wafer is removed.
  • The supplemental exhaust path provided through the showerhead of embodiments in accordance with the present invention offer certain advantages over the prior art. In addition to the traditional exhaust paths offered at the susceptor edge (see flow lines 322 in FIG. 3A), the exhaust apertures present in the showerhead offer a supplemental exhaust path useful in optimizing the flow of reactive species near the wafer surface. Additionally, the variability in the ratio of the distribution to exhaust area as a function of radial distance provides spatial control over both the distribution and exhaust of process gases and reaction byproducts.
  • In accordance with one embodiment of the present invention, the volume of gases flowing in the radial direction across the wafer surface and out through the radial exhaust path may be modified by design of the faceplate. In such an embodiment, the volume and concentration of reactive gas species flowing laterally across the wafer surface may be controlled by the selective exhaust of process gases and reaction byproducts through the supplementary showerhead exhaust paths. In a specific embodiment, the volume and concentration of reactive gas species flowing across the wafer may be maintained at a constant value as a function of radial distance, by increasing the exhaust aperture area in regions of increased lateral flow volume. Such improved process control may result in greater film uniformity.
  • In other embodiments of the present invention, the residence time of reactive species at the wafer surface may be controlled by the spatial distribution of exhaust aperture area of the showerhead. For example, FIG. 8 shown an embodiment in accordance with the present invention wherein the exhaust aperture area provided by the showerhead near the wafer center 835 and edge 840 is less than the exhaust aperture area at a distance equal to ½ the faceplate radius. The region at a distance equal to ½ the faceplate radius may be referred to as the mid-radius region 830. Consequently, process gases introduced at the wafer center 835 travel a larger distance across the wafer surface before exiting the reaction region through the faceplate in the mid-radius region 830 than process gases introduced closer to the mid-radius region 830. In alternative embodiments, the flow of process gases across the wafer surface near the mid-radius region is enhanced by selective placement of gas distribution and exhaust apertures.
  • One suitable CVD apparatus in which the method of the present invention can be carried out is shown in FIG. 1A, which is a vertical, cross-sectional view of a CVD system 10, having a vacuum or processing chamber 15 that includes a chamber wall 15 a and chamber lid assembly 15 b. Chamber wall 15 a and chamber lid assembly 15 b are shown in exploded, perspective views in FIGS. 1B and 1C.
  • CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber. During processing, the substrate, for example, a semiconductor wafer, is positioned on a flat (or slightly convex) surface 12 a (FIG. 1B) of pedestal 12. The pedestal can be moved controllably between a lower loading/off-loading position (not shown) and an upper processing position (shown in FIG. 1A), which is closely adjacent to manifold 11. A centerboard (not shown) includes sensors for providing information on the position of the wafers.
  • Deposition and carrier gases are introduced into chamber 15 through perforated holes 13 b (FIG. 1C) of a flat, circular gas distribution faceplate 13 a, as has been described extensively above. More specifically, deposition process gases flow into the chamber through the inlet manifold 11 (indicated by arrow 40 in FIG. 1A), through a conventional perforated blocker plate 42 and then through holes 13 b in gas distribution faceplate 13 a.
  • Before reaching the manifold, deposition and carrier gases are input from gas sources 7 a through gas supply lines 8 of gas delivery system 7 (FIG. 1A) into a mixing system 9 where they are combined and then sent to manifold 11. Generally, the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line. When toxic gases (for example, ozone or halogenated gas) are used in the process, the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
  • The deposition process performed in CVD system 10 can be either a thermal process or a plasma-enhanced process. In a plasma-enhanced process, an RF power supply 44 applies electrical power between the gas distribution faceplate 13 a and the pedestal so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and the pedestal, referred to as the “reaction region.” Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12. RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF1) of 13.56 MHz and at a low RF frequency (RF2) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15. In a thermal process, RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on pedestal 12, which is resistively heated to provide thermal energy for the reaction.
  • During a plasma-enhanced deposition process, the plasma heats the entire process chamber 10, including the walls of the chamber body 15 a surrounding the exhaust passageway 23 and the shut-off valve 24. When the plasma is not turned on or during a thermal deposition process, a hot liquid is circulated through the walls 15 a of the process chamber to maintain the chamber at an elevated temperature. Fluids used to heat the chamber walls 15 a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids. This heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • The remainder of the gas mixture that is not deposited in a layer, including reaction products, is evacuated from the chamber by a vacuum pump 50 connected to the exhaust passageway 23 by foreline 55. Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17. The annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20. The 360° circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are typically important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
  • From the exhaust plenum 17, the gases flow underneath a lateral extension portion 21 of the exhaust plenum 17, past a viewing port (not shown), through a downward-extending gas passage 23, past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a), and into the exhaust outlet 25 that connects to the external vacuum pump 50 through foreline 55.
  • The wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively-heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal 12.
  • Typically, any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or a ceramic. An example of such a CVD apparatus is described in U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber”. The U.S. Pat. No. 5,558,717 is assigned to Applied Materials, Inc., the assignee of the present invention, and is incorporated by reference for all purposes.
  • A lift mechanism and motor (not shown) raises and lowers the heated pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10. The motor raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position. The motor, valves or flow controllers connected to the supply lines 8, gas delivery system, throttle valve, RF power supply 44, and chamber and substrate heating systems are all controlled by a system controller 34 (FIG. 1A) over control lines 36, of which only some are shown. Controller 34 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 34.
  • In one embodiment, the system controller includes a hard disk drive (memory 38), a floppy disk drive and a processor 37. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • System controller 34 controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38. Preferably, memory 38 is a hard disk drive, but memory 38 may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing of introduction and evacuation of gases, the mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34.
  • The above reactor description is mainly for illustrative purposes, and other plasma CVD equipment such as electron cyclotron resonance (ECR) plasma CVD devices, induction coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above-described system, such as variations in pedestal design, heater design, RF power frequencies, location of RF power connections and others are possible. For example, the wafer could be supported by a susceptor and heated by quartz lamps. The layer and method for forming such a layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.
  • It should be understood that the inventions described herein can be employed in any substrate processing system which uses a showerhead to distribute process gas to the substrate. This includes CVD, nitridation, oxidation, etch and cleaning systems, to name just a few examples. Although various embodiments which incorporate teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.
  • Other embodiments are within the following claims.

Claims (35)

1. An apparatus comprising:
walls enclosing a process chamber;
a wafer susceptor positioned within the chamber;
a first exhaust conduit in fluid communication with the chamber; and
a processing gas source in fluid communication with the chamber through a gas distribution showerhead, the gas distribution showerhead comprising;
a first channel in fluid communication with the processing gas source and with apertures distributed over a lower surface of the showerhead; and
a second channel separate from the first channel and in fluid communication with a second exhaust conduit and with exhaust apertures distributed over the lower surface of the showerhead.
2. The apparatus of claim 1 wherein the apertures define a first area and the exhaust apertures define a second area.
3. The apparatus of claim 2 wherein a ratio of the first area to the second area is substantially constant as a function of radial distance from the center of the gas distribution showerhead.
4. The apparatus of claim 2 wherein a ratio of the first area to the second area varies as a function of radial distance from the center of the gas distribution showerhead.
5. The apparatus of claim 4 wherein the ratio of the first area to the second area varies linearly as a function of radial distance from the center of the gas distribution showerhead.
6. The apparatus of claim 4 wherein the ratio of the first area to the second area varies nonlinearly as a function of radial distance from the center of the gas distribution showerhead.
7. The apparatus of claim 4 wherein the ratio of the first area to the second area increases as a function of radial distance from the center of the gas distribution showerhead.
8. The apparatus of claim 4 wherein the ratio of the first area to the second area decreases as a function of radial distance from the center of the gas distribution showerhead.
9. The apparatus of claim 1 wherein the first exhaust conduit and the second exhaust conduit are in fluid communication with a common foreline.
10. The apparatus of claim 9 wherein the plurality of second channels are in fluid communication with the foreline through a first valve and the second exhaust conduit is in fluid communication with the foreline through a second valve.
11. The apparatus of claim 1 wherein the first exhaust conduit and the second exhaust conduit are in communication with a common vacuum pump.
12. The apparatus of claim 1 wherein the first exhaust conduit and the second exhaust conduit are in communication with separate vacuum pumps.
13. A method of processing a semiconductor workpiece, the method comprising:
flowing a process gas to a semiconductor workpiece through a first plurality of orifices positioned in a gas distribution faceplate; and
removing gas from over the semiconductor workpiece through a chamber exhaust port and a second plurality of orifices positioned in the gas distribution faceplate.
14. The method of claim 13 further comprising removing the gas through only the chamber exhaust port prior to flowing the process gas.
15. The method of claim 13 further comprising removing the gas through the chamber exhaust port and the second plurality of orifices prior to flowing the process gas.
16. The method of claim 13 further comprising initially removing gas through only the chamber exhaust port.
17. The method of claim 13 further comprising initially removing gas through only the second plurality of orifices.
18. The method of claim 13 wherein the processing chamber is evacuated to a pressure below 20 Torr.
19. The method of claim 18 further comprising generating a plasma in the processing chamber prior to flowing the process gas.
20. The method of claim 13 further comprising adjusting a rate of removal of gas through the chamber exhaust port during processing.
21. The method of claim 13 further comprising adjusting a rate of removal of gas through the second plurality of orifices is adjusted during processing.
22. A method of processing a semiconductor wafer in a chamber comprising:
inserting a semiconductor wafer into the chamber;
evacuating the chamber through a first exhaust port;
introducing at least one process gas through a first set of orifices located on a surface of a showerhead;
removing gas through the first exhaust port; and
removing gas through a plurality of orifices positioned on the surface of the showerhead.
23. The method of claim 22 wherein a larger volume of gas is removed through the first exhaust port than is removed through the plurality of orifices.
24. The method of claim 22 wherein the chamber is evacuated to a pressure below 20 Torr.
25. The method of claim 24 wherein a plasma is generated in the chamber prior to the step of introducing the at least one process gas.
26. The method of claim 22 wherein removal of the gas through the first exhaust port and through the plurality of orifices occurs substantially simultaneously.
27. A method of controlling uniformity of a property of a film deposited on a semiconductor wafer, the method comprising:
positioning a wafer in a processing chamber;
introducing gases to the wafer through a first plurality of orifices positioned on a faceplate;
removing the gases through a second plurality of orifices positioned on the faceplate; and
simultaneously removing the gases across a radial exhaust path.
28. The method of claim 27 further comprising evacuating the chamber across the radial exhaust path only, prior to flowing the gases.
29. The method of claim 27 further comprising evacuating the chamber across the radial exhaust path and the second plurality of orifices prior to flowing the gases.
30. The method of claim 27 further comprising initially removing the gases through only the radial exhaust path.
31. The method of claim 27 further comprising initially removing the gases through only the second plurality of orifices.
32. The method of claim 27 wherein the chamber is evacuated to a pressure below about 20 Torr.
33. The method of claim 32 further comprising generating a plasma in the chamber.
34. The method of claim 27 wherein a rate of removing gas across the radial exhaust path is adjusted during processing.
35. The method of claim 27 wherein a rate of removing gas through the second plurality of orifices is adjusted during processing.
US10/717,881 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures Abandoned US20050103265A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US10/717,881 US20050103265A1 (en) 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures
CN2004800339871A CN101120122B (en) 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures
PCT/US2004/039065 WO2005052998A2 (en) 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures
JP2006541584A JP5001656B2 (en) 2003-11-19 2004-11-19 Semiconductor wafer processing method
TW093135727A TWI332997B (en) 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures
US11/452,786 US7452827B2 (en) 2003-11-19 2006-06-13 Gas distribution showerhead featuring exhaust apertures
KR1020067012156A KR101081628B1 (en) 2003-11-19 2006-06-19 Gas distribution showerhead featuring exhaust apertures

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/717,881 US20050103265A1 (en) 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/452,786 Division US7452827B2 (en) 2003-11-19 2006-06-13 Gas distribution showerhead featuring exhaust apertures

Publications (1)

Publication Number Publication Date
US20050103265A1 true US20050103265A1 (en) 2005-05-19

Family

ID=34574628

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/717,881 Abandoned US20050103265A1 (en) 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures
US11/452,786 Expired - Fee Related US7452827B2 (en) 2003-11-19 2006-06-13 Gas distribution showerhead featuring exhaust apertures

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/452,786 Expired - Fee Related US7452827B2 (en) 2003-11-19 2006-06-13 Gas distribution showerhead featuring exhaust apertures

Country Status (6)

Country Link
US (2) US20050103265A1 (en)
JP (1) JP5001656B2 (en)
KR (1) KR101081628B1 (en)
CN (1) CN101120122B (en)
TW (1) TWI332997B (en)
WO (1) WO2005052998A2 (en)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060234514A1 (en) * 2003-11-19 2006-10-19 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US20070163497A1 (en) * 2006-01-17 2007-07-19 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
US20080154422A1 (en) * 2006-02-28 2008-06-26 Naoyuki Kofuji Control Method for plasma etching apparatus
US20080166884A1 (en) * 2007-01-08 2008-07-10 Nelson Shelby F Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20090081366A1 (en) * 2007-09-26 2009-03-26 Kerr Roger S Delivery device for deposition
US20090081885A1 (en) * 2007-09-26 2009-03-26 Levy David H Deposition system for thin film formation
US20090162260A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090236041A1 (en) * 2008-03-19 2009-09-24 Tokyo Electron Limited Shower head and substrate processing apparatus
US20100136773A1 (en) * 2005-08-10 2010-06-03 Naonori Akae Semiconductor Device Manufacturing Method and Substrate Processing Apparatus
EP2202785A1 (en) * 2007-09-04 2010-06-30 Sharp Kabushiki Kaisha Plasma treatment apparatus, plasma treatment method, and semiconductor element
US20120034786A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust
US20120118231A1 (en) * 2005-03-10 2012-05-17 Tokyo Electron Limited Substrate processing method, storage medium, and substrate processing apparatus
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US20150030786A1 (en) * 2010-12-23 2015-01-29 Element Six Limited Microwave plasma reactor for manufacturing synthetic diamond material
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9365928B2 (en) * 2014-04-24 2016-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing semiconductor device and computer-readable recording medium
JP2016197652A (en) * 2015-04-03 2016-11-24 株式会社島津製作所 Process processing apparatus
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20180171472A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
CN112522682A (en) * 2020-11-03 2021-03-19 鑫天虹(厦门)科技有限公司 Atomic layer deposition apparatus and process
CN113471123A (en) * 2021-07-06 2021-10-01 华海清科股份有限公司 Vertical rotary wafer processing equipment and ventilation system applying same
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
US11437249B2 (en) * 2019-07-18 2022-09-06 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US11739427B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
JP5179739B2 (en) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 Vapor deposition apparatus, vapor deposition apparatus control apparatus, vapor deposition apparatus control method, and vapor deposition apparatus usage method
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US8419854B2 (en) * 2007-04-17 2013-04-16 Ulvac, Inc. Film-forming apparatus
JP4900956B2 (en) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 Gas supply mechanism and substrate processing apparatus
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
KR100888652B1 (en) * 2007-08-14 2009-03-13 세메스 주식회사 Plasma reactor with exhaust holes and atmospheric pressure plasma apparatus including thereof
US7967912B2 (en) * 2007-11-29 2011-06-28 Nuflare Technology, Inc. Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
JP4956470B2 (en) * 2007-11-29 2012-06-20 株式会社ニューフレアテクノロジー Semiconductor manufacturing apparatus and semiconductor manufacturing method
US8010225B2 (en) * 2008-01-30 2011-08-30 International Business Machines Corporation Method and system of monitoring manufacturing equipment
JP5202050B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Shower head and substrate processing apparatus
JP4731580B2 (en) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5231117B2 (en) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
KR101470883B1 (en) * 2008-12-29 2014-12-10 주식회사 케이씨텍 Atomic layer deposition apparatus
JP5221421B2 (en) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 Shower head and plasma processing apparatus
JP5323628B2 (en) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
JP5367522B2 (en) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 Plasma processing apparatus and shower head
JP5432686B2 (en) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 Plasma processing equipment
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP2011144412A (en) * 2010-01-13 2011-07-28 Honda Motor Co Ltd Plasma film-forming apparatus
FI124414B (en) * 2010-04-30 2014-08-29 Beneq Oy Outlets and apparatus for processing substrates
JP5591585B2 (en) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
WO2012018062A1 (en) * 2010-08-04 2012-02-09 アイシン精機株式会社 Carbon nanotube device, process for production of carbon nanotube, and device for production of carbon nanotube
JP5625598B2 (en) * 2010-08-04 2014-11-19 アイシン精機株式会社 Carbon nanotube device
JP5630640B2 (en) * 2010-08-04 2014-11-26 アイシン精機株式会社 Carbon nanotube manufacturing method and carbon nanotube manufacturing apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
EP2504536B1 (en) * 2010-08-06 2019-03-13 AVL Test Systems, Inc. Particulate measurement system
US8580044B2 (en) * 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TWI507561B (en) * 2010-12-10 2015-11-11 Ind Tech Res Inst Showerhead integrating intake and exhaust
US8597429B2 (en) 2011-01-18 2013-12-03 Nuflare Technology, Inc. Manufacturing apparatus and method for semiconductor device
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
JP6119408B2 (en) * 2013-05-09 2017-04-26 ソニー株式会社 Atomic layer deposition equipment
JP5793170B2 (en) * 2013-09-30 2015-10-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9228260B1 (en) * 2014-07-30 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing chamber, heat treatment apparatus and method for processing wafers
CN104213102B (en) * 2014-09-01 2016-08-24 沈阳拓荆科技有限公司 Cavity airflow direction varistructure
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
JP6814561B2 (en) * 2016-07-07 2021-01-20 昭和電工株式会社 Gas piping system, chemical vapor deposition equipment, film formation method and method for manufacturing SiC epitaxial wafer
KR102493945B1 (en) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Deposition radial and edge profile tenability through independent control of teos flow
KR101939481B1 (en) * 2017-07-27 2019-01-16 성균관대학교산학협력단 Ion bean etching apparatus
US11047050B2 (en) 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor tool having controllable ambient environment processing zones
WO2020163074A1 (en) * 2019-02-05 2020-08-13 Applied Materials, Inc. Multi channel splitter spool
KR102204883B1 (en) * 2019-05-09 2021-01-19 세메스 주식회사 Apparatus for treating substrate
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation

Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5445699A (en) * 1989-06-16 1995-08-29 Tokyo Electron Kyushu Limited Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surface
US5554226A (en) * 1992-12-18 1996-09-10 Tokyo Electron Kabushiki Kaisha Heat treatment processing apparatus and cleaning method thereof
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US5976308A (en) * 1993-08-27 1999-11-02 Applied Materials, Inc. High density plasma CVD and etching reactor
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US20010016364A1 (en) * 1998-04-14 2001-08-23 James F. Loan Film processing system
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6544341B1 (en) * 1998-09-03 2003-04-08 Cvc Products, Inc. System for fabricating a device on a substrate with a process gas
US6578515B2 (en) * 2000-09-20 2003-06-17 Fuji Daiichi Seisakusho Co., Ltd. Film formation apparatus comprising movable gas introduction members
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040216668A1 (en) * 2003-04-29 2004-11-04 Sven Lindfors Showerhead assembly and ALD methods
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050160983A1 (en) * 2002-01-17 2005-07-28 Sundew Technologies, Llc ALD apparatus and method
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20050199182A1 (en) * 2002-07-05 2005-09-15 Ulvac, Inc. Apparatus for the preparation of film
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus
US20050279731A1 (en) * 1999-10-13 2005-12-22 Tokyo Electron Limited Processing method for conservation of processing gases
US20060234514A1 (en) * 2003-11-19 2006-10-19 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61174388A (en) * 1985-01-30 1986-08-06 Hitachi Ltd Etching device
JPH01108930U (en) * 1988-01-14 1989-07-24
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JP3535309B2 (en) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 Decompression processing equipment
JP2002184764A (en) * 2000-12-18 2002-06-28 Hitachi Ltd Plasma processing apparatus

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
US5445699A (en) * 1989-06-16 1995-08-29 Tokyo Electron Kyushu Limited Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support surface
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5554226A (en) * 1992-12-18 1996-09-10 Tokyo Electron Kabushiki Kaisha Heat treatment processing apparatus and cleaning method thereof
US5976308A (en) * 1993-08-27 1999-11-02 Applied Materials, Inc. High density plasma CVD and etching reactor
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US20010016364A1 (en) * 1998-04-14 2001-08-23 James F. Loan Film processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6544341B1 (en) * 1998-09-03 2003-04-08 Cvc Products, Inc. System for fabricating a device on a substrate with a process gas
US20050279731A1 (en) * 1999-10-13 2005-12-22 Tokyo Electron Limited Processing method for conservation of processing gases
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6578515B2 (en) * 2000-09-20 2003-06-17 Fuji Daiichi Seisakusho Co., Ltd. Film formation apparatus comprising movable gas introduction members
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US20050160983A1 (en) * 2002-01-17 2005-07-28 Sundew Technologies, Llc ALD apparatus and method
US20050199182A1 (en) * 2002-07-05 2005-09-15 Ulvac, Inc. Apparatus for the preparation of film
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040129211A1 (en) * 2003-01-07 2004-07-08 Applied Materials, Inc. Tunable gas distribution plate assembly
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040216668A1 (en) * 2003-04-29 2004-11-04 Sven Lindfors Showerhead assembly and ALD methods
US20050208217A1 (en) * 2003-10-09 2005-09-22 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20060234514A1 (en) * 2003-11-19 2006-10-19 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20050229848A1 (en) * 2004-04-15 2005-10-20 Asm Japan K.K. Thin-film deposition apparatus

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7452827B2 (en) 2003-11-19 2008-11-18 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20060234514A1 (en) * 2003-11-19 2006-10-19 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
US20120118231A1 (en) * 2005-03-10 2012-05-17 Tokyo Electron Limited Substrate processing method, storage medium, and substrate processing apparatus
US20100136773A1 (en) * 2005-08-10 2010-06-03 Naonori Akae Semiconductor Device Manufacturing Method and Substrate Processing Apparatus
US20070068625A1 (en) * 2005-09-23 2007-03-29 Tokyo Electron Limited Method and system for controlling radical distribution
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20100193471A1 (en) * 2005-09-23 2010-08-05 Tokyo Electron Limited Method and system for controlling radical distribution
US8038834B2 (en) 2005-09-23 2011-10-18 Tokyo Electron Limited Method and system for controlling radical distribution
WO2007053607A2 (en) * 2005-10-31 2007-05-10 Carl Johan Galewski Pumping system for atomic layer deposition
WO2007053607A3 (en) * 2005-10-31 2007-10-11 Carl Johan Galewski Pumping system for atomic layer deposition
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US20070163497A1 (en) * 2006-01-17 2007-07-19 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
US7645483B2 (en) 2006-01-17 2010-01-12 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
US20080154422A1 (en) * 2006-02-28 2008-06-26 Naoyuki Kofuji Control Method for plasma etching apparatus
US20080166884A1 (en) * 2007-01-08 2008-07-10 Nelson Shelby F Delivery device comprising gas diffuser for thin film deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20100248423A1 (en) * 2007-01-08 2010-09-30 Nelson Shelby F Delivery device comprising gas diffuser for thin film deposition
US20100193915A1 (en) * 2007-09-04 2010-08-05 Katsushi Kishimoto Plasma processing apparatus and plasma processing method, and semiconductor device
EP2202785A1 (en) * 2007-09-04 2010-06-30 Sharp Kabushiki Kaisha Plasma treatment apparatus, plasma treatment method, and semiconductor element
EP2202785A4 (en) * 2007-09-04 2010-11-10 Sharp Kk Plasma treatment apparatus, plasma treatment method, and semiconductor element
US8395250B2 (en) 2007-09-04 2013-03-12 Kabushiki Kaisha Sharp Plasma processing apparatus with an exhaust port above the substrate
US20090081885A1 (en) * 2007-09-26 2009-03-26 Levy David H Deposition system for thin film formation
US20090081366A1 (en) * 2007-09-26 2009-03-26 Kerr Roger S Delivery device for deposition
US8420168B2 (en) * 2007-09-26 2013-04-16 Eastman Kodak Company Delivery device for deposition
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20120219712A1 (en) * 2007-09-26 2012-08-30 Kerr Roger S Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162260A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8366828B2 (en) * 2008-03-19 2013-02-05 Tokyo Electron Limited Shower head and substrate processing apparatus
US20090236041A1 (en) * 2008-03-19 2009-09-24 Tokyo Electron Limited Shower head and substrate processing apparatus
DE102009013854B4 (en) 2008-03-19 2019-05-02 Tokyo Electron Ltd. Shower head and substrate processing device
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20150004793A1 (en) * 2010-08-04 2015-01-01 Lam Research Corporation Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust
US9793128B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120034786A1 (en) * 2010-08-04 2012-02-09 Lam Research Corporation Plasma Processing Chamber with Dual Axial Gas Injection and Exhaust
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20150030786A1 (en) * 2010-12-23 2015-01-29 Element Six Limited Microwave plasma reactor for manufacturing synthetic diamond material
US11371147B2 (en) * 2010-12-23 2022-06-28 Element Six Technologies Limited Microwave plasma reactor for manufacturing synthetic diamond material
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US9365928B2 (en) * 2014-04-24 2016-06-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method for manufacturing semiconductor device and computer-readable recording medium
JP2016197652A (en) * 2015-04-03 2016-11-24 株式会社島津製作所 Process processing apparatus
US10815569B2 (en) * 2015-08-28 2020-10-27 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US20170058402A1 (en) * 2015-08-28 2017-03-02 Samsung Electronics Co., Ltd. Shower head of combinatorial spatial atomic layer deposition apparatus
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US11739427B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US11739428B2 (en) 2016-12-09 2023-08-29 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US20180171472A1 (en) * 2016-12-15 2018-06-21 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11640899B2 (en) 2016-12-22 2023-05-02 Asm Ip Holding B.V. Atomic layer etching processes
US11437249B2 (en) * 2019-07-18 2022-09-06 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11948813B2 (en) 2019-07-18 2024-04-02 Asm Ip Holding B.V. Showerhead device for semiconductor processing system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
CN112522682A (en) * 2020-11-03 2021-03-19 鑫天虹(厦门)科技有限公司 Atomic layer deposition apparatus and process
CN113471123A (en) * 2021-07-06 2021-10-01 华海清科股份有限公司 Vertical rotary wafer processing equipment and ventilation system applying same

Also Published As

Publication number Publication date
CN101120122A (en) 2008-02-06
US20060234514A1 (en) 2006-10-19
TWI332997B (en) 2010-11-11
WO2005052998A2 (en) 2005-06-09
JP5001656B2 (en) 2012-08-15
KR20060096460A (en) 2006-09-11
US7452827B2 (en) 2008-11-18
JP2007525021A (en) 2007-08-30
KR101081628B1 (en) 2011-11-09
CN101120122B (en) 2011-02-16
WO2005052998A3 (en) 2007-11-01
TW200526799A (en) 2005-08-16
WO2005052998A9 (en) 2009-05-07

Similar Documents

Publication Publication Date Title
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
KR100687379B1 (en) A multistep chamber cleaning process using a remote plasma that also enhances film gap fill
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US6387207B1 (en) Integration of remote plasma generator with semiconductor processing chamber
US7037376B2 (en) Backflush chamber clean
KR100518156B1 (en) Method and apparatus for elimination of teos/ozone silicon oxide surface sensitivity
US7902080B2 (en) Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US6521302B1 (en) Method of reducing plasma-induced damage
US20060005856A1 (en) Reduction of reactive gas attack on substrate heater
US20050252447A1 (en) Gas blocker plate for improved deposition
US20060196603A1 (en) Gas baffle and distributor for semiconductor processing chamber
KR20080048430A (en) Gas baffle and distributor for semiconductor processing chamber
WO2001004937A2 (en) Method and apparatus for directing constituents through a processing chamber
WO2007140377A2 (en) A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties
US6436303B1 (en) Film removal employing a remote plasma source
US7674684B2 (en) Deposition methods for releasing stress buildup
EP1019956A1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6524969B2 (en) High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GIANOULAKIS, STEVEN;JANAKIRAMAN, KARTHIK;REEL/FRAME:014739/0518;SIGNING DATES FROM 20031114 TO 20031118

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION