US20050104112A1 - Method of depositing barrier layer from metal gates - Google Patents

Method of depositing barrier layer from metal gates Download PDF

Info

Publication number
US20050104112A1
US20050104112A1 US10/954,806 US95480604A US2005104112A1 US 20050104112 A1 US20050104112 A1 US 20050104112A1 US 95480604 A US95480604 A US 95480604A US 2005104112 A1 US2005104112 A1 US 2005104112A1
Authority
US
United States
Prior art keywords
gate stack
barrier layer
gate
layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/954,806
Inventor
Suvi Haukka
Hannu Huotari
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/954,806 priority Critical patent/US20050104112A1/en
Publication of US20050104112A1 publication Critical patent/US20050104112A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide

Definitions

  • This invention relates generally to the field of semiconductor manufacturing and in particular to the field of forming transistor gate stacks in integrated circuits.
  • the device generally includes a semiconductor substrate 101 on which a gate stack is disposed.
  • the gate stack typically comprises an interfacial layer 109 between the silicon substrate and the gate dielectric layer, gate dielectric layer 110 and a gate electrode 114 disposed on the gate dielectric layer 110 .
  • the interfacial layer 109 may be absent.
  • the gate electrode 114 acts as a conductor.
  • An input signal is typically applied to the gate electrode 114 via a gate terminal (not shown).
  • Heavily doped source/drain regions 102 are formed in the semiconductor substrate 101 and are connected to source/drain terminals (not shown).
  • a channel region 103 is formed in the semiconductor substrate beneath the gate electrode 114 and separates source/drain regions 102 .
  • the channel is typically lightly doped with a dopant of a type opposite to that of the source/drain regions 102 .
  • the gate electrode 114 is separated from the semiconductor substrate 101 by the gate dielectric layer 110 .
  • the insulating gate dielectric layer 110 is provided to prevent electrical current from flowing directly between the gate electrode 114 and the source/drain regions 102 or the channel region 103 .
  • the gate dielectric layer is deposited according to any thin film deposition method and the gate electrode is deposited over the dielectric layer.
  • the gate dielectric materials currently investigated are characterized by a high dielectric constant (i.e. high-k material).
  • the gate electrode layer is deposited of a material having low electrical resistance. It is desired that the process for depositing the gate electrode is fast to minimize the time of the manufacturing.
  • Polysilicon is generally used as the gate electrode material.
  • thin film processes that are compatible with the process for depositing the high-k dielectric layer are needed.
  • the properties of the transistor critically depend on the thickness and quality of the gate dielectric layer 110 . Therefore, the dielectric layer, and even the interfacial layer and the channel region are very sensitive to any impurities diffusing from the gate electrode layer. Furthermore, the gate dielectric layer is exposed to detrimental circumstances, when the process for producing the gate electrode layer comprises use of oxygen or oxygen containing precursors or when use of hydrogen plasma or other method where hydrogen radicals are involved is desired after the deposition of the gate dielectric layer.
  • Kizilyalli et al. describe the use of a metal etch barrier film, deposited by conventional techniques, between the gate dielectric layer and the gate electrode.
  • this gate barrier is a high-k dielectric film, which will despite of its high-k value negativity contribute to the effective electrical thickness of the gate dielectric.
  • Gardner et al. describe formation of a gate dielectric layer and a gate barrier layer by subsequent oxidation and nitridation of a deposited Ti or Ta layer. However, thickness control in this rather complicated process sequence is difficult.
  • the ultra thin dielectric structure of an interfacial layer and a high-k gate dielectric layer is highly sensitive to oxygen. Oxygen can easily penetrate, for instance through a HfO 2 layer of 20-30 ⁇ , increasing the thickness of the interfacial SiO 2 layer between the silicon substrate and the high-k dielectric layer. A small increase in thickness of the interfacial SiO 2 layer can degrade the equivalent oxide thickness (EOT) enormously.
  • EOT equivalent oxide thickness
  • a silicon nitride cap or a silicon oxynitride cap is deposited over the gate oxide.
  • silicon compounds are known to increase the EOT value.
  • EOT equivalent oxide thickness
  • a metal or metal nitride barrier film is desirable over the dielectric instead or a silicon compound layer.
  • a method for manufacturing semiconductor devices, wherein the method comprises forming a gate dielectric layer over a semiconductor substrate; forming a barrier layer over the gate dielectric layer by an ALD type process; and forming a gate electrode layer over the barrier layer.
  • the preferred embodiments provide a barrier layer between two or more materials.
  • one of the materials is a gate dielectric in a semiconductor device and the other of the materials is a gate electrode in a semiconductor device.
  • the method of the present invention facilitates the use of hydrogen plasma, high energy hydrogen radials and ions, other reactive radicals, reactive oxygen and oxygen-containing reactants in the processing steps subsequent to the deposition of the gate dielectric layer of the device.
  • Such reactants can be advantageous for patterning gate electrodes or for tailoring work function of gate electrodes, particularly for CMOS circuits.
  • the barrier layer provides several functions and is preferably highly conductive.
  • the barrier layer prevents diffusion of impurities from the gate electrode into the gate dielectric layer.
  • the barrier layer serves to prevent the material underneath the barrier film reacting with the surroundings. For example, it prevents further oxidation of an underlying oxide during subsequent processing and thus prevents a resulting increase in equivalent oxide thickness (EOT).
  • EOT equivalent oxide thickness
  • Many of the processes for formation of the gate electrode involve use of oxygen, which increases the thickness of an interfacial layer between the substrate and the gate dielectrics. This is a particular concern when the dielectric material is a good oxygen conductor, such as HfO 2 .
  • the barrier layer also serves to protect the dielectric layer from sputtering damage and etch damage during subsequent processing steps or any damage caused by hydrogen plasma, hydrogen radials or any other high-energy ions.
  • the barrier layer is preferably deposited directly on top of the gate dielectric layer.
  • the barrier layer is deposited using an atomic layer deposition (ALD) type process including plasma-enhanced ALD, wherein neither direct plasma is utilized within the deposition chamber, nor highly reactive hydrogen radicals or ions are formed. More specifically, the ALD process for forming the barrier layer is performed essentially in the absence of plasma and reactive hydrogen radials and ions.
  • ALD atomic layer deposition
  • the highest allowed concentration of hydrogen radicals and ions in the reactant vapor is determined as a molar ratio of the hydrogen radicals and ions to the actual reactant. For example, the highest allowed concentration of hydrogen radicals and ions in the reactant vapor may be equal to or less than 10% of the actual reactant.
  • the method is a thermal ALD method.
  • the present invention makes it possible to use oxygen as a precursor in the deposition of metal gates that determine the work function of the device in practice.
  • the intervening barrier film also allows the use of hydrogen plasma in the form of either direct or remote plasma in the deposition of the gate electrode over the gate dielectric. It allows the elimination of polysilicon carrier depletion without affecting the work function provided by a polysilicon gate electrode.
  • the barrier film formed according to the preferred embodiments prevents the electrode material from reacting with the gate dielectric material, which would change and eventually destroy the transistor.
  • the barrier layer deposited is preferably ultra thin and, at the same time, it forms a uniform cover over the entire surface of the gate dielectric.
  • the properties of the interface between the gate dielectric layer and the barrier film are easily controlled in the preferred embodiments. As the thickness of the gate dielectric layer scales to 3 nm or below, the properties of the upper gate dielectric interface are significant for the performance of the device.
  • FIG. 1 a is a schematic cross-section showing a state of the art transistor gate stack, according to the prior art.
  • FIG. 1 b shows a state of the art gate stack including a gate barrier film, constructed in accordance with a preferred embodiment of the present invention.
  • FIG. 2 shows a flow chart generally illustrating the formation of a gate stack comprising a barrier layer between the gate electrode and the high k dielectric in accordance with a preferred embodiment of the invention.
  • FIG. 3 shows a flow chart of a particular ALD process for the production of a barrier layer between a dielectric layer and a gate electrode, in accordance with another preferred embodiment of the invention.
  • FIG. 4 shows a HRTEM photo of a gate structure that was made by thermal ALD without any pre-deposition treatment of the gate dielectric.
  • FIG. 5 shows a HRTEM photo of a gate structure that was made by thermal ALD with a NH 3 pre-deposition treatment of the gate dielectric.
  • FIG. 6 shows a HRTEM photo of a gate structure that was made by PEALD without any pre-deposition treatment of the gate dielectric.
  • FIG. 7 shows a HRTEM photo of a gate structure that was made by PEALD with nitrogen/hydrogen-plasma pre-deposition treatment of the gate dielectric.
  • FIG. 1 b shows a gate dielectric layer 110 located between an overlying gate electrode 114 and the substrate 101 .
  • the gate dielectric layer 110 is separated from the gate electrode 114 by a gate barrier film 112 , as shown in FIG. 1 b.
  • a barrier film 114 prevents detrimental reactions.
  • Such detrimental reactions may include, but are not limited to, a chemical reaction or a diffusion of impurities, such as molecules, atoms or ions from the solid phase one side of the high-k material to the solid phase on the other side of the high-k material.
  • impurities such as molecules, atoms or ions from the solid phase one side of the high-k material to the solid phase on the other side of the high-k material.
  • HfO 2 one concern with high-k dielectric materials, such as HfO 2 , is their weak properties as diffusion barriers for dopant such as boron. Boron can penetrate through the high-k layer to the transistor channel and change the doping level of the transistor channel.
  • the barrier layer 112 inhibits the diffusion of impurities from the gate electrode 114 into the gate dielectric layer 110 or even into the channel region 103 .
  • at least one molecular layer is desired, preferably between about 1 and 50 molecular layers, more preferably between about 2 and about 20 molecular layers, and most preferably between about 2 and 4 molecular layers.
  • the barrier layer 112 is highly conductive in order not to increase the effective electrical thickness of the gate dielectric.
  • suitable materials are TiN, TaN, HfN, HfSi x N y , TiSi x N y , TaSi x N y , WNC and HfAl x N y or any other material that forms an efficient barrier and does not react with the underlying gate dielectric material during deposition or during subsequent processing steps. More preferably the barrier layer comprises HfN or WN x C y .
  • the substrate 101 typically comprises silicon or GaAs, and can be a wafer or an epitaxial layer.
  • Materials for the gate electrode 114 are well known in the art and include polycrystalline silicon (polysilicon), poly-SiGe, W, TiN, TaN, Al, Ni and Ti.
  • the hotter metals are particularly preferred for circuits in which careful tuning of the work function is needed, e.g., for CMOS circuits in which different transistor gates across the circuit require different work functions.
  • the gate dielectric layer 110 is typically characterized by a high-k value.
  • the gate dielectric maybe made of any material known in the art. Examples of high-k materials known in the art are hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), titanium dioxide (TiO 2 ), tantalum oxide (Ta 2 O 5 ), strontium titanate (ST), barium titanate (BT), barium strontium titanate (BST), lead zirconium titanate (PZT) and strontium bismuth tantalite (SBT).
  • the dielectric layer may comprise multiple materials, for example, a ternary structure or a laminate of multiple layers, such as Ta 2 O 5 -TiO 2 .
  • Preferred gate dielectrics comprise ZrO 2 or HfO 2 .
  • An interfacial layer 109 is often present in the substrate and the gate dielectric layer.
  • the interfacial layer typically comprises SiO 2 or SiO x N y and it is about 5 ⁇ thick (e.g., 3-12 ⁇ ).
  • the interfacial layer comprises a native oxide.
  • the interfacial layer comprises aluminum oxide or lanthanide (rare earth) oxide.
  • Other material and arrangements for the gate stack are well known to the skilled artisan.
  • the preferred processes for depositing the gate electrode include an ALD process, wherein molecular oxygen is used as a second precursor together with the metal cyclopentadienyl compounds of p-type metals, such as Ru, Pt, or IT (see U.S. patent appl. No. 10/066,315, the disclosure of which is incorporated herein by reference).
  • the other processes include also p-type metals like Ni and Co, which are deposited from corresponding metal betadiketonates and ozone with ALD and reduced into elemental metal (see U.S. Pat. No. 6,482,740, the disclosure of which is incorporated herein by reference).
  • gate electrode 114 Other preferred processes for producing the gate electrode 114 are thin film processes where direct or remote hydrogen plasma is used.
  • hydrogen plasma has been shown to be detrimental, especially to an ultra thin high k (e.g., HfO 2 ) gate dielectric, which fact has limited the use hydrogen plasma in the deposition processes of metal films as gate electrodes directly on the gate oxide.
  • the present invention provides a barrier layer between two or more materials.
  • one of the materials is a high dielectric constant (high-k) material, such as HfO 2 or ZrO 2 .
  • the high-k material preferably has a dielectric constant greater than 5. More preferably the high-k material has a dielectric constant greater than about 10.
  • high-k materials include oxides of group 3 (for example Y, La and Gd), group 4 (Ti, Zr, Hf) and group 5 elements (V, Nb, Ta), as well as more complex oxides.
  • high-k materials can include lanthanide (rare earth) oxides of group 3 elements, such as yttrium oxide (k′′′12), lanthanum oxide (k ⁇ 21), neodymium oxide (k ⁇ 16), and cerium dioxide (k ⁇ 15).
  • lanthanide (rare earth) oxides of group 3 elements such as yttrium oxide (k′′′12), lanthanum oxide (k ⁇ 21), neodymium oxide (k ⁇ 16), and cerium dioxide (k ⁇ 15).
  • the high-k material can comprise multiple materials, either as a ternary structure or a laminate of multiple high-k material layers.
  • an ALD type process generally refers to a process for producing thin films over a substrate, in which process a solid thin film is formed molecular layer by molecular layer due to self-saturating chemical reactions on heated surfaces.
  • vapor phase reactants i.e. precursors
  • a reaction chamber of an ALD type of a reactor and contacted with a substrate located in the chamber to provide a surface reaction.
  • the pressure and the temperature of the reaction chamber are adjusted to a range where physisorption (i.e. condensation of gases) and thermal decomposition of the precursors are avoided. Consequently, only up to one monolayer (i.e.
  • an atomic layer or a molecular layer of material is deposited at a time during each pulsing cycle.
  • the actual growth rate of the thin film which is typically presented as ⁇ per pulsing cycle, depends, for example, on the number of available reactive surface sites on the surface and bulkiness of the chemisorbing molecules.
  • Gas phase reactions between precursors and any undesired reactions of byproducts are inhibited because material pulses are separated from each other by time and the reaction chamber is purged with an inactive gas (e.g. nitrogen or argon) between material pulses to remove surplus gaseous reactants and reaction byproducts from the chamber.
  • an inactive gas e.g. nitrogen or argon
  • a reaction space designates generally a reactor or a reaction chamber in which the conditions can be adjusted so that deposition of a thin film is possible.
  • an ALD type reactor means a reactor where the reaction space is in fluid communication with an inactive gas source and at least two precursor sources that can be pulsed in alternated steps whereby vapor phase reactants are kept separated, the reaction space is in fluid communication with a vacuum generator (e.g. a vacuum pump), and the temperature and pressure of the reaction space and the flow rates of gases can be adjusted to a range that makes it possible to grow thin films by ALD type processes.
  • a vacuum generator e.g. a vacuum pump
  • ALD type process applicable in performing the preferred embodiments is an ALD process utilizing remote plasma.
  • the plasma is formed outside the reaction chamber and pulsed as a reactant pulse into the reaction chamber.
  • the equipment is configured to optimize neutral excited species delivery and minimize ion delivery to the substrate.
  • radicals of the precursor may be present.
  • Thermal ALD refers to an ALD method where plasma is not used for activating reactants but the substrate temperature is high enough for overcoming the energy barrier (activation energy) during collisions between the chemisorbed species on the surface and reactant molecules in the gas phase so that up to a molecular layer of thin film grows on the substrate surface during each ALD pulsing sequence.
  • the barrier layer 112 is primarily composed of a different material than the gate electrode 114 .
  • the barrier layer comprises a metal that is also present in the underlying dielectric layer.
  • the barrier layer 112 may comprise a metal nitride while the dielectric 110 comprises an oxide of the same metal.
  • the dielectric layer comprises HfO 2 and the overlying layer comprises HfN.
  • a thin HfN barrier layer is deposited immediately after the deposition of HfO 2 in the same reaction space.
  • the barrier layer 112 comprises TiN processed by ALD using TiC 4 and NH 3 the precursors.
  • the barrier layer 112 comprises TaN deposited, for instance, using TaCl 5 , Zn and NH 3 .
  • Zn is introduced as a separate reducing agent in between the pulse of the metal compound and the pulse of NH 3 .
  • other reducing agents such as various silicon and boron compounds, can be used.
  • the barrier layer 112 comprises tungsten nitride carbide (WN x C y ), which is deposited, for instance, from WF 6 , triethyl boron (TEB) and NH 3 , wherein TEB is used as a reducing agent and for gettering halogen from the metal source chemical (e.g. fluorine from absorbed species of WF 6 ).
  • the barrier layer 112 comprises a nanolaminate structure of a ternary complex.
  • the gate dielectric barrier 112 is a nanolaminate structure comprising a plurality of thin layers of different materials.
  • the nanolaminate structure comprises amorphous layers. Nanolaminates similar to those described in WO 01/29893, incorporated herein by reference, could be used.
  • a barrier layer 112 thicker than about 100 ⁇ will likely affect and possibly even define the work function of the gate.
  • the barrier layer preferably has a thickness of less than or equal to about 100 ⁇ , more preferably less than or equal to about 80 ⁇ and most preferably less than or equal to about 30 ⁇ .
  • a thicker layer, greater than 100 ⁇ may be used.
  • the barrier layer 112 may be thinner than the dielectric layer or thicker than the dielectric layer.
  • the deposition temperature of metals and metal nitrides is typically higher than in the deposition of high-k dielectric layers due to high activation energy required for removing the ligands of the precursors. This leads to a low number of reactive sites on the high-k dielectric surface.
  • the first metal-containing pule is preferably conducted before raising the temperature to the desired barrier layer deposition temperature. For instance, in case of TiN deposition from TiCL 4 and NH 3 the first TiC 4 pulse is introduced at the lower temperature than 300° C., more preferably at 250° C. and most preferably below 200° C.
  • the temperature is increased to the final reaction temperature of the TiN process being more 350° C.
  • the temperature can also be cycled between the reaction temperature of the metal compound reaction (adsorption) and that of the removing agent of the ligand (ligand gettering or ligand exchange), in this case NH 3 .
  • One more example is also the deposition of hafnium nitride (HfN).
  • HfN hafnium nitride
  • the deposition cycle is completed with the HfCL 4 pulse and then the temperature is increased to the reaction temperature of the ligand removing agent, in this case NH 3 , in the same reactor or in a separate reactor.
  • the surface of the dielectric layer 110 is treated by physical or chemical means prior to the deposition of the barrier layer to modify the surface to better comply with the subsequent processing steps.
  • the barrier layer is treated after its deposition to remove impurities incorporated in the layer during the deposition.
  • FIG. 3 A process flow chart indicating the preferred embodiment with both the pre-deposition treatment and the post-deposition treatment is shown in FIG. 3 .
  • the dielectric material comprises an oxide, for example hafnium dioxide (HfO 2 )
  • the surface of the dielectric layer will comprise reactive hydroxyl (OH) groups.
  • a barrier layer such as a metal nitride
  • a metal source chemical pulse e.g., TiC 4
  • an oxide dielectric layer is treated to replace OH groups on the surface with N, NH and/or NH 2 groups prior to barrier layer deposition.
  • a process flow chart indicating a nitrogen pre-treatment step is shown in FIG. 3 .
  • the first metal source chemical pulse will react with the NH and/or NH 2 groups on the surface and a metal nitride layer up to one molecular layer will grow on the surface of the dielectric layer.
  • the equivalent oxide thickness of the high-k layer does not increase.
  • a high-k oxide surface is treated with ammonia (NH 3 ) or hydrazine (N 2 H 4 ) gas.
  • the treatment is continued for about one minute (60 s ⁇ 10 s) at about 350° C.
  • the pre-deposition treatment may be part of the process by which the barrier layer is deposited.
  • a TiN barrier film may be deposited from separated TiCl 4 and NH 3 pulses in an ALD process.
  • the process may be started with a long pulse of NH 3 , thus replacing the surface OH groups with NH and/or NH 2 groups.
  • the first NH 3 pulse is greater than 10 seconds, more preferably greater than 20 seconds (e.g., about 60 seconds) in length. Subsequent NH 3 pulses may be of shorter duration.
  • the high k oxide surface is treated with radicals.
  • the dielectric surface is treated with NH or NH 2 radicals for example for greater than 10 seconds, more preferably greater than 20 seconds, (e.g., about 60 seconds).
  • the radicals may be generated, for example, thermally from hydrazine.
  • Surface treatment of high-k dielectrics prior to conductor deposition is described, for example, in U.S. patent application No. 09/944,734, also published as WO 02/43115, the disclosure of which is hereby incorporated herein by reference.
  • the gate dielectric barrier may comprise impurities following its formation.
  • the barrier comprises a metal nitride that was deposited from a metal halide
  • the metal nitride film may contain some halide impurities.
  • the film may contain some carbon impurities that have not formed conductive carbides with metals. Impurities may be removed from the barrier layer material by post-deposition treatment.
  • FIG. 3 A process flow chart indicating the post-treatment step to volatilize impurities from the barrier layer is shown in FIG. 3 .
  • a metal nitride layer is treated with hydrogen plasma to remove residual halides and/or carbon.
  • a metal nitride barrier layer is annealed at a temperature that is higher than the deposition temperature of the metal nitride and is lower than the crystallization temperature of the metal nitride.
  • Ammonia (NH 3 ) gas can optionally be present in the gas phase during annealing so that volatile impurities more efficiently leave the gate dielectric barrier.
  • a gate electrode is deposited by any method known in the art, including CVD, PVD, ALD, etc.
  • the gate electrode may comprise some impurities following its formation, particularly if metal halide or organometallic source chemicals are used. As discussed above for the barrier layer, these impurities may be removed by optional plasma treatment and/or annealing following the gate electrode deposition.
  • formation of the gate electrode included incorporation of oxygen into the layer to tailor work function, as disclosed in the application entitled METHOD OF FORMING AN ELECTRODE WITH ADJUSTED WORK FUNCTION, the disclosure of which is incorporated herein above under the heading “Reference to Related Applications.”
  • Substrates consisted of wafers that had native oxide on the silicon surface, the native oxide comprising a thin chemical silicon oxide made with an IMEC-clean®.
  • Hafnium dioxide thin films having thickness of 3-5 nm were deposited at 300° C. by thermal ALD on the substrates.
  • Titanium nitride (TiN) barrier thin films were deposited on top of the atomic layer deposited hafnium dioxide thin films ( FIGS. 4-7 ).
  • the TiN thin films were deposited in a Pulsar®2000 ALCVDTM reactor (ASM Microchemistry Oy of Espoo, Finland).
  • TiN was deposited by thermal ALD from titanium tetrachloride (TiC 4 ) and ammonia (NH 3 ) at higher temperatures, preferably 350° C.
  • TiN was deposited by plasma enhanced ALD using TiCl 4 and nitrogen/hydrogen remote plasma at lower temperatures.
  • the thin films were characterized using standard techniques.
  • the average growth rate of titanium nitride deposited by thermal ALD was 0.02 nm/cycle. Films were very uniform and had a stoichiometry of TiN 1.10 . There was about 0.5-atomic-% of residual chlorine in the films. Films were oxidized in the air up to a depth of approximately 25 nm, with a resulting oxygen concentration of about 30 atomic-% at the film surface. Films were polycrystalline cubic titanium nitride. It was observed that 5 nm thick films consisted of many different crystal orientation phases and also amorphous phases. Thicker films (over 50 nm) consisted primarily of ⁇ 111> crystal orientation. Resistivity was found to depend on the thickness of the film and varied from about 440 ⁇ cm to about 1600 ⁇ cm.
  • the average growth rate of titanium nitride films deposited by remote plasma ALD was about 0.03 nm/cycle.
  • the films comprised from about 2 to about 6 atomic-% of residual chlorine.
  • the concentration of titanium in the films was approximately 50 atomic-% indicating nearly stoichiometric TiN.
  • Films comprised polycrystalline cubic titanium nitride. Even 5 nm thick films were very crystalline despite the low growth temperature.
  • Thicker films (over 50 nm) consisted mostly of ⁇ 200> crystal orientation. Resistivity of the films varied in the range of 170 ⁇ cm to about 430 ⁇ cm.
  • the work function of the titanium nitride electrode was determined to be 4.8 eV. Full coverage of titanium nitride on the hafnium dioxide surface was achieved within about 10 to about 20 deposition cycles.

Abstract

A method of manufacturing a high performance MOS device and transistor gate stacks comprises forming a gate dielectric layer over a semiconductor substrate; forming a barrier layer over the gate dielectric layer by an ALD type process; and forming a gate electrode layer over the barrier layer. The method enables the use of hydrogen plasma, high energy hydrogen radicals and ions, other reactive radicals, reactive oxygen and oxygen containing precursors in the processing steps subsequent to the deposition of the gate dielectric layer of the device. The ALD process for forming the barrier layer is performed essentially in the absence of plasma and reactive hydrogen radials and ions. This invention makes it possible to use oxygen as a precursor in the deposition of the metal gates. The barrier film also allows the use of hydrogen plasma in the form of either direct or remote plasma in the deposition of the gate electrode. Furthermore, the barrier film prevents the electrode material from reacting with the gate dielectric material. The barrier layer is ultra thin and, at the same time, it forms a uniform cover over the entire surface of the gate dielectric.

Description

    REFERENCE TO RELATED APPLICATIONS
  • The present application is a divisional of U.S. application No. 10/430,811, filed May 5, 2003 and claims priority under 35 U.S.C. § 119(e) to U.S. provisional application No. 60/430,960 filed Dec. 3, 2002. The present application is also related to U.S. application No. 10/430,703, filed May 5, 2003, the disclosure of which is incorporated herein by reference.
  • FIELD OF THE INVENTION
  • This invention relates generally to the field of semiconductor manufacturing and in particular to the field of forming transistor gate stacks in integrated circuits.
  • BACKGROUND OF THE INVENTION
  • Semiconductor devices are continuously improved to enhance device performance. For example, both smaller device size and higher speed of operation are highly desirable performance targets. Transistors also have been continuously reduced in size. The ability to construct smaller gate structures for complementary metal oxide semiconductor (CMOS) transistors makes it possible to pack more transistors on the same surface area. With the smaller gate structures, the thickness of the gate dielectric has also substantially decreased to 3 nm and below in today's technologies. The principal elements of a typical MOS device are illustrated in FIG. 1 a. The device generally includes a semiconductor substrate 101 on which a gate stack is disposed.
  • The gate stack typically comprises an interfacial layer 109 between the silicon substrate and the gate dielectric layer, gate dielectric layer 110 and a gate electrode 114 disposed on the gate dielectric layer 110. In some circumstances (such as when using a conventional silicon oxide gate dielectric), the interfacial layer 109 may be absent. The gate electrode 114 acts as a conductor. An input signal is typically applied to the gate electrode 114 via a gate terminal (not shown). Heavily doped source/drain regions 102 are formed in the semiconductor substrate 101 and are connected to source/drain terminals (not shown). A channel region 103 is formed in the semiconductor substrate beneath the gate electrode 114 and separates source/drain regions 102. The channel is typically lightly doped with a dopant of a type opposite to that of the source/drain regions 102. The gate electrode 114 is separated from the semiconductor substrate 101 by the gate dielectric layer 110. The insulating gate dielectric layer 110 is provided to prevent electrical current from flowing directly between the gate electrode 114 and the source/drain regions 102 or the channel region 103.
  • In the process for producing the gate stack in an IC, the gate dielectric layer is deposited according to any thin film deposition method and the gate electrode is deposited over the dielectric layer. The gate dielectric materials currently investigated are characterized by a high dielectric constant (i.e. high-k material). The gate electrode layer is deposited of a material having low electrical resistance. It is desired that the process for depositing the gate electrode is fast to minimize the time of the manufacturing. Polysilicon is generally used as the gate electrode material. However, problems arise since a depletion layer is formed at the polysilicon-dielectric interface, increasing the equivalent oxide thickness of the gate stack. Therefore, other electrode materials with low resistivity are desired. Furthermore, thin film processes that are compatible with the process for depositing the high-k dielectric layer are needed.
  • The properties of the transistor critically depend on the thickness and quality of the gate dielectric layer 110. Therefore, the dielectric layer, and even the interfacial layer and the channel region are very sensitive to any impurities diffusing from the gate electrode layer. Furthermore, the gate dielectric layer is exposed to detrimental circumstances, when the process for producing the gate electrode layer comprises use of oxygen or oxygen containing precursors or when use of hydrogen plasma or other method where hydrogen radicals are involved is desired after the deposition of the gate dielectric layer.
  • In U.S. Pat. No. 6,383,879, Kizilyalli et al. describe the use of a metal etch barrier film, deposited by conventional techniques, between the gate dielectric layer and the gate electrode. However, this gate barrier is a high-k dielectric film, which will despite of its high-k value negativity contribute to the effective electrical thickness of the gate dielectric. In U.S. Pat. No. 6,225,168, Gardner et al. describe formation of a gate dielectric layer and a gate barrier layer by subsequent oxidation and nitridation of a deposited Ti or Ta layer. However, thickness control in this rather complicated process sequence is difficult.
  • The ultra thin dielectric structure of an interfacial layer and a high-k gate dielectric layer is highly sensitive to oxygen. Oxygen can easily penetrate, for instance through a HfO2 layer of 20-30 Å, increasing the thickness of the interfacial SiO2 layer between the silicon substrate and the high-k dielectric layer. A small increase in thickness of the interfacial SiO2 layer can degrade the equivalent oxide thickness (EOT) enormously.
  • The deposition of a polysilicon gate electrode directly over the HfO2 gate dielectric damages in part the gate oxide. Therefore, a silicon nitride cap or a silicon oxynitride cap is deposited over the gate oxide. However, such silicon compounds are known to increase the EOT value. In the future, smaller and smaller equivalent oxide thickness (EOT) values are called for and, at the same time, from a process integration point of view a polysilicon gate would be preferred. To avoid the depletion effect caused by polysilicon and the increase in EOT caused by the use of a silicon compound as a barrier, a metal or metal nitride barrier film is desirable over the dielectric instead or a silicon compound layer.
  • Accordingly, what is needed in the art is a- method of forming a gate barrier film on a gate dielectric film that method avoids the problems described above.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide an improved method of manufacturing a high performance MOS device and in particular, high performance transistor gate stacks.
  • In accordance with one aspect of the present invention, a method is provided for manufacturing semiconductor devices, wherein the method comprises forming a gate dielectric layer over a semiconductor substrate; forming a barrier layer over the gate dielectric layer by an ALD type process; and forming a gate electrode layer over the barrier layer.
  • In accordance with another aspect of the invention, the preferred embodiments provide a barrier layer between two or more materials. Preferably, one of the materials is a gate dielectric in a semiconductor device and the other of the materials is a gate electrode in a semiconductor device.
  • The method of the present invention facilitates the use of hydrogen plasma, high energy hydrogen radials and ions, other reactive radicals, reactive oxygen and oxygen-containing reactants in the processing steps subsequent to the deposition of the gate dielectric layer of the device. Such reactants can be advantageous for patterning gate electrodes or for tailoring work function of gate electrodes, particularly for CMOS circuits.
  • The barrier layer provides several functions and is preferably highly conductive. The barrier layer prevents diffusion of impurities from the gate electrode into the gate dielectric layer. Furthermore, the barrier layer serves to prevent the material underneath the barrier film reacting with the surroundings. For example, it prevents further oxidation of an underlying oxide during subsequent processing and thus prevents a resulting increase in equivalent oxide thickness (EOT). Many of the processes for formation of the gate electrode involve use of oxygen, which increases the thickness of an interfacial layer between the substrate and the gate dielectrics. This is a particular concern when the dielectric material is a good oxygen conductor, such as HfO2.
  • The barrier layer also serves to protect the dielectric layer from sputtering damage and etch damage during subsequent processing steps or any damage caused by hydrogen plasma, hydrogen radials or any other high-energy ions.
  • The barrier layer is preferably deposited directly on top of the gate dielectric layer. According to preferred embodiments of the present invention the barrier layer is deposited using an atomic layer deposition (ALD) type process including plasma-enhanced ALD, wherein neither direct plasma is utilized within the deposition chamber, nor highly reactive hydrogen radicals or ions are formed. More specifically, the ALD process for forming the barrier layer is performed essentially in the absence of plasma and reactive hydrogen radials and ions. In the illustrated embodiment, the highest allowed concentration of hydrogen radicals and ions in the reactant vapor is determined as a molar ratio of the hydrogen radicals and ions to the actual reactant. For example, the highest allowed concentration of hydrogen radicals and ions in the reactant vapor may be equal to or less than 10% of the actual reactant. According to one preferred embodiment the method is a thermal ALD method.
  • The present invention makes it possible to use oxygen as a precursor in the deposition of metal gates that determine the work function of the device in practice. The intervening barrier film also allows the use of hydrogen plasma in the form of either direct or remote plasma in the deposition of the gate electrode over the gate dielectric. It allows the elimination of polysilicon carrier depletion without affecting the work function provided by a polysilicon gate electrode. Furthermore, the barrier film formed according to the preferred embodiments prevents the electrode material from reacting with the gate dielectric material, which would change and eventually destroy the transistor. In all the aforementioned cases, the barrier layer deposited is preferably ultra thin and, at the same time, it forms a uniform cover over the entire surface of the gate dielectric.
  • Furthermore, the properties of the interface between the gate dielectric layer and the barrier film are easily controlled in the preferred embodiments. As the thickness of the gate dielectric layer scales to 3 nm or below, the properties of the upper gate dielectric interface are significant for the performance of the device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 a is a schematic cross-section showing a state of the art transistor gate stack, according to the prior art.
  • FIG. 1 b shows a state of the art gate stack including a gate barrier film, constructed in accordance with a preferred embodiment of the present invention.
  • FIG. 2 shows a flow chart generally illustrating the formation of a gate stack comprising a barrier layer between the gate electrode and the high k dielectric in accordance with a preferred embodiment of the invention.
  • FIG. 3 shows a flow chart of a particular ALD process for the production of a barrier layer between a dielectric layer and a gate electrode, in accordance with another preferred embodiment of the invention.
  • FIG. 4 shows a HRTEM photo of a gate structure that was made by thermal ALD without any pre-deposition treatment of the gate dielectric.
  • FIG. 5 shows a HRTEM photo of a gate structure that was made by thermal ALD with a NH3 pre-deposition treatment of the gate dielectric.
  • FIG. 6 shows a HRTEM photo of a gate structure that was made by PEALD without any pre-deposition treatment of the gate dielectric.
  • FIG. 7 shows a HRTEM photo of a gate structure that was made by PEALD with nitrogen/hydrogen-plasma pre-deposition treatment of the gate dielectric.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • While illustrated in the context of transistor gate stacks, the skilled artisan will readily find application for the principles and advantages disclosed herein to other situations where similar electrical and physical properties at an interface are desired.
  • FIG. 1 b shows a gate dielectric layer 110 located between an overlying gate electrode 114 and the substrate 101. To improve the reliability of the transistor, the gate dielectric layer 110 is separated from the gate electrode 114 by a gate barrier film 112, as shown in FIG. 1 b. Such a barrier film 114 prevents detrimental reactions. Such detrimental reactions may include, but are not limited to, a chemical reaction or a diffusion of impurities, such as molecules, atoms or ions from the solid phase one side of the high-k material to the solid phase on the other side of the high-k material. For example, one concern with high-k dielectric materials, such as HfO2, is their weak properties as diffusion barriers for dopant such as boron. Boron can penetrate through the high-k layer to the transistor channel and change the doping level of the transistor channel.
  • The barrier layer 112 inhibits the diffusion of impurities from the gate electrode 114 into the gate dielectric layer 110 or even into the channel region 103. For the barrier layer 112 to function effectively as diffusion barrier, at least one molecular layer is desired, preferably between about 1 and 50 molecular layers, more preferably between about 2 and about 20 molecular layers, and most preferably between about 2 and 4 molecular layers.
  • The barrier layer 112 is highly conductive in order not to increase the effective electrical thickness of the gate dielectric. Examples of suitable materials are TiN, TaN, HfN, HfSixNy, TiSixNy, TaSixNy, WNC and HfAlxNy or any other material that forms an efficient barrier and does not react with the underlying gate dielectric material during deposition or during subsequent processing steps. More preferably the barrier layer comprises HfN or WNxCy.
  • The substrate 101 typically comprises silicon or GaAs, and can be a wafer or an epitaxial layer. Materials for the gate electrode 114 are well known in the art and include polycrystalline silicon (polysilicon), poly-SiGe, W, TiN, TaN, Al, Ni and Ti. The hotter metals are particularly preferred for circuits in which careful tuning of the work function is needed, e.g., for CMOS circuits in which different transistor gates across the circuit require different work functions.
  • The gate dielectric layer 110 is typically characterized by a high-k value. The gate dielectric maybe made of any material known in the art. Examples of high-k materials known in the art are hafnium oxide (HfO2), zirconium oxide (ZrO2), titanium dioxide (TiO2), tantalum oxide (Ta2O5), strontium titanate (ST), barium titanate (BT), barium strontium titanate (BST), lead zirconium titanate (PZT) and strontium bismuth tantalite (SBT). The dielectric layer may comprise multiple materials, for example, a ternary structure or a laminate of multiple layers, such as Ta2O5-TiO2. Preferred gate dielectrics comprise ZrO2 or HfO2.
  • An interfacial layer 109 is often present in the substrate and the gate dielectric layer. The interfacial layer typically comprises SiO2 or SiOxNy and it is about 5 Å thick (e.g., 3-12 Å). In one embodiment the interfacial layer comprises a native oxide. In another embodiment, the interfacial layer comprises aluminum oxide or lanthanide (rare earth) oxide. Other material and arrangements for the gate stack are well known to the skilled artisan.
  • The preferred processes for depositing the gate electrode include an ALD process, wherein molecular oxygen is used as a second precursor together with the metal cyclopentadienyl compounds of p-type metals, such as Ru, Pt, or IT (see U.S. patent appl. No. 10/066,315, the disclosure of which is incorporated herein by reference). The other processes include also p-type metals like Ni and Co, which are deposited from corresponding metal betadiketonates and ozone with ALD and reduced into elemental metal (see U.S. Pat. No. 6,482,740, the disclosure of which is incorporated herein by reference).
  • Other preferred processes for producing the gate electrode 114 are thin film processes where direct or remote hydrogen plasma is used. On the other hand, hydrogen plasma has been shown to be detrimental, especially to an ultra thin high k (e.g., HfO2) gate dielectric, which fact has limited the use hydrogen plasma in the deposition processes of metal films as gate electrodes directly on the gate oxide.
  • The present invention provides a barrier layer between two or more materials. Preferably, one of the materials is a high dielectric constant (high-k) material, such as HfO2 or ZrO2. The high-k material preferably has a dielectric constant greater than 5. More preferably the high-k material has a dielectric constant greater than about 10. Such high-k materials include oxides of group 3 (for example Y, La and Gd), group 4 (Ti, Zr, Hf) and group 5 elements (V, Nb, Ta), as well as more complex oxides. Thus, high-k materials can include lanthanide (rare earth) oxides of group 3 elements, such as yttrium oxide (k′″12), lanthanum oxide (k≈21), neodymium oxide (k≈16), and cerium dioxide (k≈15). In other arrangements, it will be understood that the high-k material can comprise multiple materials, either as a ternary structure or a laminate of multiple high-k material layers.
  • In context of the present invention, “an ALD type process” generally refers to a process for producing thin films over a substrate, in which process a solid thin film is formed molecular layer by molecular layer due to self-saturating chemical reactions on heated surfaces. In the process, vapor phase reactants, i.e. precursors, are conducted into a reaction chamber of an ALD type of a reactor and contacted with a substrate located in the chamber to provide a surface reaction. The pressure and the temperature of the reaction chamber are adjusted to a range where physisorption (i.e. condensation of gases) and thermal decomposition of the precursors are avoided. Consequently, only up to one monolayer (i.e. an atomic layer or a molecular layer) of material is deposited at a time during each pulsing cycle. The actual growth rate of the thin film, which is typically presented as Å per pulsing cycle, depends, for example, on the number of available reactive surface sites on the surface and bulkiness of the chemisorbing molecules. Gas phase reactions between precursors and any undesired reactions of byproducts are inhibited because material pulses are separated from each other by time and the reaction chamber is purged with an inactive gas (e.g. nitrogen or argon) between material pulses to remove surplus gaseous reactants and reaction byproducts from the chamber. The principles of ALD type processes have been presented by T. Suntola, e.g. in the Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B.V. 1994, the disclosure of which is incorporated herein by reference.
  • In context of the present application, “a reaction space” designates generally a reactor or a reaction chamber in which the conditions can be adjusted so that deposition of a thin film is possible.
  • In context of the present application, “an ALD type reactor” means a reactor where the reaction space is in fluid communication with an inactive gas source and at least two precursor sources that can be pulsed in alternated steps whereby vapor phase reactants are kept separated, the reaction space is in fluid communication with a vacuum generator (e.g. a vacuum pump), and the temperature and pressure of the reaction space and the flow rates of gases can be adjusted to a range that makes it possible to grow thin films by ALD type processes.
  • One example of an ALD type process applicable in performing the preferred embodiments is an ALD process utilizing remote plasma. In the process, the plasma is formed outside the reaction chamber and pulsed as a reactant pulse into the reaction chamber. The equipment is configured to optimize neutral excited species delivery and minimize ion delivery to the substrate. However, radicals of the precursor may be present.
  • “Thermal ALD” refers to an ALD method where plasma is not used for activating reactants but the substrate temperature is high enough for overcoming the energy barrier (activation energy) during collisions between the chemisorbed species on the surface and reactant molecules in the gas phase so that up to a molecular layer of thin film grows on the substrate surface during each ALD pulsing sequence.
  • The barrier layer 112 is primarily composed of a different material than the gate electrode 114. In one embodiment the barrier layer comprises a metal that is also present in the underlying dielectric layer. For example, the barrier layer 112 may comprise a metal nitride while the dielectric 110 comprises an oxide of the same metal. In a particular embodiment the dielectric layer comprises HfO2 and the overlying layer comprises HfN. In a particular embodiment, a thin HfN barrier layer is deposited immediately after the deposition of HfO2 in the same reaction space.
  • In a further embodiment, the barrier layer 112 comprises TiN processed by ALD using TiC4 and NH3 the precursors. According to another embodiment, the barrier layer 112 comprises TaN deposited, for instance, using TaCl5, Zn and NH3. Zn is introduced as a separate reducing agent in between the pulse of the metal compound and the pulse of NH3. Instead of zinc, other reducing agents, such as various silicon and boron compounds, can be used. According to one more embodiment of the present invention, the barrier layer 112 comprises tungsten nitride carbide (WNxCy), which is deposited, for instance, from WF6, triethyl boron (TEB) and NH3, wherein TEB is used as a reducing agent and for gettering halogen from the metal source chemical (e.g. fluorine from absorbed species of WF6).
  • In other embodiments the barrier layer 112 comprises a nanolaminate structure of a ternary complex. In one embodiment the gate dielectric barrier 112 is a nanolaminate structure comprising a plurality of thin layers of different materials. Preferably, the nanolaminate structure comprises amorphous layers. Nanolaminates similar to those described in WO 01/29893, incorporated herein by reference, could be used.
  • A barrier layer 112 thicker than about 100 Å will likely affect and possibly even define the work function of the gate. Thus, in terms of absolute thickness, the barrier layer preferably has a thickness of less than or equal to about 100 Å, more preferably less than or equal to about 80 Å and most preferably less than or equal to about 30 Å. However, if it is desired to have the work function determined by the barrier layer 112, a thicker layer, greater than 100 Å may be used. The barrier layer 112 may be thinner than the dielectric layer or thicker than the dielectric layer.
  • In thermal ALD, the deposition temperature of metals and metal nitrides is typically higher than in the deposition of high-k dielectric layers due to high activation energy required for removing the ligands of the precursors. This leads to a low number of reactive sites on the high-k dielectric surface. In order to provide good surface coverage and to prevent the change in the reaction mode of the metal compound at the beginning of the metal on metal nitride deposition, the first metal-containing pule is preferably conducted before raising the temperature to the desired barrier layer deposition temperature. For instance, in case of TiN deposition from TiCL4 and NH3 the first TiC4 pulse is introduced at the lower temperature than 300° C., more preferably at 250° C. and most preferably below 200° C. during the stabilization time of the high-k surface. After the first pulse the temperature is increased to the final reaction temperature of the TiN process being more 350° C. In extreme case the temperature can also be cycled between the reaction temperature of the metal compound reaction (adsorption) and that of the removing agent of the ligand (ligand gettering or ligand exchange), in this case NH3. One more example is also the deposition of hafnium nitride (HfN). In the reactor for the dielectric growth, the deposition cycle is completed with the HfCL4 pulse and then the temperature is increased to the reaction temperature of the ligand removing agent, in this case NH3, in the same reactor or in a separate reactor.
  • In one embodiment the surface of the dielectric layer 110 is treated by physical or chemical means prior to the deposition of the barrier layer to modify the surface to better comply with the subsequent processing steps. According to one more embodiment the barrier layer is treated after its deposition to remove impurities incorporated in the layer during the deposition.
  • A process flow chart indicating the preferred embodiment with both the pre-deposition treatment and the post-deposition treatment is shown in FIG. 3.
  • Pre-Deposition Treatment
  • If the dielectric material comprises an oxide, for example hafnium dioxide (HfO2), the surface of the dielectric layer will comprise reactive hydroxyl (OH) groups. If the subsequent deposition of a barrier layer, such as a metal nitride, is begun by introduction of a metal source chemical pulse (e.g., TiC4), up to amolecular layer of metal oxide will grow on the surface and the equivalent oxide thickness of the dielectric layer increases.
  • Thus, in one embodiment an oxide dielectric layer is treated to replace OH groups on the surface with N, NH and/or NH2 groups prior to barrier layer deposition. A process flow chart indicating a nitrogen pre-treatment step is shown in FIG. 3. Following such treatment the first metal source chemical pulse will react with the NH and/or NH2 groups on the surface and a metal nitride layer up to one molecular layer will grow on the surface of the dielectric layer. As a result, the equivalent oxide thickness of the high-k layer does not increase. In a particular embodiment a high-k oxide surface is treated with ammonia (NH3) or hydrazine (N2H4) gas. Preferably, the treatment is continued for about one minute (60 s±10 s) at about 350° C.
  • The pre-deposition treatment may be part of the process by which the barrier layer is deposited. For example, a TiN barrier film may be deposited from separated TiCl4 and NH3 pulses in an ALD process. The process may be started with a long pulse of NH3, thus replacing the surface OH groups with NH and/or NH2 groups. Preferably the first NH3 pulse is greater than 10 seconds, more preferably greater than 20 seconds (e.g., about 60 seconds) in length. Subsequent NH3 pulses may be of shorter duration.
  • According to another embodiment the high k oxide surface is treated with radicals. In a particular embodiment the dielectric surface is treated with NH or NH2 radicals for example for greater than 10 seconds, more preferably greater than 20 seconds, (e.g., about 60 seconds). The radicals may be generated, for example, thermally from hydrazine. Surface treatment of high-k dielectrics prior to conductor deposition is described, for example, in U.S. patent application No. 09/944,734, also published as WO 02/43115, the disclosure of which is hereby incorporated herein by reference.
  • Post-Deposition Treatment
  • The gate dielectric barrier may comprise impurities following its formation. For example, if the barrier comprises a metal nitride that was deposited from a metal halide, the metal nitride film may contain some halide impurities. If a metal nitride film was deposited from organometallic source chemicals, the film may contain some carbon impurities that have not formed conductive carbides with metals. Impurities may be removed from the barrier layer material by post-deposition treatment.
  • A process flow chart indicating the post-treatment step to volatilize impurities from the barrier layer is shown in FIG. 3. In one embodiment a metal nitride layer is treated with hydrogen plasma to remove residual halides and/or carbon. According to another embodiment, a metal nitride barrier layer is annealed at a temperature that is higher than the deposition temperature of the metal nitride and is lower than the crystallization temperature of the metal nitride. Ammonia (NH3) gas can optionally be present in the gas phase during annealing so that volatile impurities more efficiently leave the gate dielectric barrier.
  • Following the deposition of the gate dielectric barrier layer, a gate electrode is deposited by any method known in the art, including CVD, PVD, ALD, etc. The gate electrode may comprise some impurities following its formation, particularly if metal halide or organometallic source chemicals are used. As discussed above for the barrier layer, these impurities may be removed by optional plasma treatment and/or annealing following the gate electrode deposition. In one embodiment, formation of the gate electrode included incorporation of oxygen into the layer to tailor work function, as disclosed in the application entitled METHOD OF FORMING AN ELECTRODE WITH ADJUSTED WORK FUNCTION, the disclosure of which is incorporated herein above under the heading “Reference to Related Applications.”
  • EXAMPLE
  • Substrates consisted of wafers that had native oxide on the silicon surface, the native oxide comprising a thin chemical silicon oxide made with an IMEC-clean®. Hafnium dioxide thin films having thickness of 3-5 nm were deposited at 300° C. by thermal ALD on the substrates.
  • Titanium nitride (TiN) barrier thin films were deposited on top of the atomic layer deposited hafnium dioxide thin films (FIGS. 4-7). The TiN thin films were deposited in a Pulsar®2000 ALCVD™ reactor (ASM Microchemistry Oy of Espoo, Finland). In one set of experiments, TiN was deposited by thermal ALD from titanium tetrachloride (TiC4) and ammonia (NH3) at higher temperatures, preferably 350° C. In another set of experiment TiN was deposited by plasma enhanced ALD using TiCl4 and nitrogen/hydrogen remote plasma at lower temperatures. The thin films were characterized using standard techniques.
  • The average growth rate of titanium nitride deposited by thermal ALD was 0.02 nm/cycle. Films were very uniform and had a stoichiometry of TiN1.10. There was about 0.5-atomic-% of residual chlorine in the films. Films were oxidized in the air up to a depth of approximately 25 nm, with a resulting oxygen concentration of about 30 atomic-% at the film surface. Films were polycrystalline cubic titanium nitride. It was observed that 5 nm thick films consisted of many different crystal orientation phases and also amorphous phases. Thicker films (over 50 nm) consisted primarily of <111> crystal orientation. Resistivity was found to depend on the thickness of the film and varied from about 440 μΩcm to about 1600 μΩcm.
  • The average growth rate of titanium nitride films deposited by remote plasma ALD was about 0.03 nm/cycle. The films comprised from about 2 to about 6 atomic-% of residual chlorine. The concentration of titanium in the films was approximately 50 atomic-% indicating nearly stoichiometric TiN. Films comprised polycrystalline cubic titanium nitride. Even 5 nm thick films were very crystalline despite the low growth temperature. Thicker films (over 50 nm) consisted mostly of <200> crystal orientation. Resistivity of the films varied in the range of 170 μΩcm to about 430 μΩcm.
  • The work function of the titanium nitride electrode was determined to be 4.8 eV. Full coverage of titanium nitride on the hafnium dioxide surface was achieved within about 10 to about 20 deposition cycles.
  • Although this invention has been described in terms of certain preferred embodiments, other embodiments that are apparent to those of ordinary skill in the art are also within the scope of this invention. It will be appreciated by those skilled in the art that various omissions, additions and modifications may be made to the processes described above without departing from the scope of the invention, and all such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims (28)

1. A gate stack in an integrated circuit comprising a dielectric layer, a gate electrode and a barrier layer located between the dielectric layer and the gate electrode, wherein the barrier layer is composed of a different material than the gate electrode and wherein the gate dielectric comprises a metal oxide and the barrier layer comprises the same metal and nitrogen.
2. The gate stack of claim 1, wherein the metal is Hf.
3. The gate stack of claim 1, wherein the barrier layer further comprises another element selected from the group consisting of Group IV elements and other metals.
4. The gate stack of claim 3, wherein the barrier layer is comprised of a material selected from the group consisting of HfN, TaN, HfSixNy, TiSixNy, TaSixNy, WNxCy, and HfAlxNy.
5. The gate stack of claim 4, wherein the barrier layer is comprised of a material selected from the group consisting of HfN and WNxCy.
6. The gate stack of claim 1, wherein the barrier layer has a thickness of less than 80 Å.
7. The gate stack of claim 1, wherein the barrier layer has a thickness small enough not to affect a work function of the gate stack.
8. The gate stack of claim 1, wherein the barrier layer has a thickness of between about 1 and 50 molecular layers.
9. The gate stack of claim 1, wherein the barrier layer has a thickness of between about 2 and 20 molecular layers.
10. The gate stack of claim 1, wherein the barrier layer has a thickness of between about 2 and 4 molecular layers.
11. The gate stack of claim 1, wherein the barrier layer is conductive.
12. The gate stack of claim 1, wherein the gate electrode is comprised of a material selected from the group consisting of polysilicon, poly-SiGE, W, TiN, TaN, Al, Ni, and Ti.
13. The gate stack of claim 1, wherein the gate dielectric is a high k material.
14. The gate stack of claim 13, wherein the high k material has a dielectric constant greater than 5.
15. The gate stack of claim 13, wherein the gate dielectric is comprised of a material selected from the group consisting of HfO2, ZrO2, TiO2, Ta2O5, strontium titanate (ST), barium titanate (BT), barium strontium titanate (BST), lead zirconium titanate (PZT) and strontium bismuth tantalite (SBT).
16. The gate stack of claim 13, wherein the gate dielectric comprises a laminate of multiple layers.
17. A gate stack in an integrated circuit comprising a substrate, a high k dielectric layer, a gate electrode and a barrier layer located between the dielectric layer and the gate electrode, wherein the barrier layer has a thickness between 2 and 20 molecular layers.
18. The gate stack of claim 17, additionally comprising an interfacial layer between the substrate and the high k dielectric layer.
19. The gate stack of claim 18, wherein the interfacial layer is about 5 Å thick.
20. The gate stack of claim 18, wherein the interfacial layer comprises a native oxide.
21. The gate stack of claim 18, wherein the interfacial layer comprises a material selected from the group consisting of aluminum oxide and lanthanide oxides.
22. The gate stack of claim 17, wherein the barrier layer has a thickness between 2 and 4 molecular layers.
23. The gate stack of claim 17, wherein the barrier layer comprises a nanolaminate structure.
24. The gate stack of claim 17, wherein the high k material comprises HfO2.
25. The gate stack of claim 24, wherein the barrier layer comprises HfN.
26. The gate stack of claim 17, wherein the substrate comprises a material selected from the group consisting of silicon and GaAs.
27. The gate stack of claim 17, wherein the substrate is an epitaxial layer.
28. The gate stack of claim 17, wherein the barrier layer is thicker than the dielectric layer.
US10/954,806 2002-12-03 2004-09-29 Method of depositing barrier layer from metal gates Abandoned US20050104112A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/954,806 US20050104112A1 (en) 2002-12-03 2004-09-29 Method of depositing barrier layer from metal gates

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US43096002P 2002-12-03 2002-12-03
US10/430,811 US6858524B2 (en) 2002-12-03 2003-05-05 Method of depositing barrier layer for metal gates
US10/954,806 US20050104112A1 (en) 2002-12-03 2004-09-29 Method of depositing barrier layer from metal gates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/430,811 Division US6858524B2 (en) 2002-12-03 2003-05-05 Method of depositing barrier layer for metal gates

Publications (1)

Publication Number Publication Date
US20050104112A1 true US20050104112A1 (en) 2005-05-19

Family

ID=32397255

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/430,811 Expired - Lifetime US6858524B2 (en) 2002-12-03 2003-05-05 Method of depositing barrier layer for metal gates
US10/954,806 Abandoned US20050104112A1 (en) 2002-12-03 2004-09-29 Method of depositing barrier layer from metal gates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/430,811 Expired - Lifetime US6858524B2 (en) 2002-12-03 2003-05-05 Method of depositing barrier layer for metal gates

Country Status (1)

Country Link
US (2) US6858524B2 (en)

Cited By (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040178458A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. CMOS constructions and capacitor constructions
US20060003499A1 (en) * 2004-06-30 2006-01-05 Doczy Mark L Removing a high-k gate dielectric
US20060246698A1 (en) * 2002-04-18 2006-11-02 Taiwan Semiconductor Manufacturing Company. Ltd. Process to make high-K transistor dielectrics
US20070176247A1 (en) * 2006-01-30 2007-08-02 Chun-Li Liu MOS device with multi-layer gate stack
US20070235821A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US20070237698A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US20070237699A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US20070235822A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US20080081113A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US20100075507A1 (en) * 2008-09-22 2010-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating a Gate Dielectric for High-K Metal Gate Devices
US20100102417A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100109098A1 (en) * 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface
US20100127335A1 (en) * 2008-11-21 2010-05-27 Texas Instruments Incorporated Methods to Enhance Effective Work Function of Mid-Gap Metal by Incorporating Oxygen and Hydrogen at a Low Thermal Budget
US20110076390A1 (en) * 2009-09-30 2011-03-31 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20110169132A1 (en) * 2010-01-14 2011-07-14 Renesas Electronics Corporation Semiconductor device and manufacturing method of semiconductor device
US20110309434A1 (en) * 2010-06-18 2011-12-22 Chih-Jen Huang Nonvolatile memory device and manufacturing method thereof
US20120056326A1 (en) * 2005-07-20 2012-03-08 Kraus Brenda D Titanium nitride films
TWI456666B (en) * 2011-09-24 2014-10-11 Taiwan Semiconductor Mfg Metal gate device with low temperature oxygen scavenging
US8940601B2 (en) 2011-07-07 2015-01-27 Renesas Electronics Corporation Manufacturing method of semiconductor device
TWI478325B (en) * 2010-06-18 2015-03-21 United Microelectronics Corp Nonvolatile memory device and manufacturing method thereof
TWI508175B (en) * 2009-02-02 2015-11-11 Asm Inc Method of forming integrated circuit and method of forming gate electrode
US20160005832A1 (en) * 2013-03-01 2016-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. High-K Film Apparatus and Method
US20170092725A1 (en) * 2015-09-29 2017-03-30 International Business Machines Corporation Activated thin silicon layers
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Families Citing this family (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7037863B2 (en) * 2002-09-10 2006-05-02 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
JP2005064317A (en) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies Inc Semiconductor device
KR101001741B1 (en) * 2003-08-18 2010-12-15 삼성전자주식회사 Capacitor of semiconductor device, method of manufacturing the same and memory device having the same
US7094712B2 (en) * 2003-09-30 2006-08-22 Samsung Electronics Co., Ltd. High performance MIS capacitor with HfO2 dielectric
JP2005158998A (en) * 2003-11-26 2005-06-16 Toshiba Corp Manufacturing method of semiconductor device
US7064050B2 (en) * 2003-11-28 2006-06-20 International Business Machines Corporation Metal carbide gate structure and method of fabrication
US7514360B2 (en) * 2004-03-17 2009-04-07 Hong Yu Yu Thermal robust semiconductor device using HfN as metal gate electrode and the manufacturing process thereof
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
JP4938262B2 (en) * 2004-08-25 2012-05-23 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7316962B2 (en) * 2005-01-07 2008-01-08 Infineon Technologies Ag High dielectric constant materials
US20060151845A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan Method to control interfacial properties for capacitors using a metal flash layer
US20060151822A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan DRAM with high K dielectric storage capacitor and method of making the same
US20060151846A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Method of forming HfSiN metal for n-FET applications
US7220647B2 (en) * 2005-02-02 2007-05-22 United Microelectronics Corp. Method of cleaning wafer and method of manufacturing gate structure
JP4914573B2 (en) * 2005-02-25 2012-04-11 キヤノンアネルバ株式会社 Method of manufacturing field effect transistor having high dielectric gate insulating film and metal gate electrode
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7446380B2 (en) * 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
US20060289948A1 (en) * 2005-06-22 2006-12-28 International Business Machines Corporation Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
WO2007005312A1 (en) * 2005-06-29 2007-01-11 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes and methods for formation thereof
US20070001231A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Material systems for dielectrics and metal electrodes
US7432139B2 (en) * 2005-06-29 2008-10-07 Amberwave Systems Corp. Methods for forming dielectrics and metal electrodes
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7531404B2 (en) * 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US7538001B2 (en) * 2005-09-01 2009-05-26 Micron Technology, Inc. Transistor gate forming methods and integrated circuits
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7435640B2 (en) * 2005-11-08 2008-10-14 United Microelectronics Corp. Method of fabricating gate structure
KR100666917B1 (en) * 2005-12-02 2007-01-10 삼성전자주식회사 Method of manufacturing semiconductor device having wcn layer
KR100729354B1 (en) * 2005-12-07 2007-06-15 삼성전자주식회사 Methods of manufacturing semiconductor device in order to improve the electrical characteristics of a dielectric
KR100731070B1 (en) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 Method for fabricating gate electrode of semiconductor device
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR100729367B1 (en) * 2006-06-01 2007-06-15 삼성전자주식회사 Semiconductor device and methods of fabricating the same
KR100823712B1 (en) * 2006-07-21 2008-04-21 삼성전자주식회사 Method of manufacturing a semiconductor device
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (en) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド ALD of metal silicate film
US7713866B2 (en) * 2006-11-21 2010-05-11 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
EP1942528A1 (en) * 2007-01-04 2008-07-09 Interuniversitair Microelektronica Centrum Electronic device and process for manufacturing the same
US7611979B2 (en) 2007-02-12 2009-11-03 International Business Machines Corporation Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7662693B2 (en) 2007-09-26 2010-02-16 Micron Technology, Inc. Lanthanide dielectric with controlled interfaces
JP2011517082A (en) * 2008-04-02 2011-05-26 エヌエックスピー ビー ヴィ Semiconductor device manufacturing method and semiconductor device
US7816200B2 (en) * 2008-04-22 2010-10-19 Applied Materials, Inc. Hardware set for growth of high k and capping material films
TWI400746B (en) * 2008-04-22 2013-07-01 Century Display Shenxhen Co Chemical Vapor Deposition of Thin Film Transistor and Its Pre - Deposition Structure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US20100244206A1 (en) * 2009-03-31 2010-09-30 International Business Machines Corporation Method and structure for threshold voltage control and drive current improvement for high-k metal gate transistors
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100276764A1 (en) * 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
EP2461354A4 (en) * 2009-07-29 2015-11-11 Canon Anelva Corp Semiconductor device and manufacturing method therefor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8114739B2 (en) * 2009-09-28 2012-02-14 Freescale Semiconductor, Inc. Semiconductor device with oxygen-diffusion barrier layer and method for fabricating same
US8415677B2 (en) * 2010-01-20 2013-04-09 International Business Machines Corporation Field-effect transistor device having a metal gate stack with an oxygen barrier layer
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
US8617956B2 (en) 2010-08-19 2013-12-31 International Business Machines Corporation Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102820327A (en) * 2011-06-09 2012-12-12 中国科学院微电子研究所 Semiconductor structure and method for manufacturing same
TWI596340B (en) * 2011-06-17 2017-08-21 長庚大學 Surface treatment method by using the nh3 plasma treatment to modify the sensing thin-film
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8765603B2 (en) * 2011-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a buffer layer
US8962477B2 (en) * 2011-08-12 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. High temperature anneal for stress modulation
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) * 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140028992A (en) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 Semiconductor device with tungsten gate electrode and method for fabricating the same
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US8659077B1 (en) 2012-09-13 2014-02-25 International Business Machines Corporation Multi-layer work function metal replacement gate
US9536940B2 (en) 2012-09-19 2017-01-03 Micron Technology, Inc. Interfacial materials for use in semiconductor structures and related methods
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR102099881B1 (en) * 2013-09-03 2020-05-15 삼성전자 주식회사 Semiconductor device and method of fabricating the same
US20150061042A1 (en) * 2013-09-03 2015-03-05 United Microelectronics Corp. Metal gate structure and method of fabricating the same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
CN106206721B (en) * 2015-05-05 2021-09-07 中芯国际集成电路制造(上海)有限公司 NMOS transistor and manufacturing method thereof
US9449829B1 (en) 2015-05-06 2016-09-20 United Microelectronics Corp. Semiconductor process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN105047549B (en) * 2015-06-30 2018-08-24 上海华力微电子有限公司 The method for reducing the fluctuation of high-k/metal gate device threshold voltage using redundancy silicon technology
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20170110376A1 (en) 2015-10-14 2017-04-20 Globalfoundries Inc. Structures with thinned dielectric material
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9768171B2 (en) * 2015-12-16 2017-09-19 International Business Machines Corporation Method to form dual tin layers as pFET work metal stack
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN107305842B (en) * 2016-04-25 2021-08-17 联华电子股份有限公司 Method for manufacturing gate dielectric layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11629403B2 (en) * 2018-10-19 2023-04-18 Rosemount Aerospace Inc. Air data probe corrosion protection
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
CN116454132A (en) * 2022-01-06 2023-07-18 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5625217A (en) * 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US6066533A (en) * 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6265258B1 (en) * 1998-06-30 2001-07-24 Intel Corporation Method for making a complementary metal gate electrode technology
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US20010025999A1 (en) * 2000-03-27 2001-10-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20010041250A1 (en) * 2000-03-07 2001-11-15 Werkhoven Christian J. Graded thin films
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US20020014634A1 (en) * 2000-07-26 2002-02-07 Masato Koyama Semiconductor device and method of manufacturing the same
US20020037615A1 (en) * 2000-09-27 2002-03-28 Kouji Matsuo Semiconductor device and method of fabricating the same
US6368945B1 (en) * 2000-03-16 2002-04-09 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6383879B1 (en) * 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20020137317A1 (en) * 2001-03-20 2002-09-26 Kaushik Vidya S. High K dielectric film and method for making
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US20020190302A1 (en) * 2001-06-13 2002-12-19 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US6506676B2 (en) * 2000-12-29 2003-01-14 Hynix Semiconductor Inc Method of manufacturing semiconductor devices with titanium aluminum nitride work function
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6548886B1 (en) * 1998-05-01 2003-04-15 Wacker Nsce Corporation Silicon semiconductor wafer and method for producing the same
US6579767B2 (en) * 1999-12-27 2003-06-17 Hyundai Electronics Industries Co., Ltd. Method for forming aluminum oxide as a gate dielectric
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US20030165615A1 (en) * 2002-01-29 2003-09-04 Titta Aaltonen Process for producing metal thin films by ALD
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6700771B2 (en) * 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US6714435B1 (en) * 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US6723581B1 (en) * 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6730588B1 (en) * 2001-12-20 2004-05-04 Lsi Logic Corporation Method of forming SiGe gate electrode
US6815354B2 (en) * 2001-10-27 2004-11-09 Nutool, Inc. Method and structure for thru-mask contact electrodeposition

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0854505A3 (en) 1997-01-21 1998-11-11 Texas Instruments Incorporated Process of depositing a TiN based film during the fabrication of a semiconductor device
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
AU2001296529A1 (en) 2000-12-18 2002-07-01 James F. Gibbons Gate electrode with depletion suppression and tunable workfunction

Patent Citations (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5625217A (en) * 1992-12-11 1997-04-29 Intel Corporation MOS transistor having a composite gate electrode and method of fabrication
US5783478A (en) * 1992-12-11 1998-07-21 Intel Corporation Method of frabricating a MOS transistor having a composite gate electrode
US5610106A (en) * 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US6548886B1 (en) * 1998-05-01 2003-04-15 Wacker Nsce Corporation Silicon semiconductor wafer and method for producing the same
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6166417A (en) * 1998-06-30 2000-12-26 Intel Corporation Complementary metal gates and a process for implementation
US6265258B1 (en) * 1998-06-30 2001-07-24 Intel Corporation Method for making a complementary metal gate electrode technology
US20020096724A1 (en) * 1998-06-30 2002-07-25 Chunlin Liang Complementary metal gate electrode technology
US6066533A (en) * 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US20020008257A1 (en) * 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6373111B1 (en) * 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6383879B1 (en) * 1999-12-03 2002-05-07 Agere Systems Guardian Corp. Semiconductor device having a metal gate with a work function compatible with a semiconductor device
US6579767B2 (en) * 1999-12-27 2003-06-17 Hyundai Electronics Industries Co., Ltd. Method for forming aluminum oxide as a gate dielectric
US6627503B2 (en) * 2000-02-11 2003-09-30 Sharp Laboratories Of America, Inc. Method of forming a multilayer dielectric stack
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US20010041250A1 (en) * 2000-03-07 2001-11-15 Werkhoven Christian J. Graded thin films
US6368945B1 (en) * 2000-03-16 2002-04-09 The Trustees Of Columbia University In The City Of New York Method and system for providing a continuous motion sequential lateral solidification
US20010025999A1 (en) * 2000-03-27 2001-10-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6482740B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US20020014634A1 (en) * 2000-07-26 2002-02-07 Masato Koyama Semiconductor device and method of manufacturing the same
US20020037615A1 (en) * 2000-09-27 2002-03-28 Kouji Matsuo Semiconductor device and method of fabricating the same
US6506676B2 (en) * 2000-12-29 2003-01-14 Hynix Semiconductor Inc Method of manufacturing semiconductor devices with titanium aluminum nitride work function
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6713846B1 (en) * 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
US20020106536A1 (en) * 2001-02-02 2002-08-08 Jongho Lee Dielectric layer for semiconductor device and method of manufacturing the same
US20020137317A1 (en) * 2001-03-20 2002-09-26 Kaushik Vidya S. High K dielectric film and method for making
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
US6518106B2 (en) * 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
US20020190302A1 (en) * 2001-06-13 2002-12-19 International Business Machines Corporation Complementary metal oxide semiconductor (CMOS) gate stack with high dielectric constant gate dielectric and integrated diffusion barrier
US6709989B2 (en) * 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6511876B2 (en) * 2001-06-25 2003-01-28 International Business Machines Corporation High mobility FETS using A1203 as a gate oxide
US6700771B2 (en) * 2001-08-30 2004-03-02 Micron Technology, Inc. Decoupling capacitor for high frequency noise immunity
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6815354B2 (en) * 2001-10-27 2004-11-09 Nutool, Inc. Method and structure for thru-mask contact electrodeposition
US6730588B1 (en) * 2001-12-20 2004-05-04 Lsi Logic Corporation Method of forming SiGe gate electrode
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US20030165615A1 (en) * 2002-01-29 2003-09-04 Titta Aaltonen Process for producing metal thin films by ALD
US6730163B2 (en) * 2002-03-14 2004-05-04 Micron Technology, Inc. Aluminum-containing material and atomic layer deposition methods
US6717226B2 (en) * 2002-03-15 2004-04-06 Motorola, Inc. Transistor with layered high-K gate dielectric and method therefor
US6714435B1 (en) * 2002-09-19 2004-03-30 Cova Technologies, Inc. Ferroelectric transistor for storing two data bits
US6723581B1 (en) * 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof

Cited By (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060246698A1 (en) * 2002-04-18 2006-11-02 Taiwan Semiconductor Manufacturing Company. Ltd. Process to make high-K transistor dielectrics
US8012824B2 (en) * 2002-04-18 2011-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-K transistor dielectrics
US8785272B2 (en) 2002-04-18 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-K transistor dielectrics
US7253053B2 (en) 2003-03-12 2007-08-07 Micron Technology, Inc. Methods of forming transistor devices and capacitor constructions
US20040178432A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. Methods of forming transistor devices and capacitor constructions
US7126181B2 (en) * 2003-03-12 2006-10-24 Micron Technology, Inc. Capacitor constructions
US7081656B2 (en) 2003-03-12 2006-07-25 Micron Technology, Inc. CMOS constructions
US20050101078A1 (en) * 2003-03-12 2005-05-12 Eppich Denise M. Capacitor constructions
US20040178458A1 (en) * 2003-03-12 2004-09-16 Eppich Denise M. CMOS constructions and capacitor constructions
US7575991B2 (en) * 2004-06-30 2009-08-18 Intel Corporation Removing a high-k gate dielectric
US20060003499A1 (en) * 2004-06-30 2006-01-05 Doczy Mark L Removing a high-k gate dielectric
US8633110B2 (en) * 2005-07-20 2014-01-21 Micron Technology, Inc. Titanium nitride films
US20120056326A1 (en) * 2005-07-20 2012-03-08 Kraus Brenda D Titanium nitride films
US20070176247A1 (en) * 2006-01-30 2007-08-02 Chun-Li Liu MOS device with multi-layer gate stack
US7683443B2 (en) * 2006-01-30 2010-03-23 Freescale Semiconductor, Inc. MOS devices with multi-layer gate stack
US7510956B2 (en) * 2006-01-30 2009-03-31 Fressscale Semiconductor, Inc. MOS device with multi-layer gate stack
US20090115001A1 (en) * 2006-01-30 2009-05-07 Freescale Semiconductor, Inc. Mos devices with multi-layer gate stack
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US20110165328A1 (en) * 2006-03-31 2011-07-07 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US20070237698A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US20070237699A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US20070235822A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US8097300B2 (en) 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7759746B2 (en) 2006-03-31 2010-07-20 Tokyo Electron Limited Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US20070235821A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US20100237395A1 (en) * 2006-03-31 2010-09-23 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US7816737B2 (en) * 2006-03-31 2010-10-19 Tokyo Electron Limited Semiconductor device with gate dielectric containing mixed rare earth elements
US8012442B2 (en) 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US7767262B2 (en) 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US20080081113A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
US20100075507A1 (en) * 2008-09-22 2010-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating a Gate Dielectric for High-K Metal Gate Devices
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US20100102417A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100109098A1 (en) * 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface
US20110207314A1 (en) * 2008-11-21 2011-08-25 Texas Instruments Incorporated Methods to Enhance Effective Work Function of Mid-Gap Metal by Incorporating Oxygen and Hydrogen at a Low Thermal Budget
US20100127335A1 (en) * 2008-11-21 2010-05-27 Texas Instruments Incorporated Methods to Enhance Effective Work Function of Mid-Gap Metal by Incorporating Oxygen and Hydrogen at a Low Thermal Budget
US10439040B2 (en) 2008-11-21 2019-10-08 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
US7960802B2 (en) * 2008-11-21 2011-06-14 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
US9721796B2 (en) 2008-11-21 2017-08-01 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
US9202884B2 (en) 2008-11-21 2015-12-01 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
US9466574B2 (en) 2009-02-02 2016-10-11 Asm America, Inc. Plasma-enhanced atomic layer deposition of conductive material over dielectric layers
TWI508175B (en) * 2009-02-02 2015-11-11 Asm Inc Method of forming integrated circuit and method of forming gate electrode
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US20110076390A1 (en) * 2009-09-30 2011-03-31 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US9142609B2 (en) * 2010-01-14 2015-09-22 Renesas Electronics Corporation MIM capacitor device
US9379178B2 (en) 2010-01-14 2016-06-28 Renesas Electronics Corporation Manufacturing method of semiconductor device comprising a capacitor element
CN102148228A (en) * 2010-01-14 2011-08-10 瑞萨电子株式会社 Semiconductor device and manufacturing method of semiconductor device
US20110169132A1 (en) * 2010-01-14 2011-07-14 Renesas Electronics Corporation Semiconductor device and manufacturing method of semiconductor device
TWI478325B (en) * 2010-06-18 2015-03-21 United Microelectronics Corp Nonvolatile memory device and manufacturing method thereof
US8552490B2 (en) * 2010-06-18 2013-10-08 United Microelectronics Corp. Nonvolatile memory device with a high-K charge storage layer having a U-shaped,cross-sectional structure
US20110309434A1 (en) * 2010-06-18 2011-12-22 Chih-Jen Huang Nonvolatile memory device and manufacturing method thereof
US8940601B2 (en) 2011-07-07 2015-01-27 Renesas Electronics Corporation Manufacturing method of semiconductor device
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
TWI456666B (en) * 2011-09-24 2014-10-11 Taiwan Semiconductor Mfg Metal gate device with low temperature oxygen scavenging
US10177238B2 (en) * 2013-03-01 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US10861954B2 (en) * 2013-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US20160005832A1 (en) * 2013-03-01 2016-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. High-K Film Apparatus and Method
US20190157415A1 (en) * 2013-03-01 2019-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. High-K Film Apparatus and Method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20170092725A1 (en) * 2015-09-29 2017-03-30 International Business Machines Corporation Activated thin silicon layers
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
US6858524B2 (en) 2005-02-22
US20040104439A1 (en) 2004-06-03

Similar Documents

Publication Publication Date Title
US6858524B2 (en) Method of depositing barrier layer for metal gates
US7122414B2 (en) Method to fabricate dual metal CMOS devices
US9583348B2 (en) Silane and borane treatments for titanium carbide films
US7195999B2 (en) Metal-substituted transistor gates
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6809370B1 (en) High-k gate dielectric with uniform nitrogen profile and methods for making the same
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
US7989362B2 (en) Hafnium lanthanide oxynitride films
US7544604B2 (en) Tantalum lanthanide oxynitride films
US7432548B2 (en) Silicon lanthanide oxynitride films
US7214994B2 (en) Self aligned metal gates on high-k dielectrics
US7135361B2 (en) Method for fabricating transistor gate structures and gate dielectrics thereof
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US20080057659A1 (en) Hafnium aluminium oxynitride high-K dielectric and metal gates
US20040144980A1 (en) Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
JP2003008005A (en) Semiconductor device equipped with insulating film having high dielectric constant
US8288833B2 (en) Semiconductor device and manufacturing method thereof
JP5387173B2 (en) Semiconductor device and manufacturing method thereof
KR20060120952A (en) Method of forming tacn layer and manufacturing of semiconductor device using the same
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION