US20050161338A1 - Electroless cobalt alloy deposition process - Google Patents

Electroless cobalt alloy deposition process Download PDF

Info

Publication number
US20050161338A1
US20050161338A1 US10/970,839 US97083904A US2005161338A1 US 20050161338 A1 US20050161338 A1 US 20050161338A1 US 97083904 A US97083904 A US 97083904A US 2005161338 A1 US2005161338 A1 US 2005161338A1
Authority
US
United States
Prior art keywords
cobalt
solution
layer
concentration
palladium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/970,839
Inventor
Hongbin Fang
Ramin Emami
Timothy Weidman
Arulkumar Shanmugasundram
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/970,839 priority Critical patent/US20050161338A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EMAMI, RAMIN, FANG, HONGBIN, SHANMUGASUNDRUM, ARULKUMAR, WEIDMAN, TIMOTHY
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: EMAMI, RAMIN, FANG, HONGBIN, MEI, FANG, SHANMUGASUNDRAM, ARULKUMAR, WEIDMAN, TIMOTHY
Publication of US20050161338A1 publication Critical patent/US20050161338A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1844Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • C23C18/50Coating with alloys with alloys based on iron, cobalt or nickel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating

Definitions

  • Embodiments of the invention generally relate to methods for depositing capping layers on a feature formed as part of an electronic device, and more particularly to methods for activating a conductive surface on the feature and subsequently depositing the capping layer on the activated conductive surface.
  • Copper and its alloys have become the metals of choice for sub-micron interconnect technology because copper has a lower resistivity than aluminum, (1.67 ⁇ -cm compared to 3.1 ⁇ -cm for aluminum at room temperature), a higher current carrying capacity and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductor and is available in a highly pure state.
  • the first negative characteristic is the fact that copper diffuses rapidly through silicon, silicon dioxide and most dielectric materials on a substrate. Therefore, a barrier layer is needed to encapsulate the copper layer to prevent diffusion between the layers.
  • the second negative characteristic is that copper readily forms a copper oxide when exposed to oxygen. The oxidation of copper becomes especially important on surfaces that are interfaces at which connections are made to other areas of the device, such as the surfaces of vias or trenches that are exposed after CMP. The formation of copper oxides at the interface between metal layers can increase the resistance (e.g., copper interconnects) and reduce the reliability of the overall circuit in the formed device.
  • Cobalt-containing alloys such as cobalt tungsten phosphide (CoWP) are materials established to meet many or all requirements and may be deposited by electroless deposition techniques, though copper generally does not satisfactorily catalyze or initiate deposition of these materials from standard electroless solutions. While deposition of cobalt-containing alloys may be easily initiated electrochemically (e.g., by applying a sufficiently negative potential), a continuous conductive surface over the substrate surface is required and not available following Cu-CMP processes.
  • CoWP cobalt tungsten phosphide
  • An established approach to initiating electroless deposition on copper surfaces is to deposit a thin layer of a catalytic metal on the copper surfaces by displacement plating.
  • deposition of the catalytic material may require multiple steps or use of catalytic colloid compounds.
  • Catalytic colloid compounds may adhere to dielectric materials on the substrate surface and result in undesired, non-selective deposition of the capping alloy material.
  • Non-selective deposition of metal alloy capping material may lead to surface contamination and eventual device failure from short circuits and other device irregularities.
  • a catalytic activation layer may be deposited between the conductive layer and the capping layer and is generally composed of a single, noble metal, such as a palladium or platinum.
  • Palladium activation processes typically proceed by displacement plating, that is, the replacement or sacrifice of existing atoms (e.g., copper) on the upper surface of a material by a secondary element (e.g., palladium).
  • the most common palladium activation approach uses palladium chloride in an acidic solution, such as hydrochloric acid.
  • the use of palladium chloride solutions typically results in the formation of clusters of palladium atoms bridged by chlorine atoms. Palladium cluster formation leads to nucleation growth on materials that are not desired to be activated, such as dielectric materials.
  • the selectivity of the subsequent capping layer deposition is deteriorated due to palladium cluster contamination of the dielectric material and ultimate failure of the device.
  • Embodiments of the invention generally provide an activation treatment to a conductive surface, such as copper, followed by deposition of a capping layer, such as a cobalt-containing alloy.
  • the activation treatment forms a palladium activation layer on a desired conductive surface by selective, electroless deposition.
  • the palladium activation layer provides a catalytic surface to nucleate the cobalt-containing alloy deposition.
  • Embodiments of the invention further provide processes and compositions for depositing the cobalt-containing alloy, such as on the activated conductive layer.
  • the capping layers are generally deposited by a deposition process utilizing electroless deposition solutions containing additives, such as surfactants and/or oxygen scavengers.
  • Oxygen may be removed from the cobalt-containing solution to reduce copper corrosion and improve initiation delay for capping layer deposition.
  • the oxygen concentration of the cobalt-containing solution is about 4 ppm or less.
  • the electroless deposition process includes steps for exposing the substrate surface to cleaning solutions, water rinses, acidic solutions.
  • a method for depositing a cobalt-containing capping layer on a metal layer includes rinsing the metal layer with a deionized water wetting step, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, an oxygen scavenger and a surfactant.
  • a method for depositing a cobalt-containing capping layer on a metal layer includes exposing the metal layer to an acidic wash solution, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, exposing the palladium layer to a pH basic solution, and exposing the palladium layer to an electroless cobalt-containing solution to deposit the cobalt-containing capping layer on the palladium layer.
  • a method for depositing a cobalt-containing capping layer on a metal layer includes cleaning the metal layer with a pre-clean solution, rinsing the metal layer with a deionized water wetting step, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a surfactant and an oxygen concentration of about 4 ppm or less.
  • a method for depositing a cobalt-containing capping layer on a metal layer includes depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, ascorbic acid and an oxygen concentration of about 4 ppm or less.
  • a composition of an electroless plating solution which includes a cobalt source at a concentration in a range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and an oxygen scavenger at a concentration in a range from about 0.01 mM to about 10 mM.
  • a composition of an electroless plating solution which includes a cobalt source at a concentration range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and the electroless plating solution has an oxygen concentration of about 4 ppm or less.
  • a composition of an electroless plating solution which includes a cobalt source at a concentration in a range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
  • FIGS. 1A-1C show a step-wise formation of an interconnect structure
  • FIG. 2 is a flow chart illustrating a process to form an interconnect structure.
  • Electroless deposition is broadly defined herein as deposition of a conductive material by a replacement reaction wherein ions in a solution replace metal atoms in a surface while the metal atoms are ionized into the solution. Electroless deposition is also broadly defined herein as deposition of a conductive material by ions in a bath over a catalytically active surface to deposit the conductive material by chemical reduction in the absence of an external electric current.
  • Embodiments of the invention generally provide an activation treatment that avoids corrosion or oxidation of a conductive surface, such as copper, that may occur on a substrate surface after a CMP process.
  • the activation treatment forms a palladium activation layer on a desired conductive surface by selective, electroless deposition.
  • Embodiments of the invention further provide processes to deposit a capping layer, such as a cobalt-containing alloy layer, on the activated conductive layer.
  • the capping layers are generally deposited by an electroless deposition process utilizing electroless deposition solutions that may contain additives, such as surfactants and/or oxygen scavengers.
  • FIG. 1A shows a cross-sectional view of an interconnect 6 a containing a conductive material 12 disposed into dielectric material 8 , such as a low-k dielectric materials.
  • Conductive material 12 is a metal, such as copper or copper alloys.
  • the conductive material 12 is generally deposited by a deposition process, such as electroplating, electroless plating, chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and/or combinations thereof.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • conductive material 12 may have already been polished or leveled, such as by a CMP technique.
  • Dielectric material 8 may include features, such as plugs or interconnects, throughout the layer (not shown).
  • a barrier layer 10 separates dielectric material 8 from the conductive material 12 .
  • Barrier layer 10 separates dielectric material 8 from the conductive material 12 .
  • Barrier layer 10 includes materials such as tantalum, tantalum nitride, titanium silicon nitride, tantalum silicon nitride, titanium, titanium nitride, tungsten nitride, silicon nitride and combinations thereof.
  • barrier layer 10 includes a tantalum layer deposited to a tantalum nitride layer.
  • Barrier layer 10 is usually deposited with deposition processes, such as, PVD, ALD, CVD or combinations thereof.
  • Interconnect 6 a is disposed on a substrate surface.
  • Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si ⁇ 100> or Si ⁇ 111>), silicon on insulator substrate, silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, silicon nitride and patterned or non-patterned wafers.
  • Surfaces may include bare silicon wafers, films, layers and materials with dielectric, conductive or barrier properties.
  • Substrate surface is used herein to refer to any semiconductor feature present thereon, including the exposed surfaces of the features, such as the wall and/or bottom of vias, dual damascenes, contact holes and the like.
  • FIGS. 1A-1C depict cross-sectional views of interconnects 6 a - 6 c resulting from steps taken during process 100 .
  • a flow chart illustrates general steps taken during one embodiment of process 100 .
  • Process 100 includes step 102 to pre-clean the substrate surface, followed by step 104 to rinse the substrate with water and an acidic solution.
  • palladium activation layer 14 is deposited on conductive material 12 .
  • the substrate is exposed to an acidic solution rinse followed by a water rinse during step 108 .
  • the substrate is exposed to a pH basic solution rinse.
  • capping layer 16 such as a cobalt-containing alloy, is deposited on palladium activation layer 14 .
  • Process 100 further includes step 114 with a pH basic solution rinse and a water rinse.
  • the substrate Prior to exposing the substrate to a pre-clean process, the substrate is initially wetted by, for example, exposing the substrate to degassed, deionized water.
  • the wetting step insures the substrate surface, such as a hydrophobic surface, is covered with a thin layer of water.
  • the substrate is rinsed for about 1 second to about 30 seconds, preferably for about 5 seconds to about 20 seconds, for example, about 10 seconds.
  • the substrate is exposed to a pre-clean process which includes exposing the substrate to a complexing agent solution to remove oxides, residues and/or contaminates remaining from a previous fabrication process (e.g., CMP).
  • CMP complexing agent solution
  • Contaminants include oxides, copper oxides, copper-organic complexes, silicon oxides, organic residues, resist, polymeric residues and combinations thereof.
  • the pre-clean process exposes the surface to the complexing solution for about 5 seconds to about 120 seconds, preferably for about 10 seconds to about 30 seconds, and more preferably, for about 20 seconds.
  • the complexing solution treats the exposed surface and removes contaminates from conductive material 12 , any exposed barrier layer 10 and dielectric material 8 .
  • the complexing agent solution is an aqueous solution containing a complexing agent, at least one acid, a pH adjusting agent and optional additives, such as a surfactant.
  • the complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof.
  • the acids may include sulfuric acid, hydrochloric acid, hydrofluoric acid, phosphoric acid, methanesulfonic acid, derivatives thereof and combinations thereof.
  • the pH adjusting agent may include tetramethylammonium hydroxide (TMAH), ammonia and other hydroxide or amine based compounds.
  • Polyethylene glycol may be included as an additive to improve the wettability of the substrate surface by the complexing agent solution.
  • the complexing agent solution contains degassed, deionized water, citric acid at a concentration in a range from about 0.05 M to about 1.0 M, EDTA at a concentration less than 1 vol %, sulfuric acid at a concentration in a range from about 0.05 N to about 1.0 N or hydrochloric acid at a concentration in a range from about 1 ppb to about 0.5 vol %, optional HF (49% aqueous solution) at a concentration in a range from about 10 ppm to about 2 vol %, and TMAH or ammonia in a concentration to adjust the pH to a rarige from about 1.5 to about 10.
  • the substrate surface is exposed to a rinse process during step 104 .
  • the rinse process includes exposing the substrate to degassed, deionized water and to an acidic solution rinse.
  • Step 104 includes washing any remaining complexing solution and/or contaminants from the surface with degassed, deionized water.
  • the substrate is rinsed with water for about 1 second to about 120 seconds, preferably for about 5 seconds to about 30 seconds.
  • the substrate surface is exposed to an acidic solution rinse.
  • the acidic solution rinse has a pH value from about 1 to about 5, preferably from about 2 to about 3, for example, about 2.5.
  • the acidic solution rinse has a similar pH as the activation solution that is employed during step 106 .
  • the acidic solution rinse contains degassed, deionized water and at least one acid, preferably, the acid may include methanesulfonic acid (CH 3 SO 3 H), nitric acid (HNO 3 ), phosphoric acid (H 3 PO 4 ), hydrochloric acid (HCl), sulfuric acid (H 2 SO 4 ), derivatives thereof and combinations thereof.
  • the substrate is exposed to the acidic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • the substrate is exposed to degassed, deionized water after the acidic solution rinse, prior to step 106 .
  • the substrate is exposed to an activation solution to form a palladium activation layer 14 on conductive material 12 , as depicted in FIG. 1B .
  • the exposure time of the activation solution to the substrate will range from about 1 second to about 120 seconds, preferably from about 20 seconds to about 60 seconds, and more preferably, about 40 seconds.
  • the palladium activation layer 14 may be a continuous layer or a discontinuous layer, such as satellites, across the surface of conductive material 12 . In either variety, a continuous layer or a discontinuous layer, palladium activation layer 14 promotes nucleation during the deposition of capping layer 16 .
  • the palladium activation layer 14 may have a thickness from about a single atomic layer to about 50 ⁇ , preferably from about 3 ⁇ to about 20 ⁇ .
  • the palladium activation layer 14 is selectively deposited on conductive material 12 and not on the dielectric material 8 .
  • Dielectric material 8 may be contaminated with trace amounts of palladium clusters. However, this palladium contamination of the dielectric material 8 may be minimized by adjusting the concentration and pH of the activation solution.
  • the palladium activation layer 14 contains palladium or palladium alloys and is an active surface on which a subsequent capping layer is deposited.
  • the palladium activation layer 14 is deposited by a selective, displacement plating process using an activation solution.
  • An activation solution used for displacement deposition is an aqueous solution that includes a palladium source, such as palladium nitrate (Pd(NO 3 ) 2 ) and at least one acid, such as sulfuric acid, hydrochloric acid, nitric acid and/or an organosulfonic acid, such as methanesulfonic acid.
  • a pH adjusting additive may be added to adjust the pH value of the activation solution.
  • a pH adjusting additive to increase the pH value includes tetramethylammonium hydroxide (TMAH, (CH 3 ) 4 NOH), ammonium hydroxide (NH 4 OH), other hydroxides, ammonium or amine derivatives, and combinations thereof.
  • TMAH tetramethylammonium hydroxide
  • NH 4 OH ammonium hydroxide
  • a pH adjusting additive to decrease the pH value includes additional acid, such as nitric acid or an organosulfonic acid.
  • the activation solution may have a palladium source concentration from about 0.001 mM to about 2.0 mM, preferably from about 0.01 mM to about 1.0 mM. In one example, the palladium source has a concentration of about 0.04 mM in the activation solution. In another example, the palladium source has a concentration of about 0.87 mM in the activation solution.
  • the activation solution may have an acid concentration from about 0.01 mM to about 3.0 mM, preferably from about 0.1 mM to about 2.0 mM.
  • the activation solution has an acidic pH value, preferably less than about 5, and more preferably about 4 or less. In one embodiment, an activation solution with a pH value from about 2.0 to about 4.0 provides a high degree of selectivity for depositing palladium onto conductive layers while not depositing palladium dielectric materials.
  • a palladium activation solution concentrate may be formed by adding a 10 wt % solution of Pd(NO 3 ) 2 in water to 10 wt % nitric acid.
  • the concentrated solution may be diluted from about 500 to about 10,000 times with degassed, deionized water to form the palladium activation solution.
  • the pH value may be adjusted to a range of about 2.0 to about 4.0 with the addition of nitric acid or TMAH.
  • a solid palladium source is first dissolved in degassed, deionized water to form a palladium source solution.
  • Palladium sources for use in the activation solution include palladium chloride (PdCl 2 ), palladium sulfate (PdSO 4 ), palladium nitrate Pd(NO 3 ) 2 , water soluble Pd 2+ sources, complexes thereof, hydrates thereof, derivatives thereof and combinations thereof.
  • palladium nitrate may include complexes, such as Pd(H 2 O) 2 (NO 3 ) 2 , Pd(NH 3 ) 4 (NO 3 ) 2 , Pd(THF) x (NO 3 ) 2 or Pd(Et 2 O) x (NO 3 ) 2 .
  • activation solutions containing palladium nitrate and nitric acid are very effective at passivating exposed barrier layer 10 , such as tantalum and/or tantalum nitride.
  • Nitric acid unlike sulfuric acid or hydrochloric acid, is a strong oxidizer.
  • the nitric acid forms passivation layer 15 on the portion of exposed barrier layer 10 .
  • the passivation layer may comprise tantalum oxide or tantalum oxynitride when barrier layer 10 is tantalum or tantalum nitride.
  • other passivation layers may be formed by nitric acid exposure, such as titanium oxynitride, tantalum silicon oxynitride and titanium silicon oxynitride.
  • Sulfuric acid or hydrochloric acid may form sulfides or chlorides and contaminate multiple layers of the electronic device. Therefore, in one embodiment, sulfur-free and/or chlorine-free activation solutions are preferred. In another embodiment, an organosulfonic acid is used with palladium sources in an activation solution. Organosulfonic acids, such as alkylsulfonic acids and arylsulfonic acids, may provide some surfactant characteristics.
  • alkylsulfonic acids include smaller alkyl groups, such as methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid as well as higher alkyl groups, such as nonanesulfonic acid, decanesulfonic acid, dodecanesulfonic acid and octadecanesulfonic acid.
  • Arylsulfonic acids include benzenesulfonic acid, toluenesulfonic acid and naphthalenesulfonic acid.
  • Organosulfonic acids work as a pH buffer while forming in situ nitric acid with palladium nitrate.
  • an organosulfonic acid such as methanesulfonic acid
  • nitric acid may oxidize the copper layer and cause copper erosion.
  • Activation solutions containing an organosulfonic acid and palladium nitrate have been found to reduce the copper erosion compared to an activation solution with the same pH prepared using nitric acid and palladium nitrate.
  • the palladium activation layer 14 is exposed to a post-clean solution, such as an acidic solution rinse.
  • the acidic solution rinse may have a pH value from about 1 to about 5, preferably from about 2 to about 3, for example, about 2.5.
  • the acidic solution rinse has a similar pH value as the activation solution that is employed in step 106 .
  • the acidic solution rinse contains degassed, deionized water and at least one acid, preferably, the acid may include methanesulfonic acid, nitric acid, phosphoric acid, hydrochloric acid, sulfuric acid, salts thereof, derivatives thereof and combinations thereof.
  • the substrate is exposed to the acidic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • the acidic solution rinse may further contain at least one complexing agent to further clean the substrate surface and remove remaining contaminants left on the surface from prior process steps.
  • Complexing agents are useful to reduce contaminates by chelating metal ions, such as copper or palladium.
  • the complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof.
  • the substrate surface is exposed to a water rinse.
  • the rinse step includes washing any remaining acidic solution, complexed metals and/or contaminants from the surface with deionized water.
  • the substrate is rinsed with water about 1 second to about 30 seconds, preferably for about 5 seconds to about 10 seconds.
  • the palladium activation layer 14 is exposed to a pH basic solution rinse.
  • the pH basic solution rinse solution may have a pH value from about 7.5 to about 12, preferably from about 8 to about 10, and more preferably, from about 8.5 to about 9.5.
  • the pH basic rinse solution has a similar pH as the cobalt-containing solution that is employed in step 112 .
  • the pH basic rinse solution contains degassed, deionized water and at least one base, preferably, the base may include TMAH, ammonium hydroxide, tetrahydrofuran, pyridine, other ammonium or amine derivatives, complexes thereof, derivatives thereof and combinations thereof.
  • the substrate is exposed to the pH basic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • the substrate is exposed to degassed, deionized water after the pH basic solution rinse and before step 112 .
  • a capping alloy layer 16 is deposited on the palladium activation layer 14 by an electroless deposition process, as depicted in FIG. 1C .
  • the capping alloy layer 16 is deposited during step 112 by exposing activation layer 14 to a cobalt-containing solution.
  • the capping layer 16 may include a variety of alloys containing cobalt, tungsten, molybdenum, boron, phosphorus and combinations thereof. Examples of cobalt-containing capping layers include CoW, CoWB, CoP, CoWP, CoWBP, CoMo, CoMoB, CoMoP, and CoMoPB, wherein each elemental ratio varies.
  • CoW alloys have a composition in weight percent, such as a cobalt concentration in a range from about 85% to about 95%, preferably from about 88% to about 90%, a tungsten concentration in a range from about 1% to about 6%, preferably from about 2% to about 4%, a boron concentration in a range from about 0% to about 6%, preferably from about 3% to about 4% and a phosphorus concentration in a range from about 0% to about 12%, preferably from about 6% to about 8%.
  • a CoWP alloy with a cobalt concentration from about 88% to about 90% is deposited on a palladium activation layer.
  • the CoWP layer is deposited with a thickness of about 70 ⁇ on a palladium layer with a thickness of about 10 ⁇ .
  • a cobalt-containing layer has a varying degree of amorphousity dependant to the phosphorus and/or boron concentration.
  • barrier properties e.g., stop diffusion of copper or oxygen
  • Boron is incorporated into a CoW alloy to add bond strength and density to the alloy.
  • Phosphorus is incorporated into a CoW alloy to delay crystallization of the alloy. Therefore, each element, boron and phosphorus, has distinct attributes while simultaneously manipulating the barrier properties of a CoW alloy layer.
  • a cobalt-containing solution is exposed to the activation layer 14 to deposit a capping layer 16 .
  • the substrate is exposed to a cobalt-containing solution for a period in the range of about 5 seconds to about 90 seconds, preferably, about 20 seconds to about 45 seconds.
  • a capping layer 16 is deposited to a thickness of about 1,000 ⁇ or less, preferably about 500 ⁇ or less and more preferably about 200 ⁇ or less.
  • a capping layer 16 may have a thickness from about 5 ⁇ to about 200 ⁇ , preferably about 60 ⁇ .
  • a cobalt-containing solution is usually maintained at a temperature in the range from about 50° C. to about 95° C. and has a pH value in the range from about 7 to about 11, preferably, from about 8 to about 10, and more preferably about 9.
  • a cobalt-containing solution is an aqueous solution for electroless deposition that may include a cobalt source, a tungsten or molybdenum source, complexing agent, a buffering compound, an optional phosphorus source, an optional boron source, a surfactant, an optional oxygen scavenger, a pH adjusting agent and water, preferably deionized and degassed.
  • Cobalt sources usually have a cobalt concentration within the cobalt-containing solution at a range from about 50 mM to about 250 mM.
  • Cobalt sources may include cobalt chlorides (e.g., CoCl 2 .H 2 O), cobalt sulfates (e.g., CoSO 4 .7H 2 O), other water soluble Co 2+ sources, hydrates thereof, complexes thereof and combinations thereof.
  • CoCl 2 .6H 2 O is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 100 g/L, preferably from about 15 g/L to about 35 g/L.
  • CoSO 4 .7H 2 O is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 100 g/L, preferably from about 15 g/L to about 35 g/L.
  • Tungsten sources usually have a tungsten concentration in the range from about 10 mM to about 100 mM within the cobalt-containing solution.
  • Tungsten sources may include CaWO 4 , (NH 4 ) 2 WO 4 , H 2 WO 4 , other water soluble WO 4 2 ⁇ sources, complexes there of and combinations thereof.
  • (NH 4 ) 2 WO 4 is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 50 g/L, preferably from about 5 g/L to about 15 g/L.
  • the reductant usually has a concentration of about 1 mM to about 100 mM within the cobalt-containing solution.
  • the at least one reductant may include phosphorus-based and/or boron-based reductants and also provide a phosphorus source or a boron source within the capping layer.
  • Phosphorus-based reductants include hypophosphorous acid (H 3 PO 2 ), salts thereof (e.g., Na, K, NH 4 or N(CH 3 ) 4 ) and combinations thereof.
  • Boron-based reductants include boric acid (H 3 BO 3 ), dimethylamine borane complex ((CH 3 ) 2 NH.BH 3 ), DMAB), trimethylamine borane complex ((CH 3 ) 3 N.BH 3 ), TMAB), tert-butylamine borane complex ( t BuNH 2 .BH 3 ), tetrahydrofuran borane complex (THF.BH 3 ), pyridine borane complex (C 5 H 5 N.BH 3 ), ammonia borane complex (NH 3 .BH 3 ), borane (BH 3 ), diborane (B 2 H 6 ), derivatives thereof, complexes thereof and combinations thereof.
  • boric acid H 3 BO 3
  • dimethylamine borane complex ((CH 3 ) 2 NH.BH 3 ), DMAB), trimethylamine borane complex ((CH 3 ) 3 N.BH 3 ), TMAB), tert-butylamine borane complex ( t BuNH 2
  • a complexing agent is also present in the cobalt-containing solution and may have a concentration range from about 10 mM to about 200 mM, preferably from about 30 mM to about 80 mM.
  • complexing agents or chelators form complexes with cobalt sources (e.g., Co 2+ ).
  • Complexing agents may also provide buffering characteristics in the cobalt-containing solution.
  • Complexing agents generally may have functional groups, such as amino acids, carboxylic acids, dicarboxylic acids, polycarboxylic acids, and amines, diamines and polyamines.
  • Complexing agents may include citric acid, glycine, amino acids, ethylene diamine (EDA), ethylene diamine tetraacetic acid (EDTA), derivatives thereof, salts thereof and combinations thereof.
  • a surfactant is optionally added to the cobalt-containing solution in order to improve wettability of the palladium activation layer 14 .
  • the improved wettability of the palladium activation layer 14 improves film morphology and coverage of the capping layer 16 during deposition.
  • the surfactant may have ionic or non-ionic characteristics.
  • Glycol ether based surfactants e.g., polyethylene glycol
  • surfactant containing polyoxyethylene units such as TRITON® 100, available from Dow Chemical Company.
  • Other useful surfactants may contain phosphate units, for example, sodium poly(oxyethylene)phenyl ether phosphate, such as RHODAFAC® RE610, available from Rhodia, Inc.
  • the surfactants may be homogeneous or a heterogeneous blend containing molecules of varying length hydrocarbon chains, for example, methyl, ethyl, propyl, and/or butyl.
  • Surfactants usually have a concentration within the cobalt-containing solution of about 1.0 g/L or less, such as in a range from about 1 mg/L to about 100 mg/L, for example, about 25 mg/L.
  • An oxygen scavenger may also be included in the cobalt-containing solution to reduce or remove dissolved oxygen gas (O 2 ) from the solution.
  • the lowered oxygen concentration in the cobalt-containing solution reduces copper corrosion and improves initiation delay for CoW alloy deposition.
  • Oxygen may be removed from the cobalt-containing solution so that the oxygen concentration is less than 10 ppm, preferably, about 4 ppm or less.
  • Oxygen scavengers include ascorbic acid, N,N-diethylhydroxylamine, erythorbic acid, methyl ethyl ketoxime, carbohydrazide, derivatives thereof and combinations thereof.
  • the concentration of the oxygen scavenger within the cobalt-containing solution may be as low as about 10 ppm, but usually from about 0.01 mM to about 10 mM, preferably, from about 0.1 mM to about 5 mM.
  • ascorbic acid is used as an oxygen scavenger in the cobalt-containing solution with the concentration from about 30 mg/L to about 300 mg/L, preferably, about 100 mg/L.
  • the cobalt-containing solutions or deionized water may also be degassed to minimize dissolved oxygen.
  • Degassing processes include treating the solution or water with membrane contactor systems, sonication, heating, bubbling inert gas (e.g., N 2 or Ar) through the plating solution and/or combinations thereof.
  • Membrane contactor systems include microporous, hollow fibers that are hydrophobic and are generally made from polypropylene. The fibers are selective to gas diffusion while not permitting liquids to pass.
  • Oxygen is removed from the cobalt-containing plating solution and water so that the oxygen concentration is less than 10 ppm, preferably about 4 ppm or less.
  • the degassing processes may be used independently or in combination with the employment of oxygen scavengers. Also, degassed, deionized water is may be used while forming the cobalt-containing solution to insure a low oxygen concentration.
  • a cobalt-containing solution includes a cobalt source, a tungsten source and an oxygen scavenger.
  • a cobalt-containing solution includes a cobalt source, a tungsten source, a phosphorus source and an oxygen scavenger.
  • a cobalt-containing solution includes a cobalt source, a tungsten source, a boron source and an oxygen scavenger.
  • a cobalt-containing solution includes a cobalt source, a tungsten source, a phosphorus source, a boron source and an oxygen scavenger.
  • Each of the aforementioned embodiments may contain a surfactant within the cobalt-containing solution.
  • a cobalt-containing solution used for the electroless deposition of a capping-layer contains a cobalt source in a concentration range of about 50 mM to about 250 mM, a tungsten source in a concentration range of about 10 mM to about 100 mM, a complexing agent in a concentration range of about 10 mM to about 200 mM, at least one reductant in a concentration range of about 1 mM to about 100 mM, a surfactant in a concentration range of about 1 mg/L to about 100 mg/L, and an oxygen scavenger in a concentration range of about 0.01 mM to about 10 mM.
  • the oxygen scavenger is ascorbic acid with the concentration of about 30 mg/L to about 300 mg/L.
  • a suitable apparatus includes the SLIMCELLTM processing platform that is available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the SLIMCELLTM platform includes an integrated processing chamber capable of depositing a conductive material by an electroless process, such as an EDP cell, which is available from Applied Materials, Inc., located in Santa Clara, Calif.
  • the SLIMCELLTM platform generally includes one or more EDP cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells, etch chambers, or annealing chambers.
  • SRD spin-rinse-dry
  • EDP platforms and EDP cells may be found in the commonly assigned U.S. Provisional Patent Application Ser. No. 60/511,236, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 15, 2003, U.S. patent application Ser. No. unknown, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 15, 2004, U.S. Provisional Patent Application Ser. No. 60/539,491, entitled, “Apparatus for Electroless Deposition of Metals on Semiconductor Wafers,” filed on Jan. 26, 2004, U.S. Provisional Patent Application Ser. No. 60/575,553, entitled, “Face Up Electroless Plating Cell,” filed on May 28, 2004, and U.S. Provisional Patent Application Ser. No. 60/575,558, entitled, “Face Down Electroless Plating Cell,” filed on May 28, 2004, which are each incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • the substrate is maintained at a predetermined temperature by being in thermal contact with a heating device, such as an electric heater or heated fluid passed on the backside of the substrate.
  • a heating device such as an electric heater or heated fluid passed on the backside of the substrate.
  • the substrate is usually maintained at a temperature less than 100° C., such in a range from about 35° C. to about 95° C., for example, about 85° C.
  • the process solutions such as the cobalt-containing solution and/or the palladium activation solution, may be kept at room temperature (e.g., about 20° C.) or heated to a temperature not too great to cause the solutions to decompose, such as in a range from about 70° C. to about 85° C., for example, about 75° C.
  • the process chamber is usually purged with an inert gas in order to reduce the oxygen concentration from the process solutions, including the cobalt-containing solution, the palladium activation solution and the rinses.
  • the humidity concentration within the process chamber is increased to reduce the evaporation of water from the process solutions on top of the substrate during a deposition process. Further disclosure regarding controlling humidity may be found in assigned, U.S. Provisional Patent Application Ser. No. 60/575,553, entitled, “Face-up Electroless Plating Cell,” filed on May 28, 2004, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and description herein.
  • the dispense nozzle or other chemical delivery means may be swept across the substrate surface in order evenly distribute the solution. That is, during the administration of the pre-clean solution, rinse solutions (water, acidic or basic), palladium activation solution and/or cobalt-containing solution in steps 102 - 114 , the nozzle is swept from one side, through the middle and to the opposite side of the substrate.
  • palladium activation solutions may be formed by combining solutions, such as palladium precursors (e.g., palladium nitrate solutions), acids (e.g., nitric acid and/or organosulfonic acid), pH adjusting additives (e.g., TMAH or ammonium hydroxide) and/or water in various ratios.
  • the mixing process used to form the solutions having the various ratios includes tank mixing, in-line mixing and/or combinations thereof.
  • solutions with a low concentration of palladium nitrate (mM) and a pH in a range from about 2.0 to about 4 may cause palladium compounds to precipitate from the activation solution over the course of time (e.g., days).
  • a solution of palladium nitrate and nitric acid is in-line mixed with degassed, deionized water to form the activation solution.
  • a concentrated palladium nitrate solution is in-line mixed with dilute nitric acid to form the activation solution.
  • a palladium nitrate solution, nitric acid and degassed, deionized water are all in-line mixed to form the activation solution.
  • Each of these aforementioned examples may include an organosulfonic acid along with or instead of the nitric acid.
  • the substrate may be exposed to the palladium activation solution immediately after the in-line mixing.
  • the palladium activation solution is mixed in small quantities (e.g., about 1 L to about 2 L) and immediately used activate substrate surfaces.
  • aliquots or smaller volumetric quantities, has many advantageous over traditional electroless baths, including dilute solutions (i.e., concentrations in the mM instead of M), longer stability of an activation solution concentrate, more consistently deposited layers per substrate and less hazardous waste.
  • concentrations of the individual components in the activation solution are dilute in comparison to more traditional solutions.
  • Traditional bath solutions for electroless deposition processes rely on higher concentrations of each component so that individual substrates within substrate batch have a relatively consistent exposure to each activation component within a bath.
  • Some embodiments of this invention provide processes to expose the substrates to small volumetric aliquots of the palladium activation solution. Therefore, each substrate within a substrate batch is exposed to an activation solution with a consistent concentration.
  • the capping layer 16 is exposed to a pH basic solution rinse.
  • the pH basic solution rinse solution may have a pH value from about 7.5 to about 12, preferably from about 8 to about 10, and more preferably from about 8.5 to about 9.5.
  • the pH basic rinse solution has a similar pH value as the cobalt-containing solution that is employed in step 112 .
  • the pH basic rinse solution contains degassed, deionized water and at least one base, preferably, the base may include TMAH, ammonium hydroxide, tetrahydrofuran, pyridine, other ammonium or amine derivatives, complexes thereof, derivatives thereof and combinations thereof.
  • the substrate is exposed to the pH basic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • the pH basic rinse solution may further contain a complexing agent.
  • the basic rinse solution containing a complexing agent further cleans the substrate surface and removes remaining contaminants from any of the early processes.
  • Complexing agents are useful to chelate with metal ions, such as copper, palladium, cobalt or tungsten.
  • the complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof.
  • the substrate surface is rinsed with water.
  • the rinse step includes washing any remaining basic solution, complexed metals and/or contaminants from the surface with degassed, deionized water.
  • the substrate will be rinsed with water for about 5 seconds to about 120 seconds, preferably about 30 seconds.
  • a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the substrate was exposed to an acidic wash containing HNO 3 with a pH of 2.8.
  • the acidified substrate was exposed for 60 seconds to 200 mL of a palladium activation solution (pH of 2.8) containing 0.04 mM Pd(NO 3 ) 2 and 1.0 mM HNO 3 .
  • the substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds.
  • the basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer.
  • the substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water.
  • the CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the substrate was exposed to an acidic wash containing HNO 3 with a pH of 2.5.
  • the acidified substrate was exposed for 40 seconds to 200 mL of a palladium activation solution (pH of 2.5) containing 0.87 mM Pd(NO 3 ) 2 and 2.0 mM HNO 3 .
  • the substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds.
  • the basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer.
  • the substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water.
  • the CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the substrate was exposed to an acidic wash containing HNO 3 with a pH of 2.9.
  • the acidified substrate was exposed for 60 seconds to 200 mL of a palladium activation solution (pH of 2.9) containing 0.04 mM Pd(NO 3 ) 2 and 1.0 mM methanesulfonic acid.
  • the substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds.
  • the basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer.
  • the substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water.
  • the CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the substrate was exposed to an acidic wash containing HNO 3 with a pH of 2.6.
  • the acidified substrate was exposed for 40 seconds to 200 mL of a palladium activation solution (pH of 2.6) containing 0.87 mM Pd(NO 3 ) 2 and 2.0 mM and methanesulfonic acid.
  • the substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds.
  • the rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds.
  • the basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON®100) and 100 mg/L of ascorbic acid to form a capping layer.
  • the substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water.
  • the CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.

Abstract

In one embodiment, a method for depositing a cobalt-containing capping layer on a metal layer is provided which includes rinsing the metal layer with a deionized water wetting step, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, an oxygen scavenger and a surfactant. Ascorbic acid may be used as the oxygen scavenger. In another embodiment, a composition of an electroless plating solution is provided which includes a cobalt source at a concentration in a range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/539,544, filed Jan. 26, 2004, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to methods for depositing capping layers on a feature formed as part of an electronic device, and more particularly to methods for activating a conductive surface on the feature and subsequently depositing the capping layer on the activated conductive surface.
  • 2. Description of the Related Art
  • Recent improvements in circuitry of ultra-large scale integration (ULSI) on substrates indicate that future generations of semiconductor devices will require multi-level metallization with smaller geometric dimensions. The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio features, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and dies as features continually decrease in size.
  • Copper and its alloys have become the metals of choice for sub-micron interconnect technology because copper has a lower resistivity than aluminum, (1.67 μΩ-cm compared to 3.1 μΩ-cm for aluminum at room temperature), a higher current carrying capacity and significantly higher electromigration resistance. These characteristics are important for supporting the higher current densities experienced at high levels of integration and increased device speed. Further, copper has a good thermal conductor and is available in a highly pure state.
  • However, copper has a couple of negative characteristics which must be dealt with to assure that the devices mode suing copper, meet the desired device performance characteristic and achieves a repeatable result. The first negative characteristic is the fact that copper diffuses rapidly through silicon, silicon dioxide and most dielectric materials on a substrate. Therefore, a barrier layer is needed to encapsulate the copper layer to prevent diffusion between the layers. The second negative characteristic is that copper readily forms a copper oxide when exposed to oxygen. The oxidation of copper becomes especially important on surfaces that are interfaces at which connections are made to other areas of the device, such as the surfaces of vias or trenches that are exposed after CMP. The formation of copper oxides at the interface between metal layers can increase the resistance (e.g., copper interconnects) and reduce the reliability of the overall circuit in the formed device.
  • One solution is to selectively deposit a metal alloy on copper surfaces which provides an efficient barrier to copper diffusion, electromigration and oxidation. This appears most readily accomplished using an electroless plating process selective for copper relative to dielectric material. Cobalt-containing alloys, such as cobalt tungsten phosphide (CoWP), are materials established to meet many or all requirements and may be deposited by electroless deposition techniques, though copper generally does not satisfactorily catalyze or initiate deposition of these materials from standard electroless solutions. While deposition of cobalt-containing alloys may be easily initiated electrochemically (e.g., by applying a sufficiently negative potential), a continuous conductive surface over the substrate surface is required and not available following Cu-CMP processes.
  • An established approach to initiating electroless deposition on copper surfaces is to deposit a thin layer of a catalytic metal on the copper surfaces by displacement plating. However, deposition of the catalytic material may require multiple steps or use of catalytic colloid compounds. Catalytic colloid compounds may adhere to dielectric materials on the substrate surface and result in undesired, non-selective deposition of the capping alloy material. Non-selective deposition of metal alloy capping material may lead to surface contamination and eventual device failure from short circuits and other device irregularities.
  • A catalytic activation layer may be deposited between the conductive layer and the capping layer and is generally composed of a single, noble metal, such as a palladium or platinum. Palladium activation processes typically proceed by displacement plating, that is, the replacement or sacrifice of existing atoms (e.g., copper) on the upper surface of a material by a secondary element (e.g., palladium). The most common palladium activation approach uses palladium chloride in an acidic solution, such as hydrochloric acid. However, the use of palladium chloride solutions typically results in the formation of clusters of palladium atoms bridged by chlorine atoms. Palladium cluster formation leads to nucleation growth on materials that are not desired to be activated, such as dielectric materials. The selectivity of the subsequent capping layer deposition is deteriorated due to palladium cluster contamination of the dielectric material and ultimate failure of the device.
  • Therefore, there is a need for a method to activate a conductive surface on a semiconductor feature and to deposit a capping layer, such as a cobalt-containing alloy, on the conductive layer. Also, there is a need for a composition of an electroless solution to deposit a cobalt-containing alloy.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention generally provide an activation treatment to a conductive surface, such as copper, followed by deposition of a capping layer, such as a cobalt-containing alloy. The activation treatment forms a palladium activation layer on a desired conductive surface by selective, electroless deposition. The palladium activation layer provides a catalytic surface to nucleate the cobalt-containing alloy deposition. Embodiments of the invention further provide processes and compositions for depositing the cobalt-containing alloy, such as on the activated conductive layer. The capping layers are generally deposited by a deposition process utilizing electroless deposition solutions containing additives, such as surfactants and/or oxygen scavengers. Oxygen may be removed from the cobalt-containing solution to reduce copper corrosion and improve initiation delay for capping layer deposition. In one example, the oxygen concentration of the cobalt-containing solution is about 4 ppm or less. Besides activation solutions and cobalt-containing alloy solutions, the electroless deposition process includes steps for exposing the substrate surface to cleaning solutions, water rinses, acidic solutions.
  • In one embodiment, a method for depositing a cobalt-containing capping layer on a metal layer is provided which includes rinsing the metal layer with a deionized water wetting step, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, an oxygen scavenger and a surfactant.
  • In another embodiment, a method for depositing a cobalt-containing capping layer on a metal layer is provided which includes exposing the metal layer to an acidic wash solution, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, exposing the palladium layer to a pH basic solution, and exposing the palladium layer to an electroless cobalt-containing solution to deposit the cobalt-containing capping layer on the palladium layer.
  • In another embodiment, a method for depositing a cobalt-containing capping layer on a metal layer is provided which includes cleaning the metal layer with a pre-clean solution, rinsing the metal layer with a deionized water wetting step, depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a surfactant and an oxygen concentration of about 4 ppm or less.
  • In another embodiment, a method for depositing a cobalt-containing capping layer on a metal layer is provided which includes depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid, and depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, ascorbic acid and an oxygen concentration of about 4 ppm or less.
  • In one embodiment, a composition of an electroless plating solution is provided which includes a cobalt source at a concentration in a range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and an oxygen scavenger at a concentration in a range from about 0.01 mM to about 10 mM.
  • In another embodiment, a composition of an electroless plating solution is provided which includes a cobalt source at a concentration range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and the electroless plating solution has an oxygen concentration of about 4 ppm or less.
  • In another embodiment, a composition of an electroless plating solution is provided which includes a cobalt source at a concentration in a range from about 50 mM to about 250 mM, a tungsten source at a concentration in a range from about 10 mM to about 100 mM, a complexing agent at a concentration in a range from about 10 mM to about 200 mM, at least one reductant at a concentration in a range from about 1 mM to about 100 mM, a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L, and ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A-1C show a step-wise formation of an interconnect structure; and
  • FIG. 2 is a flow chart illustrating a process to form an interconnect structure.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The words and phrases used herein should be given their ordinary and customary meaning in the art as understood by one skilled in the art unless otherwise further defined. Electroless deposition is broadly defined herein as deposition of a conductive material by a replacement reaction wherein ions in a solution replace metal atoms in a surface while the metal atoms are ionized into the solution. Electroless deposition is also broadly defined herein as deposition of a conductive material by ions in a bath over a catalytically active surface to deposit the conductive material by chemical reduction in the absence of an external electric current.
  • Embodiments of the invention generally provide an activation treatment that avoids corrosion or oxidation of a conductive surface, such as copper, that may occur on a substrate surface after a CMP process. The activation treatment forms a palladium activation layer on a desired conductive surface by selective, electroless deposition. Embodiments of the invention further provide processes to deposit a capping layer, such as a cobalt-containing alloy layer, on the activated conductive layer. The capping layers are generally deposited by an electroless deposition process utilizing electroless deposition solutions that may contain additives, such as surfactants and/or oxygen scavengers.
  • FIG. 1A shows a cross-sectional view of an interconnect 6 a containing a conductive material 12 disposed into dielectric material 8, such as a low-k dielectric materials. Conductive material 12 is a metal, such as copper or copper alloys. The conductive material 12 is generally deposited by a deposition process, such as electroplating, electroless plating, chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and/or combinations thereof. As depicted in FIG. 1A, conductive material 12 may have already been polished or leveled, such as by a CMP technique. Dielectric material 8 may include features, such as plugs or interconnects, throughout the layer (not shown). A barrier layer 10 separates dielectric material 8 from the conductive material 12. Barrier layer 10 separates dielectric material 8 from the conductive material 12. Barrier layer 10 includes materials such as tantalum, tantalum nitride, titanium silicon nitride, tantalum silicon nitride, titanium, titanium nitride, tungsten nitride, silicon nitride and combinations thereof. In one embodiment, barrier layer 10 includes a tantalum layer deposited to a tantalum nitride layer. Barrier layer 10 is usually deposited with deposition processes, such as, PVD, ALD, CVD or combinations thereof.
  • Interconnect 6 a, as well as other semiconductor features, are disposed on a substrate surface. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon on insulator substrate, silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers, silicon nitride and patterned or non-patterned wafers. Surfaces may include bare silicon wafers, films, layers and materials with dielectric, conductive or barrier properties. Substrate surface is used herein to refer to any semiconductor feature present thereon, including the exposed surfaces of the features, such as the wall and/or bottom of vias, dual damascenes, contact holes and the like.
  • FIGS. 1A-1C depict cross-sectional views of interconnects 6 a-6 c resulting from steps taken during process 100. As shown in FIG. 2, a flow chart illustrates general steps taken during one embodiment of process 100. Process 100 includes step 102 to pre-clean the substrate surface, followed by step 104 to rinse the substrate with water and an acidic solution. During step 106, palladium activation layer 14 is deposited on conductive material 12. The substrate is exposed to an acidic solution rinse followed by a water rinse during step 108. During step 110, the substrate is exposed to a pH basic solution rinse. During step 112, capping layer 16, such as a cobalt-containing alloy, is deposited on palladium activation layer 14. Process 100 further includes step 114 with a pH basic solution rinse and a water rinse.
  • Prior to exposing the substrate to a pre-clean process, the substrate is initially wetted by, for example, exposing the substrate to degassed, deionized water. The wetting step insures the substrate surface, such as a hydrophobic surface, is covered with a thin layer of water. Generally, the substrate is rinsed for about 1 second to about 30 seconds, preferably for about 5 seconds to about 20 seconds, for example, about 10 seconds. During step 102, the substrate is exposed to a pre-clean process which includes exposing the substrate to a complexing agent solution to remove oxides, residues and/or contaminates remaining from a previous fabrication process (e.g., CMP). Contaminants include oxides, copper oxides, copper-organic complexes, silicon oxides, organic residues, resist, polymeric residues and combinations thereof. The pre-clean process exposes the surface to the complexing solution for about 5 seconds to about 120 seconds, preferably for about 10 seconds to about 30 seconds, and more preferably, for about 20 seconds. The complexing solution treats the exposed surface and removes contaminates from conductive material 12, any exposed barrier layer 10 and dielectric material 8.
  • The complexing agent solution is an aqueous solution containing a complexing agent, at least one acid, a pH adjusting agent and optional additives, such as a surfactant. The complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof. The acids may include sulfuric acid, hydrochloric acid, hydrofluoric acid, phosphoric acid, methanesulfonic acid, derivatives thereof and combinations thereof. The pH adjusting agent may include tetramethylammonium hydroxide (TMAH), ammonia and other hydroxide or amine based compounds. Polyethylene glycol may be included as an additive to improve the wettability of the substrate surface by the complexing agent solution. The pre-clean process and the composition of the complexing solution are disclosed with more detail in commonly assigned U.S. Provisional Patent Application No. 60/536,958, entitled, “Wafer Cleaning Solution for Cobalt Electroless Application,” filed on Jan. 16, 2004, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and description herein.
  • In one embodiment, the complexing agent solution contains degassed, deionized water, citric acid at a concentration in a range from about 0.05 M to about 1.0 M, EDTA at a concentration less than 1 vol %, sulfuric acid at a concentration in a range from about 0.05 N to about 1.0 N or hydrochloric acid at a concentration in a range from about 1 ppb to about 0.5 vol %, optional HF (49% aqueous solution) at a concentration in a range from about 10 ppm to about 2 vol %, and TMAH or ammonia in a concentration to adjust the pH to a rarige from about 1.5 to about 10.
  • Following exposure of the substrate to the complexing agent solution, the substrate surface is exposed to a rinse process during step 104. The rinse process includes exposing the substrate to degassed, deionized water and to an acidic solution rinse. Step 104 includes washing any remaining complexing solution and/or contaminants from the surface with degassed, deionized water. The substrate is rinsed with water for about 1 second to about 120 seconds, preferably for about 5 seconds to about 30 seconds.
  • Subsequent to the water rinse process, the substrate surface is exposed to an acidic solution rinse. The acidic solution rinse has a pH value from about 1 to about 5, preferably from about 2 to about 3, for example, about 2.5. In one embodiment, the acidic solution rinse has a similar pH as the activation solution that is employed during step 106. The acidic solution rinse contains degassed, deionized water and at least one acid, preferably, the acid may include methanesulfonic acid (CH3SO3H), nitric acid (HNO3), phosphoric acid (H3PO4), hydrochloric acid (HCl), sulfuric acid (H2SO4), derivatives thereof and combinations thereof. The substrate is exposed to the acidic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds. Optionally, the substrate is exposed to degassed, deionized water after the acidic solution rinse, prior to step 106.
  • During step 106, the substrate is exposed to an activation solution to form a palladium activation layer 14 on conductive material 12, as depicted in FIG. 1B. The exposure time of the activation solution to the substrate will range from about 1 second to about 120 seconds, preferably from about 20 seconds to about 60 seconds, and more preferably, about 40 seconds. The palladium activation layer 14 may be a continuous layer or a discontinuous layer, such as satellites, across the surface of conductive material 12. In either variety, a continuous layer or a discontinuous layer, palladium activation layer 14 promotes nucleation during the deposition of capping layer 16. The palladium activation layer 14 may have a thickness from about a single atomic layer to about 50 Å, preferably from about 3 Å to about 20 Å. The palladium activation layer 14 is selectively deposited on conductive material 12 and not on the dielectric material 8. Dielectric material 8 may be contaminated with trace amounts of palladium clusters. However, this palladium contamination of the dielectric material 8 may be minimized by adjusting the concentration and pH of the activation solution.
  • The palladium activation layer 14 contains palladium or palladium alloys and is an active surface on which a subsequent capping layer is deposited. The palladium activation layer 14 is deposited by a selective, displacement plating process using an activation solution. An activation solution used for displacement deposition is an aqueous solution that includes a palladium source, such as palladium nitrate (Pd(NO3)2) and at least one acid, such as sulfuric acid, hydrochloric acid, nitric acid and/or an organosulfonic acid, such as methanesulfonic acid. A pH adjusting additive may be added to adjust the pH value of the activation solution. A pH adjusting additive to increase the pH value includes tetramethylammonium hydroxide (TMAH, (CH3)4NOH), ammonium hydroxide (NH4OH), other hydroxides, ammonium or amine derivatives, and combinations thereof. A pH adjusting additive to decrease the pH value includes additional acid, such as nitric acid or an organosulfonic acid.
  • The activation solution may have a palladium source concentration from about 0.001 mM to about 2.0 mM, preferably from about 0.01 mM to about 1.0 mM. In one example, the palladium source has a concentration of about 0.04 mM in the activation solution. In another example, the palladium source has a concentration of about 0.87 mM in the activation solution. The activation solution may have an acid concentration from about 0.01 mM to about 3.0 mM, preferably from about 0.1 mM to about 2.0 mM. The activation solution has an acidic pH value, preferably less than about 5, and more preferably about 4 or less. In one embodiment, an activation solution with a pH value from about 2.0 to about 4.0 provides a high degree of selectivity for depositing palladium onto conductive layers while not depositing palladium dielectric materials.
  • In one embodiment, a palladium activation solution concentrate may be formed by adding a 10 wt % solution of Pd(NO3)2 in water to 10 wt % nitric acid. The concentrated solution may be diluted from about 500 to about 10,000 times with degassed, deionized water to form the palladium activation solution. The pH value may be adjusted to a range of about 2.0 to about 4.0 with the addition of nitric acid or TMAH. In another embodiment, a solid palladium source is first dissolved in degassed, deionized water to form a palladium source solution. Palladium sources for use in the activation solution include palladium chloride (PdCl2), palladium sulfate (PdSO4), palladium nitrate Pd(NO3)2, water soluble Pd2+ sources, complexes thereof, hydrates thereof, derivatives thereof and combinations thereof. For example, palladium nitrate may include complexes, such as Pd(H2O)2(NO3)2, Pd(NH3)4(NO3)2, Pd(THF)x(NO3)2 or Pd(Et2O)x(NO3)2.
  • in one embodiment, activation solutions containing palladium nitrate and nitric acid are very effective at passivating exposed barrier layer 10, such as tantalum and/or tantalum nitride. Nitric acid, unlike sulfuric acid or hydrochloric acid, is a strong oxidizer. The nitric acid forms passivation layer 15 on the portion of exposed barrier layer 10. The passivation layer may comprise tantalum oxide or tantalum oxynitride when barrier layer 10 is tantalum or tantalum nitride. Depending on the composition of barrier layer 10, other passivation layers may be formed by nitric acid exposure, such as titanium oxynitride, tantalum silicon oxynitride and titanium silicon oxynitride.
  • Sulfuric acid or hydrochloric acid may form sulfides or chlorides and contaminate multiple layers of the electronic device. Therefore, in one embodiment, sulfur-free and/or chlorine-free activation solutions are preferred. In another embodiment, an organosulfonic acid is used with palladium sources in an activation solution. Organosulfonic acids, such as alkylsulfonic acids and arylsulfonic acids, may provide some surfactant characteristics. For example, alkylsulfonic acids include smaller alkyl groups, such as methanesulfonic acid, ethanesulfonic acid, propanesulfonic acid, butanesulfonic acid as well as higher alkyl groups, such as nonanesulfonic acid, decanesulfonic acid, dodecanesulfonic acid and octadecanesulfonic acid. Arylsulfonic acids include benzenesulfonic acid, toluenesulfonic acid and naphthalenesulfonic acid. Organosulfonic acids work as a pH buffer while forming in situ nitric acid with palladium nitrate. For example, an organosulfonic acid, such as methanesulfonic acid, may be added to the palladium activation solution instead of nitric acid or in combination with nitric acid. In some formulations, nitric acid may oxidize the copper layer and cause copper erosion. Activation solutions containing an organosulfonic acid and palladium nitrate have been found to reduce the copper erosion compared to an activation solution with the same pH prepared using nitric acid and palladium nitrate.
  • During step 108, the palladium activation layer 14 is exposed to a post-clean solution, such as an acidic solution rinse. The acidic solution rinse may have a pH value from about 1 to about 5, preferably from about 2 to about 3, for example, about 2.5. In one embodiment, the acidic solution rinse has a similar pH value as the activation solution that is employed in step 106. The acidic solution rinse contains degassed, deionized water and at least one acid, preferably, the acid may include methanesulfonic acid, nitric acid, phosphoric acid, hydrochloric acid, sulfuric acid, salts thereof, derivatives thereof and combinations thereof. The substrate is exposed to the acidic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • The acidic solution rinse may further contain at least one complexing agent to further clean the substrate surface and remove remaining contaminants left on the surface from prior process steps. Complexing agents are useful to reduce contaminates by chelating metal ions, such as copper or palladium. The complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof.
  • Following exposure of the substrate to the acidic solution rinse, the substrate surface is exposed to a water rinse. The rinse step includes washing any remaining acidic solution, complexed metals and/or contaminants from the surface with deionized water. The substrate is rinsed with water about 1 second to about 30 seconds, preferably for about 5 seconds to about 10 seconds.
  • During step 110, the palladium activation layer 14 is exposed to a pH basic solution rinse. The pH basic solution rinse solution may have a pH value from about 7.5 to about 12, preferably from about 8 to about 10, and more preferably, from about 8.5 to about 9.5. In one embodiment, the pH basic rinse solution has a similar pH as the cobalt-containing solution that is employed in step 112. The pH basic rinse solution contains degassed, deionized water and at least one base, preferably, the base may include TMAH, ammonium hydroxide, tetrahydrofuran, pyridine, other ammonium or amine derivatives, complexes thereof, derivatives thereof and combinations thereof. The substrate is exposed to the pH basic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds. Optionally, the substrate is exposed to degassed, deionized water after the pH basic solution rinse and before step 112.
  • A capping alloy layer 16 is deposited on the palladium activation layer 14 by an electroless deposition process, as depicted in FIG. 1C. The capping alloy layer 16 is deposited during step 112 by exposing activation layer 14 to a cobalt-containing solution. The capping layer 16 may include a variety of alloys containing cobalt, tungsten, molybdenum, boron, phosphorus and combinations thereof. Examples of cobalt-containing capping layers include CoW, CoWB, CoP, CoWP, CoWBP, CoMo, CoMoB, CoMoP, and CoMoPB, wherein each elemental ratio varies. Generally, CoW alloys have a composition in weight percent, such as a cobalt concentration in a range from about 85% to about 95%, preferably from about 88% to about 90%, a tungsten concentration in a range from about 1% to about 6%, preferably from about 2% to about 4%, a boron concentration in a range from about 0% to about 6%, preferably from about 3% to about 4% and a phosphorus concentration in a range from about 0% to about 12%, preferably from about 6% to about 8%. In one example, a CoWP alloy with a cobalt concentration from about 88% to about 90% is deposited on a palladium activation layer. In one embodiment, the CoWP layer is deposited with a thickness of about 70 Å on a palladium layer with a thickness of about 10 Å.
  • A cobalt-containing layer has a varying degree of amorphousity dependant to the phosphorus and/or boron concentration. Generally, barrier properties (e.g., stop diffusion of copper or oxygen) increase as the layer becomes more amorphous. Boron is incorporated into a CoW alloy to add bond strength and density to the alloy. Phosphorus is incorporated into a CoW alloy to delay crystallization of the alloy. Therefore, each element, boron and phosphorus, has distinct attributes while simultaneously manipulating the barrier properties of a CoW alloy layer.
  • In step 112, a cobalt-containing solution is exposed to the activation layer 14 to deposit a capping layer 16. Generally, the substrate is exposed to a cobalt-containing solution for a period in the range of about 5 seconds to about 90 seconds, preferably, about 20 seconds to about 45 seconds. A capping layer 16 is deposited to a thickness of about 1,000 Å or less, preferably about 500 Å or less and more preferably about 200 Å or less. For example, a capping layer 16 may have a thickness from about 5 Å to about 200 Å, preferably about 60 Å. A cobalt-containing solution is usually maintained at a temperature in the range from about 50° C. to about 95° C. and has a pH value in the range from about 7 to about 11, preferably, from about 8 to about 10, and more preferably about 9.
  • A cobalt-containing solution is an aqueous solution for electroless deposition that may include a cobalt source, a tungsten or molybdenum source, complexing agent, a buffering compound, an optional phosphorus source, an optional boron source, a surfactant, an optional oxygen scavenger, a pH adjusting agent and water, preferably deionized and degassed.
  • Cobalt sources usually have a cobalt concentration within the cobalt-containing solution at a range from about 50 mM to about 250 mM. Cobalt sources may include cobalt chlorides (e.g., CoCl2.H2O), cobalt sulfates (e.g., CoSO4.7H2O), other water soluble Co2+ sources, hydrates thereof, complexes thereof and combinations thereof. In one embodiment, CoCl2.6H2O is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 100 g/L, preferably from about 15 g/L to about 35 g/L. In another embodiment, CoSO4.7H2O is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 100 g/L, preferably from about 15 g/L to about 35 g/L.
  • Tungsten sources usually have a tungsten concentration in the range from about 10 mM to about 100 mM within the cobalt-containing solution. Tungsten sources may include CaWO4, (NH4)2WO4, H2WO4, other water soluble WO4 2− sources, complexes there of and combinations thereof. In one embodiment, (NH4)2WO4 is added to the cobalt-containing solution at a concentration in the range from about 1 g/L to about 50 g/L, preferably from about 5 g/L to about 15 g/L.
  • There is at least one reductant in the cobalt-containing solution. The reductant usually has a concentration of about 1 mM to about 100 mM within the cobalt-containing solution. The at least one reductant may include phosphorus-based and/or boron-based reductants and also provide a phosphorus source or a boron source within the capping layer. Phosphorus-based reductants include hypophosphorous acid (H3PO2), salts thereof (e.g., Na, K, NH4 or N(CH3)4) and combinations thereof. Boron-based reductants include boric acid (H3BO3), dimethylamine borane complex ((CH3)2NH.BH3), DMAB), trimethylamine borane complex ((CH3)3N.BH3), TMAB), tert-butylamine borane complex (tBuNH2.BH3), tetrahydrofuran borane complex (THF.BH3), pyridine borane complex (C5H5N.BH3), ammonia borane complex (NH3.BH3), borane (BH3), diborane (B2H6), derivatives thereof, complexes thereof and combinations thereof.
  • A complexing agent is also present in the cobalt-containing solution and may have a concentration range from about 10 mM to about 200 mM, preferably from about 30 mM to about 80 mM. In the cobalt-containing solution, complexing agents or chelators form complexes with cobalt sources (e.g., Co2+). Complexing agents may also provide buffering characteristics in the cobalt-containing solution. Complexing agents generally may have functional groups, such as amino acids, carboxylic acids, dicarboxylic acids, polycarboxylic acids, and amines, diamines and polyamines. Complexing agents may include citric acid, glycine, amino acids, ethylene diamine (EDA), ethylene diamine tetraacetic acid (EDTA), derivatives thereof, salts thereof and combinations thereof.
  • A surfactant is optionally added to the cobalt-containing solution in order to improve wettability of the palladium activation layer 14. The improved wettability of the palladium activation layer 14 improves film morphology and coverage of the capping layer 16 during deposition. The surfactant may have ionic or non-ionic characteristics. Glycol ether based surfactants (e.g., polyethylene glycol) may be used in the cobalt-containing solution, for example, surfactant containing polyoxyethylene units, such as TRITON® 100, available from Dow Chemical Company. Other useful surfactants may contain phosphate units, for example, sodium poly(oxyethylene)phenyl ether phosphate, such as RHODAFAC® RE610, available from Rhodia, Inc. The surfactants may be homogeneous or a heterogeneous blend containing molecules of varying length hydrocarbon chains, for example, methyl, ethyl, propyl, and/or butyl. Surfactants usually have a concentration within the cobalt-containing solution of about 1.0 g/L or less, such as in a range from about 1 mg/L to about 100 mg/L, for example, about 25 mg/L.
  • An oxygen scavenger may also be included in the cobalt-containing solution to reduce or remove dissolved oxygen gas (O2) from the solution. The lowered oxygen concentration in the cobalt-containing solution reduces copper corrosion and improves initiation delay for CoW alloy deposition. Oxygen may be removed from the cobalt-containing solution so that the oxygen concentration is less than 10 ppm, preferably, about 4 ppm or less. Oxygen scavengers include ascorbic acid, N,N-diethylhydroxylamine, erythorbic acid, methyl ethyl ketoxime, carbohydrazide, derivatives thereof and combinations thereof. The concentration of the oxygen scavenger within the cobalt-containing solution may be as low as about 10 ppm, but usually from about 0.01 mM to about 10 mM, preferably, from about 0.1 mM to about 5 mM. In a preferred embodiment, ascorbic acid is used as an oxygen scavenger in the cobalt-containing solution with the concentration from about 30 mg/L to about 300 mg/L, preferably, about 100 mg/L.
  • The cobalt-containing solutions or deionized water may also be degassed to minimize dissolved oxygen. Degassing processes include treating the solution or water with membrane contactor systems, sonication, heating, bubbling inert gas (e.g., N2 or Ar) through the plating solution and/or combinations thereof. Membrane contactor systems include microporous, hollow fibers that are hydrophobic and are generally made from polypropylene. The fibers are selective to gas diffusion while not permitting liquids to pass. Oxygen is removed from the cobalt-containing plating solution and water so that the oxygen concentration is less than 10 ppm, preferably about 4 ppm or less. The degassing processes may be used independently or in combination with the employment of oxygen scavengers. Also, degassed, deionized water is may be used while forming the cobalt-containing solution to insure a low oxygen concentration.
  • In one embodiment, a cobalt-containing solution includes a cobalt source, a tungsten source and an oxygen scavenger. In another embodiment, a cobalt-containing solution includes a cobalt source, a tungsten source, a phosphorus source and an oxygen scavenger. In another embodiment, a cobalt-containing solution includes a cobalt source, a tungsten source, a boron source and an oxygen scavenger. In another embodiment, a cobalt-containing solution includes a cobalt source, a tungsten source, a phosphorus source, a boron source and an oxygen scavenger. Each of the aforementioned embodiments may contain a surfactant within the cobalt-containing solution.
  • In one embodiment, a cobalt-containing solution used for the electroless deposition of a capping-layer contains a cobalt source in a concentration range of about 50 mM to about 250 mM, a tungsten source in a concentration range of about 10 mM to about 100 mM, a complexing agent in a concentration range of about 10 mM to about 200 mM, at least one reductant in a concentration range of about 1 mM to about 100 mM, a surfactant in a concentration range of about 1 mg/L to about 100 mg/L, and an oxygen scavenger in a concentration range of about 0.01 mM to about 10 mM. Preferably, the oxygen scavenger is ascorbic acid with the concentration of about 30 mg/L to about 300 mg/L.
  • The processes described herein may be performed in an apparatus suitable for performing an electroless deposition process (EDP). A suitable apparatus includes the SLIMCELL™ processing platform that is available from Applied Materials, Inc., located in Santa Clara, Calif. The SLIMCELL™ platform, for example, includes an integrated processing chamber capable of depositing a conductive material by an electroless process, such as an EDP cell, which is available from Applied Materials, Inc., located in Santa Clara, Calif. The SLIMCELL™ platform generally includes one or more EDP cells as well as one or more pre-deposition or post-deposition cell, such as spin-rinse-dry (SRD) cells, etch chambers, or annealing chambers. A further description of EDP platforms and EDP cells may be found in the commonly assigned U.S. Provisional Patent Application Ser. No. 60/511,236, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 15, 2003, U.S. patent application Ser. No. unknown, entitled, “Apparatus for Electroless Deposition,” filed on Oct. 15, 2004, U.S. Provisional Patent Application Ser. No. 60/539,491, entitled, “Apparatus for Electroless Deposition of Metals on Semiconductor Wafers,” filed on Jan. 26, 2004, U.S. Provisional Patent Application Ser. No. 60/575,553, entitled, “Face Up Electroless Plating Cell,” filed on May 28, 2004, and U.S. Provisional Patent Application Ser. No. 60/575,558, entitled, “Face Down Electroless Plating Cell,” filed on May 28, 2004, which are each incorporated by reference to the extent not inconsistent with the claimed aspects and description herein.
  • In one embodiment, the substrate is maintained at a predetermined temperature by being in thermal contact with a heating device, such as an electric heater or heated fluid passed on the backside of the substrate. The substrate is usually maintained at a temperature less than 100° C., such in a range from about 35° C. to about 95° C., for example, about 85° C. The process solutions, such as the cobalt-containing solution and/or the palladium activation solution, may be kept at room temperature (e.g., about 20° C.) or heated to a temperature not too great to cause the solutions to decompose, such as in a range from about 70° C. to about 85° C., for example, about 75° C.
  • The process chamber is usually purged with an inert gas in order to reduce the oxygen concentration from the process solutions, including the cobalt-containing solution, the palladium activation solution and the rinses. In one embodiment, after purging the process chamber, the humidity concentration within the process chamber is increased to reduce the evaporation of water from the process solutions on top of the substrate during a deposition process. Further disclosure regarding controlling humidity may be found in assigned, U.S. Provisional Patent Application Ser. No. 60/575,553, entitled, “Face-up Electroless Plating Cell,” filed on May 28, 2004, which is incorporated by reference herein to the extent not inconsistent with the claimed aspects and description herein. Also, throughout process 100, the dispense nozzle or other chemical delivery means may be swept across the substrate surface in order evenly distribute the solution. That is, during the administration of the pre-clean solution, rinse solutions (water, acidic or basic), palladium activation solution and/or cobalt-containing solution in steps 102-114, the nozzle is swept from one side, through the middle and to the opposite side of the substrate.
  • In embodiments of the invention, palladium activation solutions may be formed by combining solutions, such as palladium precursors (e.g., palladium nitrate solutions), acids (e.g., nitric acid and/or organosulfonic acid), pH adjusting additives (e.g., TMAH or ammonium hydroxide) and/or water in various ratios. The mixing process used to form the solutions having the various ratios includes tank mixing, in-line mixing and/or combinations thereof. In some embodiments, solutions with a low concentration of palladium nitrate (mM) and a pH in a range from about 2.0 to about 4 may cause palladium compounds to precipitate from the activation solution over the course of time (e.g., days). Therefore, in-line mixing of the activation solution, especially in-line diluting of the activation solution, ensures consistent composition concentration. In one example, a solution of palladium nitrate and nitric acid is in-line mixed with degassed, deionized water to form the activation solution. In another example, a concentrated palladium nitrate solution is in-line mixed with dilute nitric acid to form the activation solution. In another example, a palladium nitrate solution, nitric acid and degassed, deionized water are all in-line mixed to form the activation solution. Each of these aforementioned examples may include an organosulfonic acid along with or instead of the nitric acid. The substrate may be exposed to the palladium activation solution immediately after the in-line mixing. Preferably, the palladium activation solution is mixed in small quantities (e.g., about 1 L to about 2 L) and immediately used activate substrate surfaces.
  • The use of aliquots, or smaller volumetric quantities, has many advantageous over traditional electroless baths, including dilute solutions (i.e., concentrations in the mM instead of M), longer stability of an activation solution concentrate, more consistently deposited layers per substrate and less hazardous waste. The concentrations of the individual components in the activation solution are dilute in comparison to more traditional solutions. Traditional bath solutions for electroless deposition processes rely on higher concentrations of each component so that individual substrates within substrate batch have a relatively consistent exposure to each activation component within a bath. Some embodiments of this invention provide processes to expose the substrates to small volumetric aliquots of the palladium activation solution. Therefore, each substrate within a substrate batch is exposed to an activation solution with a consistent concentration.
  • During step 114, the capping layer 16 is exposed to a pH basic solution rinse. The pH basic solution rinse solution may have a pH value from about 7.5 to about 12, preferably from about 8 to about 10, and more preferably from about 8.5 to about 9.5. In one embodiment, the pH basic rinse solution has a similar pH value as the cobalt-containing solution that is employed in step 112. The pH basic rinse solution contains degassed, deionized water and at least one base, preferably, the base may include TMAH, ammonium hydroxide, tetrahydrofuran, pyridine, other ammonium or amine derivatives, complexes thereof, derivatives thereof and combinations thereof. The substrate is exposed to the pH basic solution rinse for about 1 second to about 60 seconds, preferably for about 10 seconds to about 20 seconds.
  • The pH basic rinse solution may further contain a complexing agent. The basic rinse solution containing a complexing agent further cleans the substrate surface and removes remaining contaminants from any of the early processes. Complexing agents are useful to chelate with metal ions, such as copper, palladium, cobalt or tungsten. The complexing agent may include compounds such as citric acid, EDTA, EDA, other carboxylic acids and amines, salts thereof, derivatives thereof and combinations thereof.
  • Following exposure of the substrate to the pH basic solution rinse, the substrate surface is rinsed with water. The rinse step includes washing any remaining basic solution, complexed metals and/or contaminants from the surface with degassed, deionized water. The substrate will be rinsed with water for about 5 seconds to about 120 seconds, preferably about 30 seconds.
  • HYPOTHETICAL EXAMPLES Example 1
  • After a CMP process, a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds. The substrate was exposed to an acidic wash containing HNO3 with a pH of 2.8. The acidified substrate was exposed for 60 seconds to 200 mL of a palladium activation solution (pH of 2.8) containing 0.04 mM Pd(NO3)2 and 1.0 mM HNO3. The substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds. The rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds. The basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer. The substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water. The CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • Example 2
  • After a CMP process, a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds. The substrate was exposed to an acidic wash containing HNO3 with a pH of 2.5. The acidified substrate was exposed for 40 seconds to 200 mL of a palladium activation solution (pH of 2.5) containing 0.87 mM Pd(NO3)2 and 2.0 mM HNO3. The substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds. The rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds. The basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer. The substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water. The CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • Example 3
  • After a CMP process, a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds. The substrate was exposed to an acidic wash containing HNO3 with a pH of 2.9. The acidified substrate was exposed for 60 seconds to 200 mL of a palladium activation solution (pH of 2.9) containing 0.04 mM Pd(NO3)2 and 1.0 mM methanesulfonic acid. The substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds. The rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds. The basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON® 100) and 100 mg/L of ascorbic acid to form a capping layer. The substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water. The CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • Example 4
  • After a CMP process, a 300 mm substrate containing copper filled features supported by TaN/Ta barrier layers was rinsed with degassed, deionized water, exposed to a complexing solution for 30 seconds and subsequently rinsed with degassed, deionized water for 30 seconds. The substrate was exposed to an acidic wash containing HNO3 with a pH of 2.6. The acidified substrate was exposed for 40 seconds to 200 mL of a palladium activation solution (pH of 2.6) containing 0.87 mM Pd(NO3)2 and 2.0 mM and methanesulfonic acid. The substrate was rinsed with the acid wash and subsequently rinsed with degassed, deionized water for 30 seconds. The rinsed substrate was exposed to a pH basic wash solution containing TMAH for 20 seconds. The basified palladium layer was exposed to an electroless cobalt-containing solution containing 25 mg/L of surfactant (TRITON®100) and 100 mg/L of ascorbic acid to form a capping layer. The substrate was rinsed with the pH basic wash solution and subsequent degassed, deionized water. The CoWP capping layer was deposited on the palladium activated copper features, but no detectable CoWP was detected on the low-k material.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (58)

1. A method for depositing a cobalt-containing capping layer on a metal layer, comprising:
rinsing the metal layer with a deionized water wetting step;
depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid; and
depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, an oxygen scavenger and a surfactant.
2. The method of claim 1, wherein the metal layer is copper or a copper alloy.
3. The method of claim 2, wherein the metal layer is exposed to an acidic wash solution prior to being exposed to the electroless activation solution.
4. The method of claim 3, wherein the palladium layer is exposed to a second acidic wash solution prior to being exposed to the electroless cobalt-containing solution.
5. The method of claim 4, wherein the palladium precursor is palladium nitrate.
6. The method of claim 5, wherein the acid is selected from the group consisting of nitric acid, methanesulfonic acid and combinations thereof.
7. The method of claim 6, wherein the electroless activation solution has a pH of about 4 or less.
8. The method of claim 2, wherein the cobalt-containing capping layer comprises at least one element selected from the group consisting of tungsten, molybdenum, phosphorus, boron and combinations thereof.
9. The method of claim 8, wherein the palladium layer is exposed to a pH basic wash solution prior to being exposed to the electroless cobalt-containing solution.
10. The method of claim 9, wherein the electroless cobalt-containing solution comprises the surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L.
11. The method of claim 10, wherein the surfactant is a glycol ether based surfactant.
12. The method of claim 10, wherein the electroless cobalt-containing solution has an oxygen concentration of about 4 ppm or less.
13. The method of claim 12, wherein the deionized water wetting step comprises degassed, deionized water.
14. The method of claim 13, wherein the palladium layer is exposed to a second wetting step prior to depositing the cobalt-containing capping layer, wherein the second wetting step comprises degassed, deionized water.
15. The method of claim 12, wherein the oxygen scavenger is selected from the group consisting of ascorbic acid, N,N-diethylhydroxylamine, erythorbic acid, methyl ethyl ketoxime, carbohydrazide, derivatives thereof and combinations thereof.
16. The method of claim 15, wherein the oxygen scavenger has a concentration in the electroless cobalt-containing solution in a range from about 0.01 mM to about 10 mM.
17. The method of claim 15, wherein the oxygen scavenger is ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
18. The method of claim 16, wherein the cobalt-containing capping layer is selected from the group consisting of CoW, CoWP, CoP, CoWBP and combinations thereof.
19. A method for depositing a cobalt-containing capping layer on a metal layer, comprising:
exposing the metal layer to an acidic wash solution;
depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid;
exposing the palladium layer to a pH basic solution; and
exposing the palladium layer to an electroless cobalt-containing solution to deposit the cobalt-containing capping layer on the palladium layer.
20. The method of claim 19, wherein the metal layer is copper or a copper alloy.
21. The method of claim 20, wherein the metal layer is wetted with deionized water prior to the exposure of the acidic wash solution.
22. The method of claim 21, wherein the metal layer is wetted with the deionized water after the exposure of the acidic wash solution and prior to depositing the palladium layer.
23. The method of claim 22, wherein the palladium layer is exposed to the deionized water after depositing the palladium layer and prior to depositing the cobalt-containing capping layer.
24. The method of claim 23, wherein the deionized water comprises degassed, deionized water.
25. The method of claim 21, wherein the palladium layer is exposed to a second acidic wash solution prior to the exposure of the pH basic solution.
26. The method of claim 25, wherein the palladium precursor is palladium nitrate.
27. The method of claim 26, wherein the acid is selected from the group consisting of nitric acid, methanesulfonic acid and combinations thereof.
28. The method of claim 27, wherein the electroless activation solution has a pH of about 4 or less.
29. The method of claim 20, wherein the cobalt-containing capping layer comprises at least one element selected from the group consisting of tungsten, molybdenum, phosphorus, boron and combinations thereof.
30. The method of claim 29, wherein the electroless cobalt-containing solution comprises a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L.
31. The method of claim 30, wherein the surfactant is a glycol ether based surfactant.
32. The method of claim 30, wherein the electroless cobalt-containing solution has an oxygen concentration of about 4 ppm or less.
33. The method of claim 32, wherein the electroless cobalt-containing solution comprises an oxygen scavenger selected from the group consisting of ascorbic acid, N,N-diethylhydroxylamine, erythorbic acid, methyl ethyl ketoxime, carbohydrazide, derivatives thereof and combinations thereof.
34. The method of claim 33, wherein the oxygen scavenger has a concentration in the electroless cobalt-containing solution at a range from about 0.01 mM to about 10 mM.
35. The method of claim 33, wherein the oxygen scavenger is ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
36. The method of claim 34, wherein the cobalt-containing capping layer is selected from the group consisting of CoW, CoWP, CoP, CoWBP and combinations thereof.
37. A method for depositing a cobalt-containing capping layer on a metal layer, comprising:
cleaning the metal layer with a pre-clean solution;
rinsing the metal layer with a deionized water wetting step;
depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid; and
depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a surfactant and an oxygen concentration of about 4 ppm or less.
38. The method of claim 37, wherein the metal layer is copper or a copper alloy.
39. The method of claim 38, wherein the metal layer is exposed to an acidic wash solution prior to being exposed to the electroless activation solution.
40. The method of claim 39, wherein the palladium layer is exposed to a second acidic wash solution prior to being exposed to the electroless cobalt-containing solution.
41. The method of claim 40, wherein the palladium precursor is palladium nitrate.
42. The method of claim 41, wherein the acid is selected from the group consisting of nitric acid, methanesulfonic acid and combinations thereof.
43. The method of claim 42, wherein the electroless activation solution has a pH of about 4 or less.
44. The method of claim 38, wherein the palladium layer is exposed to a pH basic wash solution prior to being exposed to the electroless cobalt-containing solution.
45. The method of claim 37, wherein the metal layer is wetted with deionized water prior to the exposure of the pre-clean solution.
46. The method of claim 45, wherein the palladium layer is exposed to the deionized water after depositing the palladium layer and prior to depositing the cobalt-containing capping layer.
47. The method of claim 46, wherein the deionized water comprises degassed, deionized water.
48. The method of claim 44, wherein the cobalt-containing capping layer comprises at least one element selected from the group consisting of tungsten, molybdenum, phosphorus, boron and combinations thereof.
49. The method of claim 48, wherein the electroless cobalt-containing solution comprises a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L.
50. The method of claim 49, wherein the surfactant is a glycol ether based surfactant.
51. The method of claim 38, wherein the electroless cobalt-containing solution comprises an oxygen scavenger selected from the group consisting of ascorbic acid, N,N-diethylhydroxylamine, erythorbic acid, methyl ethyl ketoxime, carbohydrazide, derivatives thereof and combinations thereof.
52. The method of claim 51, wherein the oxygen scavenger has a concentration in the electroless cobalt-containing solution at a range from about 0.01 mM to about 10 mM.
53. The method of claim 51, wherein the oxygen scavenger is ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
54. The method of claim 52, wherein the cobalt-containing capping layer is selected from the group consisting of CoW, CoWP, CoP, CoWBP and combinations thereof.
55. A method for depositing a cobalt-containing capping layer on a metal layer, comprising:
depositing a palladium layer on the metal layer by exposing the metal layer to an electroless activation solution comprising a palladium precursor and an acid; and
depositing the cobalt-containing capping layer on the palladium layer by exposing the palladium layer to an electroless cobalt-containing solution comprising a cobalt source, a tungsten source, ascorbic acid and an oxygen concentration of about 4 ppm or less.
56. A composition of an electroless plating solution, comprising:
a cobalt source at a concentration in a range from about 50 mM to about 250 mM;
a tungsten source at a concentration in a range from about 10 mM to about 100 mM;
a complexing agent at a concentration in a range from about 10 mM to about 200 mM;
at least one reductant at a concentration in a range from about 1 mM to about 100 mM;
a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L; and
an oxygen scavenger at a concentration in a range from about 0.01 mM to about 10 mM.
57. A composition of an electroless plating solution, comprising:
a cobalt source at a concentration in a range from about 50 mM to about 250 mM;
a tungsten source at a concentration in a range from about 10 mM to about 100 mM;
a complexing agent at a concentration in a range from about 10 mM to about 200 mM;
at least one reductant at a concentration in a range from about 1 mM to about 100 mM;
a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L; and
the electroless plating solution has an oxygen concentration of about 4 ppm or less.
58. A composition of an electroless plating solution, comprising:
a cobalt source at a concentration in a range from about 50 mM to about 250 mM;
a tungsten source at a concentration in a range from about 10 mM to about 100 mM;
a complexing agent at a concentration in a range from about 10 mM to about 200 mM;
at least one reductant at a concentration in a range from about 1 mM to about 100 mM;
a surfactant at a concentration in a range from about 1 mg/L to about 100 mg/L; and
ascorbic acid at a concentration in a range from about 30 mg/L to about 300 mg/L.
US10/970,839 2004-01-26 2004-10-21 Electroless cobalt alloy deposition process Abandoned US20050161338A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/970,839 US20050161338A1 (en) 2004-01-26 2004-10-21 Electroless cobalt alloy deposition process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US53954404P 2004-01-26 2004-01-26
US10/970,839 US20050161338A1 (en) 2004-01-26 2004-10-21 Electroless cobalt alloy deposition process

Publications (1)

Publication Number Publication Date
US20050161338A1 true US20050161338A1 (en) 2005-07-28

Family

ID=34798936

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/970,839 Abandoned US20050161338A1 (en) 2004-01-26 2004-10-21 Electroless cobalt alloy deposition process

Country Status (1)

Country Link
US (1) US20050161338A1 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191584A1 (en) * 2004-02-27 2005-09-01 Kevin Shea Surface treatment of a dry-developed hard mask and surface treatment compositions used therefor
US20060030143A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US20060134911A1 (en) * 2004-12-22 2006-06-22 Restaino Darryl D MANUFACTURABLE CoWP METAL CAP PROCESS FOR COPPER INTERCONNECTS
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
WO2007024470A2 (en) * 2005-08-26 2007-03-01 Freescale Semiconductor Method for forming a capping layer on a semiconductor device
US20070066059A1 (en) * 2005-09-20 2007-03-22 Enthone Inc. Defectivity and process control of electroless deposition in microelectronics applications
US20080090414A1 (en) * 2006-10-16 2008-04-17 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US20080236619A1 (en) * 2007-04-02 2008-10-02 Enthone Inc. Cobalt capping surface preparation in microelectronics manufacture
US20080246150A1 (en) * 2005-05-18 2008-10-09 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20080254205A1 (en) * 2007-04-13 2008-10-16 Enthone Inc. Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys
US20090017624A1 (en) * 2007-07-09 2009-01-15 Chih-Hung Liao Nodule Defect Reduction in Electroless Plating
US7658790B1 (en) * 2007-07-03 2010-02-09 Intermolecular, Inc. Concentrated electroless solution for selective deposition of cobalt-based capping/barrier layers
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US8551575B1 (en) 2008-09-08 2013-10-08 Lam Research Methods and solutions for preventing the formation of metal particulate defect matter upon a substrate after a plating process
JP2013243376A (en) * 2008-03-28 2013-12-05 Lam Research Corporation Solutions for cleaning substrate
US20150218702A1 (en) * 2014-01-31 2015-08-06 Tokyo Electron Limited Electroless plating method, electroless plating apparatus and storage medium
CN105695963A (en) * 2016-04-27 2016-06-22 电子科技大学 End sealing method for mini component pin
EP3034650A1 (en) 2014-12-16 2016-06-22 ATOTECH Deutschland GmbH Plating bath compositions for electroless plating of metals and metal alloys
WO2016150879A1 (en) 2015-03-20 2016-09-29 Atotech Deutschland Gmbh Activation method for silicon substrates
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
WO2017191260A1 (en) 2016-05-04 2017-11-09 Atotech Deutschland Gmbh Process for depositing a metal or metal alloy on a surface of a substrate including its activation
US10132699B1 (en) 2014-10-06 2018-11-20 National Technology & Engineering Solutions Of Sandia, Llc Electrodeposition processes for magnetostrictive resonators
US10510945B1 (en) 2014-10-06 2019-12-17 National Technology & Engineering Solutions Of Sandia, Llc Magnetoelastically actuated MEMS device and methods for its manufacture
WO2023043678A1 (en) * 2021-09-14 2023-03-23 Applied Materials, Inc. Diffusion layers in metal interconnects

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1386035A (en) * 1919-10-17 1921-08-02 Jr Henry Vatter Motor-bearing-burning-in stand
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3745039A (en) * 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US4424241A (en) * 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
US4795660A (en) * 1985-05-10 1989-01-03 Akzo N.V. Metallized polymer compositions, processes for their preparation and their uses
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5102456A (en) * 1989-04-28 1992-04-07 International Business Machines Corporation Tetra aza ligand systems as complexing agents for electroless deposition of copper
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) * 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5614003A (en) * 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5907790A (en) * 1993-07-15 1999-05-25 Astarix Inc. Aluminum-palladium alloy for initiation of electroless plating
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6258270B1 (en) * 1997-01-07 2001-07-10 Gkss-Forschungszentrum Geesthacht Gmbh Filtration apparatus having channeled flow guide elements
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6344410B1 (en) * 1999-03-30 2002-02-05 Advanced Micro Devices, Inc. Manufacturing method for semiconductor metalization barrier
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US20020098711A1 (en) * 2000-08-31 2002-07-25 Klein Rita J. Electroless deposition of doped noble metals and noble metal alloys
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20030113576A1 (en) * 2001-12-19 2003-06-19 Intel Corporation Electroless plating bath composition and method of using
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US6588437B1 (en) * 1999-11-15 2003-07-08 Agere Systems Inc. System and method for removal of material
US20030141018A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Electroless deposition apparatus
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6756682B2 (en) * 2002-05-29 2004-06-29 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US20050090098A1 (en) * 2003-10-27 2005-04-28 Dubin Valery M. Method for making a semiconductor device having increased conductive material reliability
US20050118807A1 (en) * 2003-11-28 2005-06-02 Hyungiun Kim Ald deposition of ruthenium
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20050136185A1 (en) * 2002-10-30 2005-06-23 Sivakami Ramanathan Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1386035A (en) * 1919-10-17 1921-08-02 Jr Henry Vatter Motor-bearing-burning-in stand
US2369620A (en) * 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3745039A (en) * 1971-10-28 1973-07-10 Rca Corp Electroless cobalt plating bath and process
US4397812A (en) * 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US3937857A (en) * 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) * 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4150177A (en) * 1976-03-31 1979-04-17 Massachusetts Institute Of Technology Method for selectively nickeling a layer of polymerized polyester resin
US4265943A (en) * 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4368223A (en) * 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
US4424241A (en) * 1982-09-27 1984-01-03 Bell Telephone Laboratories, Incorporated Electroless palladium process
US4795660A (en) * 1985-05-10 1989-01-03 Akzo N.V. Metallized polymer compositions, processes for their preparation and their uses
US4810520A (en) * 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US5234628A (en) * 1988-11-24 1993-08-10 Henkel Kommanditgesellschaft Auf Aktien Paste-form, low-foaming non-phosphate detergent
US5102456A (en) * 1989-04-28 1992-04-07 International Business Machines Corporation Tetra aza ligand systems as complexing agents for electroless deposition of copper
US5141626A (en) * 1989-11-30 1992-08-25 Daido Metal Company Ltd. Method of and apparatus for surface treatment for half bearings
US5200048A (en) * 1989-11-30 1993-04-06 Daido Metal Company Ltd. Electroplating apparatus for plating half bearings
US5235139A (en) * 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5203911A (en) * 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5212138A (en) * 1991-09-23 1993-05-18 Applied Electroless Concepts Inc. Low corrosivity catalyst for activation of copper for electroless nickel plating
US5240497A (en) * 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5907790A (en) * 1993-07-15 1999-05-25 Astarix Inc. Aluminum-palladium alloy for initiation of electroless plating
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5384284A (en) * 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
US5415890A (en) * 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5882433A (en) * 1995-05-23 1999-03-16 Tokyo Electron Limited Spin cleaning method
US6197364B1 (en) * 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5910340A (en) * 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) * 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) * 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5733816A (en) * 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) * 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
US6065424A (en) * 1995-12-19 2000-05-23 Cornell Research Foundation, Inc. Electroless deposition of metal films with spray processor
US5891513A (en) * 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5614003A (en) * 1996-02-26 1997-03-25 Mallory, Jr.; Glenn O. Method for producing electroless polyalloys
US5904827A (en) * 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US6258270B1 (en) * 1997-01-07 2001-07-10 Gkss-Forschungszentrum Geesthacht Gmbh Filtration apparatus having channeled flow guide elements
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US5885749A (en) * 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6077780A (en) * 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6197688B1 (en) * 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6228233B1 (en) * 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6015747A (en) * 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6596151B2 (en) * 1999-01-11 2003-07-22 Applied Materials, Inc. Electrodeposition chemistry for filling of apertures with reflective metal
US6544399B1 (en) * 1999-01-11 2003-04-08 Applied Materials, Inc. Electrodeposition chemistry for filling apertures with reflective metal
US6010962A (en) * 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) * 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6344410B1 (en) * 1999-03-30 2002-02-05 Advanced Micro Devices, Inc. Manufacturing method for semiconductor metalization barrier
US6174812B1 (en) * 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6110530A (en) * 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) * 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US20020098681A1 (en) * 1999-07-27 2002-07-25 Chao-Kun Hu Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6588437B1 (en) * 1999-11-15 2003-07-08 Agere Systems Inc. System and method for removal of material
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6350364B1 (en) * 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6680540B2 (en) * 2000-03-08 2004-01-20 Hitachi, Ltd. Semiconductor device having cobalt alloy film with boron
US6344125B1 (en) * 2000-04-06 2002-02-05 International Business Machines Corporation Pattern-sensitive electrolytic metal plating
US6709563B2 (en) * 2000-06-30 2004-03-23 Ebara Corporation Copper-plating liquid, plating method and plating apparatus
US20020098711A1 (en) * 2000-08-31 2002-07-25 Klein Rita J. Electroless deposition of doped noble metals and noble metal alloys
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US6717189B2 (en) * 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US6573606B2 (en) * 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
US20030075808A1 (en) * 2001-08-13 2003-04-24 Hiroaki Inoue Semiconductor device, method for manufacturing the same, and plating solution
US20040038073A1 (en) * 2001-12-19 2004-02-26 Chebiam Ramanan V. Electroless plating bath composition and method of using
US20040035316A1 (en) * 2001-12-19 2004-02-26 Chebiam Ramanan V. Electroless plating bath composition and method of using
US20030113576A1 (en) * 2001-12-19 2003-06-19 Intel Corporation Electroless plating bath composition and method of using
US20030116439A1 (en) * 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20050124154A1 (en) * 2001-12-28 2005-06-09 Hyung-Sang Park Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20040072419A1 (en) * 2002-01-10 2004-04-15 Rajesh Baskaran Method for applying metal features onto barrier layers using electrochemical deposition
US20030141018A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Electroless deposition apparatus
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6756682B2 (en) * 2002-05-29 2004-06-29 Micron Technology, Inc. High aspect ratio fill method and resulting structure
US20040105934A1 (en) * 2002-06-04 2004-06-03 Mei Chang Ruthenium layer formation for copper film deposition
US20040065540A1 (en) * 2002-06-28 2004-04-08 Novellus Systems, Inc. Liquid treatment using thin liquid layer
US20050136185A1 (en) * 2002-10-30 2005-06-23 Sivakami Ramanathan Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20040096592A1 (en) * 2002-11-19 2004-05-20 Chebiam Ramanan V. Electroless cobalt plating solution and plating techniques
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US20050006245A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Multiple-step electrodeposition process for direct copper plating on barrier metals
US20050090098A1 (en) * 2003-10-27 2005-04-28 Dubin Valery M. Method for making a semiconductor device having increased conductive material reliability
US20050118807A1 (en) * 2003-11-28 2005-06-02 Hyungiun Kim Ald deposition of ruthenium

Cited By (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060263729A1 (en) * 2004-02-27 2006-11-23 Micron Technology, Inc. Surface treatment of a dry-developed hard mask and surface treatment compositions used therefor
US20050191584A1 (en) * 2004-02-27 2005-09-01 Kevin Shea Surface treatment of a dry-developed hard mask and surface treatment compositions used therefor
US20060263730A1 (en) * 2004-02-27 2006-11-23 Micron Technology, Inc. Surface treatment of a dry-developed hard mask and surface treatment compositions used therefor
US20110097477A1 (en) * 2004-08-09 2011-04-28 Lam Research Corporation Methods and Apparatus Configurations for Affecting Movement of Fluids Within a Microelectronic Topography Processing Chamber and a Method for Passivating Hardware Within a Microelectronic Topography Processing Chamber
US20100055300A1 (en) * 2004-08-09 2010-03-04 Lam Research Corporation Methods and Apparatus Configurations for Affecting Movement of Fluids Within a Microelectronic Topography Processing Chamber and a Method for Passivating Hardware Within a Microelectronic Topography Processing Chamber
US7779782B2 (en) 2004-08-09 2010-08-24 Lam Research Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
US20060029727A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
US8143161B2 (en) 2004-08-09 2012-03-27 Lam Research Corporation Method for passivating hardware of a microelectronic topography processing chamber
US20100279002A1 (en) * 2004-08-09 2010-11-04 Lam Research Corporation Systems and Methods Affecting Profiles of Solutions Dispensed Across Microelectronic Topographies During Electroless Plating Processes
US7884033B2 (en) 2004-08-09 2011-02-08 Lam Research Method of depositing fluids within a microelectric topography processing chamber
US20100159208A1 (en) * 2004-08-09 2010-06-24 Lam Research Barrier Layer Configurations and Methods for Processing Microelectronic Topographies Having Barrier Layers
US7714441B2 (en) 2004-08-09 2010-05-11 Lam Research Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US7897507B2 (en) 2004-08-09 2011-03-01 Lam Research Corporation Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US20060030157A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Methods and apparatus configurations for affecting movement of processing fluids within a microelectronic topography chamber and a method for passivating hardware within a microelectronic topography processing chamber
US20100279071A1 (en) * 2004-08-09 2010-11-04 Lam Research Corporation Systems and Methods Affecting Profiles of Solutions Dispensed Across Microelectronic Topographies During Electroless Plating Processes
US20060030143A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US8502381B2 (en) * 2004-08-09 2013-08-06 Lam Research Corporation Barrier layer configurations and methods for processing microelectronic topographies having barrier layers
US7636234B2 (en) 2004-08-09 2009-12-22 Lam Research Corporation Apparatus configurations for affecting movement of fluids within a microelectric topography processing chamber
US20060029833A1 (en) * 2004-08-09 2006-02-09 Ivanov Igor C Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom
US8591985B2 (en) 2004-08-09 2013-11-26 Lam Research Corporation Systems and methods affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
US20110117328A1 (en) * 2004-08-09 2011-05-19 Lam Research Barrier Layer Configurations and Methods for Processing Microelectronic Topographies Having Barrier Layers
US20060134911A1 (en) * 2004-12-22 2006-06-22 Restaino Darryl D MANUFACTURABLE CoWP METAL CAP PROCESS FOR COPPER INTERCONNECTS
US20070215842A1 (en) * 2004-12-22 2007-09-20 International Business Machines Corporation MANUFACTURABLE CoWP METAL CAP PROCESS FOR COPPER INTERCONNECTS
US7253106B2 (en) * 2004-12-22 2007-08-07 International Business Machines Corporation Manufacturable CoWP metal cap process for copper interconnects
US7407605B2 (en) 2004-12-22 2008-08-05 International Business Machines Corporation Manufacturable CoWP metal cap process for copper interconnects
US8030772B2 (en) * 2005-05-18 2011-10-04 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20080246150A1 (en) * 2005-05-18 2008-10-09 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060280860A1 (en) * 2005-06-09 2006-12-14 Enthone Inc. Cobalt electroless plating in microelectronic devices
WO2007024470A3 (en) * 2005-08-26 2007-09-27 Freescale Semiconductor Inc Method for forming a capping layer on a semiconductor device
WO2007024470A2 (en) * 2005-08-26 2007-03-01 Freescale Semiconductor Method for forming a capping layer on a semiconductor device
US7615491B2 (en) 2005-09-20 2009-11-10 Enthone Inc. Defectivity and process control of electroless deposition in microelectronics applications
US20070066059A1 (en) * 2005-09-20 2007-03-22 Enthone Inc. Defectivity and process control of electroless deposition in microelectronics applications
WO2008048755A1 (en) * 2006-10-16 2008-04-24 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US7704306B2 (en) 2006-10-16 2010-04-27 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US20080090414A1 (en) * 2006-10-16 2008-04-17 Enthone Inc. Manufacture of electroless cobalt deposition compositions for microelectronics applications
US20080113096A1 (en) * 2006-11-14 2008-05-15 Maitreyee Mahajani Method of depositing catalyst assisted silicates of high-k materials
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7897208B2 (en) 2006-11-14 2011-03-01 Applied Materials, Inc. Low temperature ALD SiO2
US20100227061A1 (en) * 2006-11-14 2010-09-09 Maitreyee Mahajani LOW TEMPERATURE ALD Si02
US20080236619A1 (en) * 2007-04-02 2008-10-02 Enthone Inc. Cobalt capping surface preparation in microelectronics manufacture
US20080254205A1 (en) * 2007-04-13 2008-10-16 Enthone Inc. Self-initiated alkaline metal ion free electroless deposition composition for thin co-based and ni-based alloys
US7658790B1 (en) * 2007-07-03 2010-02-09 Intermolecular, Inc. Concentrated electroless solution for selective deposition of cobalt-based capping/barrier layers
US20090017624A1 (en) * 2007-07-09 2009-01-15 Chih-Hung Liao Nodule Defect Reduction in Electroless Plating
JP2013243376A (en) * 2008-03-28 2013-12-05 Lam Research Corporation Solutions for cleaning substrate
US8551575B1 (en) 2008-09-08 2013-10-08 Lam Research Methods and solutions for preventing the formation of metal particulate defect matter upon a substrate after a plating process
US20150218702A1 (en) * 2014-01-31 2015-08-06 Tokyo Electron Limited Electroless plating method, electroless plating apparatus and storage medium
JP2015145514A (en) * 2014-01-31 2015-08-13 東京エレクトロン株式会社 Electroless plating method, electroless plating device, and storage medium
TWI618815B (en) * 2014-01-31 2018-03-21 Tokyo Electron Ltd Electroless plating method and memory medium
US9551074B2 (en) 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US9818617B2 (en) 2014-06-05 2017-11-14 Lam Research Corporation Method of electroless plating using a solution with at least two borane containing reducing agents
US10132699B1 (en) 2014-10-06 2018-11-20 National Technology & Engineering Solutions Of Sandia, Llc Electrodeposition processes for magnetostrictive resonators
US10510945B1 (en) 2014-10-06 2019-12-17 National Technology & Engineering Solutions Of Sandia, Llc Magnetoelastically actuated MEMS device and methods for its manufacture
US10260969B1 (en) 2014-10-06 2019-04-16 National Technology & Engineering Solutions Of Sandia, Llc Microfabricated magnetostrictive resonator
US10215648B1 (en) 2014-10-06 2019-02-26 National Technology & Engineering Solutions Of Sandia, Llc Electrodeposition processes for magnetostrictive resonators
EP3034650A1 (en) 2014-12-16 2016-06-22 ATOTECH Deutschland GmbH Plating bath compositions for electroless plating of metals and metal alloys
US9909216B2 (en) 2014-12-16 2018-03-06 Atotech Deutschland Gmbh Plating bath compositions for electroless plating of metals and metal alloys
US9960051B2 (en) 2015-03-20 2018-05-01 Atotech Deutschland Gmbh Activation method for silicon substrates comprising at least two aromatic acids
WO2016150879A1 (en) 2015-03-20 2016-09-29 Atotech Deutschland Gmbh Activation method for silicon substrates
CN105695963A (en) * 2016-04-27 2016-06-22 电子科技大学 End sealing method for mini component pin
CN105695963B (en) * 2016-04-27 2019-03-01 电子科技大学 The end blocking method of minisize component pin
WO2017191260A1 (en) 2016-05-04 2017-11-09 Atotech Deutschland Gmbh Process for depositing a metal or metal alloy on a surface of a substrate including its activation
US10975474B2 (en) 2016-05-04 2021-04-13 Atotech Deutschland Gmbh Process for depositing a metal or metal alloy on a surface of a substrate including its activation
WO2023043678A1 (en) * 2021-09-14 2023-03-23 Applied Materials, Inc. Diffusion layers in metal interconnects
US11901225B2 (en) 2021-09-14 2024-02-13 Applied Materials, Inc. Diffusion layers in metal interconnects

Similar Documents

Publication Publication Date Title
US20050161338A1 (en) Electroless cobalt alloy deposition process
JP4597135B2 (en) Selective self-initiated electroless capping of copper with cobalt-containing alloys
US7262504B2 (en) Multiple stage electroless deposition of a metal layer
US7205233B2 (en) Method for forming CoWRe alloys by electroless deposition
US6908504B2 (en) Electroless plating bath composition and method of using
US20050181226A1 (en) Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US20060252252A1 (en) Electroless deposition processes and compositions for forming interconnects
US20070099422A1 (en) Process for electroless copper deposition
JP2009514238A (en) Method for selectively depositing a thin film material on a semiconductor junction
US7064065B2 (en) Silver under-layers for electroless cobalt alloys
US20070264436A1 (en) Apparatus for applying a plating solution for electroless deposition
US6398855B1 (en) Method for depositing copper or a copper alloy
US7273813B2 (en) Wafer cleaning solution for cobalt electroless application
US20050170650A1 (en) Electroless palladium nitrate activation prior to cobalt-alloy deposition
WO2006033957A1 (en) Method to fabricate copper-cobalt interconnects
US20050095830A1 (en) Selective self-initiating electroless capping of copper with cobalt-containing alloys
EP1022355B1 (en) Deposition of copper on an activated surface of a substrate
JP2000204481A (en) Cooper precipitation on matrix active face

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, HONGBIN;EMAMI, RAMIN;WEIDMAN, TIMOTHY;AND OTHERS;REEL/FRAME:015468/0389;SIGNING DATES FROM 20041206 TO 20041207

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FANG, HONGBIN;WEIDMAN, TIMOTHY;SHANMUGASUNDRAM, ARULKUMAR;AND OTHERS;REEL/FRAME:016074/0351

Effective date: 20050407

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION