US20060070885A1 - Chip interconnect and packaging deposition methods and structures - Google Patents

Chip interconnect and packaging deposition methods and structures Download PDF

Info

Publication number
US20060070885A1
US20060070885A1 US11/295,014 US29501405A US2006070885A1 US 20060070885 A1 US20060070885 A1 US 20060070885A1 US 29501405 A US29501405 A US 29501405A US 2006070885 A1 US2006070885 A1 US 2006070885A1
Authority
US
United States
Prior art keywords
substrate
cavities
conductive material
conductive layer
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/295,014
Inventor
Cyprian Uzoh
Homayoun Talieh
Bulent Basol
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Priority to US11/295,014 priority Critical patent/US20060070885A1/en
Assigned to ASM NUTOOL, INC. reassignment ASM NUTOOL, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NUTOOL, INC.
Publication of US20060070885A1 publication Critical patent/US20060070885A1/en
Assigned to ASM NUTOOL, INC. reassignment ASM NUTOOL, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: NUTOOL, INC.
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ASM NUTOOL, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1848Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by electrochemical pretreatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/22Electroplating combined with mechanical treatment during the deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1651Two or more layers only obtained by electroless plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • C23C18/1653Two or more layers with at least one layer obtained by electroless plating and one layer obtained by electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1689After-treatment
    • C23C18/1692Heat-treatment
    • C23C18/1696Control of atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01016Sulfur [S]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01021Scandium [Sc]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01041Niobium [Nb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01057Lanthanum [La]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0106Neodymium [Nd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01087Francium [Fr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • the present invention relates to a method for fabricating high performance chip interconnects and packages. More particularly, the present invention is directed to a method for insitu-selectively removing portions of a seed layer from a top surface of a substrate while preventing removal of the seed layer from the cavities formed therein. Moreover, the present invention discloses methods for depositing a conductive material in cavities of a substrate.
  • Conductive materials are deposited in cavities of the substrate to interconnect layers and components contained therein.
  • copper is the preferred conductive material of choice as it provides better conductivity and reliability than, for example, aluminum or aluminum alloys.
  • FIGS. 1A-1C illustrate one conventional method for depositing a conductive material in the cavities of a substrate (e.g., workpiece).
  • FIG. 1A illustrates a cross sectional view of a substrate having various layers disposed thereon. This figure illustrates a silicon dioxide layer (SiO2) 2 (dielectric layer) having deposited thereon a barrier or adhesive layer 4 and a seed layer 6 .
  • SiO2 silicon dioxide layer
  • the dielectric layer 2 is generally etched with cavities before the barrier layer 4 and the seed layer 6 are deposited thereon.
  • the cavities in the dielectric layer 2 are generally etched using a reactive ion etching (RIE) method.
  • the barrier layer 4 may be tantalum (Ta), titanium (Ti), tungsten (W), titanium-tungsten (TiW), titanium nitride (TiN), Nb, CuWP, CoWP, or other materials or combinations thereof that are commonly used in this field.
  • the barrier layer 4 is generally deposited on the dielectric layer 2 using any of the various sputtering methods, chemical vapor deposition (CVD), electro-deposition or electrolyte/electroless plating method.
  • the seed layer 6 is deposited over the barrier layer 4 .
  • the seed layer 6 may be deposited on the barrier layer 4 again using various sputtering methods, CVD, or electroless deposition or combinations thereof.
  • the seed layer 6 thickness, depending on the substrate topography, may vary from 20 to 4,000 ⁇ .
  • a conductive material 8 (e.g., copper) is generally used to fill the cavities of the dielectric layer 2 . This is illustrated in FIG. 1B .
  • the conductive material 8 may be formed on the seed layer 6 by CVD, sputtering, electroless plating, electrodeposition, or combinations thereof.
  • the conductive material 8 and the seed layer 6 are generally the same material.
  • the cavities are typically overfilled with the conductive material 8 as shown.
  • the substrate is typically transferred to another equipment for polishing/planarizing the top surface of the substrate as illustrated in FIG. 1C .
  • the substrate is planarized using a conventional chemical mechanical polishing (CMP) device.
  • CMP chemical mechanical polishing
  • the conductive material 8 overburden can be removed using a conventional CMP method. Portions of the seed layer 6 and the barrier layer 4 on the top surface of the substrate are also polished to electrically isolate the various structures.
  • the remaining seed layer 6 in the cavities is embodied in the conductive material 8 as illustrated in FIG. 1C .
  • the depth 9 c of the cavities in the dielectric layer 2 can range from 0.2 to 5 um for interconnects and up to 50 um or more for packages.
  • the depth 9 a is about 0.5 um and the width 9 b is about 10.0 um.
  • the larger cavity includes the width 9 b of 10.0 um and a total depth of about 1.0 um (measured from the bottom of the cavity to the top of the substrate).
  • the additional amount (i.e., 50%) of the conductive material 8 over the larger cavity should be at a depth 9 e of at least 0.5 um. In this case, when the depth 9 e is about 0.5 um, the conductive material 8 formed over the field regions will be at a depth 9 d of about 1.5 um.
  • an overburden of at least 1.5 um of the conductive material 8 will be deposited over most of the field regions of the substrate while a smaller overburden of at least 0.5 um will be deposited over the larger cavities.
  • the overburden of 0.5 to 1.5 um of the conductive material 8 will be deposited over the various features of the substrate.
  • the disparity of the conductive material 8 overburden across the substrate results in longer polishing time and higher costs using the conventional CMP process.
  • a deposition process that minimizes the amount of the conductive material 8 overburden across the substrate, as well as to minimize the disparity of the overburden depths on the surface of the substrate.
  • FIGS. 2A-2F illustrate another conventional method for depositing a conductive material in the cavities of a substrate.
  • FIG. 2A illustrates a dielectric (SiO2) layer 2 that is etched with cavities and having a barrier layer 4 and a seed layer 6 deposited thereon, similar to the structure of FIG. 1A . Again, the cavities in the dielectric layer 2 are typically etched using an RIE method.
  • FIG. 2B illustrates a photoresist material 12 coated on top of the seed layer 6 .
  • a mask (not shown) is used such that ultraviolet light is applied only to the photoresist material 12 that is formed in the cavities of the substrate.
  • the photoresist that is exposed to the ultraviolet light (photoresist in the cavities) is degraded as the ultraviolet light breaks down the molecular structure of the photoresist.
  • the degraded photoresist is then removed from the cavities of the substrate using an appropriate solvent or RIE method, resulting in the structure as illustrated in FIG. 2C .
  • a positive photoresist process is described herein, a negative photoresist process can also be used to form the structure of FIG. 2C .
  • photoresist removal from the cavities may require additional steps.
  • the photoresist material 12 in the cavities may interact with the seed layer 6 such that using a solvent to remove the photoresist material 12 may be inadequate.
  • the substrate is exposed to oxygen plasmas in order to ash away/strip off the remaining photoresist material 12 from the seed layer 6 in the cavities of the substrate.
  • copper oxides, copper sulfides, or copper-oxide-sulfide compounds may be formed on the copper seed layer, particularly when the photoresist material contains sulfur-bearing elements. These compounds that are formed on the copper seed layer is generally resistive to a conductive material, and should be removed before any conductive material is deposited on the seed layer. Thus, a second stripping process may be required to remove the oxides, sulfides, or oxide-sulfides.
  • the copper seed layer in the cavities may be deposited to a thickness between 15 to 1000 Ao. In other cases, particularly when feature size is below 0.5 um and the aspect ratio is greater than 1.5, the copper seed layer in the cavities may be very thin or even discontinuous. In this case, applying the photoresist material on the discontinuous seed layer in the cavities may result in portions of the seed layer being consumed by the photoresist material, thereby resulting in a substrate having a large number of defects.
  • a conductive material 8 e.g., copper
  • the remaining photoresist 12 prevents the conductive material 8 from being formed on the top surface of the substrate.
  • the entire photoresist is removed using appropriate solvent or RIE, resulting in the structure of FIG. 2E .
  • portions of the seed layer 6 and the barrier layer 4 on the top surface of the substrate are etched or polished using conventional methods (e.g., CMP, RIE, or combinations thereof), resulting in the structure illustrated in FIG. 2F .
  • the photoresist material 12 and the seed layer 6 on the top surface of the substrate as shown in FIG. 2B may be removed using a CMP equipment and an abrasive slurry.
  • this method some abrasive particulates will be trapped in the cavities, where the abrasives become mechanically attached to the sidewalls of the cavities.
  • the abrasives are attached to the sidewalls of the cavities, they are often very difficult to remove, thereby resulting in substrates have various defects when the conductive material is deposited in the cavities.
  • the present invention discloses methods for depositing a conductive material in cavities of a substrate in a more efficient and time saving manner.
  • One method according to the present invention includes selectively removing portions of a seed layer from a top surface of a substrate and then depositing a conductive material in the cavities of the substrate, where portions of the seed layer remains in the cavities.
  • a pad type material that is attached to an anode is used to polish the seed layer from the top surface of the substrate.
  • Another method includes forming an oxide layer on the top surface of the substrate such that the conductive material can be deposited in the cavities without the material being formed on the top surface of the substrate.
  • the present invention also discloses the structures that are formed using the methods described herein.
  • FIGS. 1A-1C illustrate cross sectional views of one conventional method for depositing a conductive material in the cavities of a substrate
  • FIGS. 2A-2F illustrate cross sectional views of another conventional method for depositing a conductive material in the cavities of a substrate
  • FIGS. 3A-3D illustrate cross sectional views of methods for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention
  • FIGS. 4A-4E illustrate cross sectional views of a method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention
  • FIGS. 5A-5F illustrate cross sectional views of another method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention
  • FIGS. 6A-6C illustrate cross sectional views of another method for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention
  • FIGS. 7A-7C illustrate cross sectional views of a method for forming an insulating material in cavities of a substrate in accordance with the preferred embodiment of the present invention.
  • FIGS. 8A-8F illustrate cross sectional views of yet another method for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention.
  • FIGS. 3-8 wherein like structures and materials are designated by like reference numerals throughout the various figures.
  • the inventors of the present invention disclose herein methods for depositing a conductive material, preferably copper, in cavities of a substrate.
  • the present invention can be used with any substrate or workpiece such as a wafer, flat panel, magnetic film head, integrated circuit, device, chip, and packaging substrate including lead-tin solder alloys, or lead free solderable alloys.
  • specific processing parameters provided herein are intended to be explanatory rather than limiting.
  • FIGS. 3A-3D illustrate cross sectional views of methods for fabricating chip interconnects and packages in accordance with the present invention.
  • FIGS. 3A-3D disclose methods for depositing a material, preferably a conductive material/solution such as copper, in the cavities of a substrate.
  • the conductive material can be deposited in the cavities while selectively removing the seed layer from the top surface of the substrate.
  • FIGS. 3A-3D include the step depositing a conductive material in the cavities of the substrate using an apparatus having a pad type material attached to an anode.
  • Such apparatus is described in greater detail in the co-pending U.S. application Ser. No. 09/373,681, filed Aug. 13, 1999, entitled “Method and Apparatus for Depositing and Controlling the Texture of A Thin Film”, commonly owned by the assignee of the present invention, the contents of which are expressly incorporated herein by reference.
  • FIG. 3A illustrates a dielectric or SiO.sub.2 layer 2 having deposited thereon a barrier or adhesive layer 4 and a seed layer 6 , similar to that described above with reference to FIGS. 1A and 2A .
  • the top surface of the SiO.sub.2 layer 2 is patterned/etched with cavities before the barrier layer 4 and seed layer 6 are deposited thereon.
  • SiO.sub.2 is presented herein as the dielectric layer 2 , it is understood that other materials that are commonly used as the dielectric layer may be used in accordance with the present invention.
  • a porous pad type material 20 with or without fixed abrasive particles (not shown) is used to selectively polish the seed layer 6 from the top surface (field regions) of the substrate.
  • the pad type material 20 that is attached to an anode 22 may be rotated in a circular motion, vibrated, moved side to side or vertically and is brought into contact with the seed layer 6 .
  • the pad type material 20 and the anode 22 further include outlet channels 21 for channeling a conductive material/solution to the substrate.
  • the anode 22 , the pad type material 20 , and the substrate may rotate between 50 to 2000 rpm, but preferably between 100 to 1200 rpm, during the selective removal of the seed layer 6 .
  • the seed layer 6 on the top surface of the substrate is polished when such operation occurs for 2 to 60 seconds, but preferably for about 5 to 25 seconds.
  • the pad type material 20 polishes the seed layer 6 residing on the top surface of the substrate without removing the seed layer 6 in the cavities. During this removal step, the pad type material 20 makes contact with the seed layer 6 at a pressure that may range from 0.05 to 5 psi. Further, the conductive solution containing for example, copper, may emanate from the outlet channels 21 of the pad type material 20 and may be applied to the substrate at 0.01 to 5 gallons per minute (gpm), but preferably between 0.05 to 3 gpm.
  • gpm gallons per minute
  • a small amount of conductive film 14 may be deposited within the cavities of the substrate, while the pad type material 20 is selectively removing the seed layer 6 and the conductive solution from the top surface of the substrate.
  • the purpose of applying the electrical potential between the anode 22 and the conducting substrate and generating an electric current is to avoid the dissolution of the seed layer 6 in the cavities during the process of polishing the top surface of the substrate.
  • a substrate holder (not shown) that is capable of rotating in a circular motion, and moving side to side or vertically, guides the substrate in proper position/movement.
  • the conductive (protective or sacrificial) film 14 may be redissolved in an electrolyte solution to momentarily protect the original seed layer 6 , while removing the conductive film from the field regions and before a copper conductive material is deposited in the cavities of the substrate.
  • the electrodes may be de-energized for a short period of time (i.e., 2-10 seconds) for the electrolyte solution to dissolve the protective or sacrificial film 14 .
  • the substrate may be rendered anodic momentarily to improve the seed layer removal process from the field regions.
  • an electrical current density between 0.05 to 15 mA/cm.sup.2, but preferably between 0.1 to 10 mA/cm.sup.2, (depending on the nature of the bath chemistry) is applied to the substrate.
  • This electric current density range prevents seed layer 6 dissolution in the cavities and may allow a small amount of the conductive film 14 to be deposited thereon, as described above. It is important to note that during this process, the seed layer 6 on the top surface of the substrate is removed while the seed layer 6 within the cavities remains. The seed layer 6 remaining in the cavities of the substrate allows for a more efficient and effective deposition of a conductive material because it has a lower resistance than the barrier layer 4 to the conductive material.
  • the deposition current density may be increased to fill the cavities with the conductive material 8 , resulting in the structure as shown in FIG. 3C i.
  • the conductive material 8 can be deposited in the cavities of the substrate since the remaining seed layer 6 in the cavities provides less resistance than the barrier layer 4 on top of the substrate. As a result, the conductive material 8 is more efficiently deposited on the seed layer 6 in the cavities than on the barrier layer 4 on the top surface of the substrate. In other words, the conductive material 8 will tend to form in the cavities of the substrate rather than on the barrier layer 4 on the top surface of the substrate.
  • the conductive film 14 and the remaining seed layer 6 in the cavities are embodied in the conductive material 8 .
  • the conductive material 8 can be deposited in the cavities using the anode 22 and the pad type material 20 via outlet channels 21 .
  • the pad type material 20 can be positioned such that it is spaced apart from the top surface of the substrate between 1 micron to 2 millimeters.
  • Electric current density can be increased to the anode 22 and the substrate between 5 to 250 mA/cm.sup.2, but preferably between 7 to 150 mA/cm.sup.2, in order to deposit the conductive material 8 in the cavities. Increasing the current density allows the conductive material 8 to fill the cavities of the substrate in a timely manner.
  • the conducting barrier layer 4 is used to conduct the deposition current.
  • the conductive material 8 can be deposited in the cavities while the pad type material 20 is actually making contact with the top surface of the substrate.
  • the cavities may be filled by electroless plating or selective metal CVD.
  • the substrate is transferred to an electroless plating cell, and the conductive material is deposited accordingly.
  • the barrier layer 4 can be removed by conventional polishing or RIE. After selectively removing the barrier layer 4 and planarizing/polishing the top surface of the substrate, the structure as illustrated in FIG. 3D is formed.
  • FIG. 3C iia illustrates a packaging structure having a conductive material 16 deposited in the cavities.
  • lead-tin solder alloy or other solderable alloys 16 may be selectively deposited into the cavities using electrodeposition, evaporation, or other known methods.
  • portions of the barrier layer 4 are removed by RIE, using the conductive material 16 as a mask, to form the structure in FIG. 3C iib.
  • different layers of conductive materials can be deposited in the cavities of the substrate after forming the structure illustrated in FIG. 3A .
  • FIGS. 3 Biia- 3 Biid illustrate a method for depositing one or more conductive materials in the cavities of a substrate.
  • a first conductive material 24 is deposited over the substrate for a period of, for example, 15-60 seconds at a current density of 5-35 mA/cm.sup.2 using a highly leveling electroplating solution to partially fill in the cavities.
  • the cavities can be partially filled using electroless or CVD methods.
  • the first conductive material 24 is generally filled to a level of 10 to 60% of the depth of the widest cavity on the substrate.
  • the seed layer 6 is embodied in the first conductive material 24 .
  • the top surface of the substrate may be planarized/polished, resulting in the structure of FIG. 3B iib.
  • the top surface of the substrate can be planarized using the pad type material 20 .
  • the substrate can be transferred to a CMP cell for polishing the top surface of the substrate.
  • a CMP pad having fixed abrasive particles and a polishing solution applied thereto is used to polish/rub against the substrate for a period of 3-60 seconds, but preferably between 5-30 seconds. It is important to note that the barrier layer 4 remains on the substrate and is not polished during this process.
  • a second conductive material 26 is deposited in the cavities over the first conductive material 24 , as illustrated in FIG. 3B iic.
  • Second conductive material 26 deposition may be performed using the anode 22 and the pad type material 20 or alternatively, in another deposition cell using electroless or CVD methods.
  • the first conductive material 24 may be deposited on the substrate using electro-deposition, while the second conductive material 26 may be deposited by electroless or CVD methods.
  • the second conductive material 26 can be planarized/polished using CMP or RIE to form the structure as illustrated in FIG. 3B iid.
  • FIGS. 3 Biia- 3 Biid illustrate one combination of steps that may be performed to filled the cavities with various conductive materials.
  • the following chronological steps may be performed: (1) depositing a first conductive material partially in the cavities and the field regions; (2) polishing the first conductive material from the field regions; (3) annealing the substrate; (4) selectively depositing a second conductive material in the cavities; and (5) polishing/planarizing the substrate.
  • the conductive materials can be deposited using the following sequence of steps: (1) depositing a first conductive material partially in the cavities and the field regions; (2) annealing the substrate; (3) polishing the first conductive material from the field regions; (4) selectively depositing a second conductive material in the cavities; and (5) polishing/planarizing the substrate.
  • steps (1) depositing a first conductive material partially in the cavities and the field regions; (2) annealing the substrate; (3) polishing the first conductive material from the field regions; (4) selectively depositing a second conductive material in the cavities; and (5) polishing/planarizing the substrate.
  • Other combination of the above steps may be implemented in the present invention.
  • the first and second conductive materials 24 , 26 may be the same or different material.
  • the first conductive material 24 may be Cu and the second conductive material 26 may be Cu—Sn, Cu—In, or other suitable copper alloys.
  • the second conductive material 26 should be a material that will enhance corrosion resistance and electromigration, while providing excellent adhesion to the first conductive material 24 and to other subsequently deposited materials that may be formed thereon.
  • the second conductive material 26 may have an electrical resistivity that is very similar to the first conductive material 24 , preferably within 90-200% of that of the first conductive material 24 .
  • first and second conductive materials 24 , 26 are the same material, a distinct boundary between them may not exist.
  • first and second conductive materials 24 , 26 are different, a distinct boundary between them may exist before any subsequent thermal process is performed.
  • the distinct boundary layer can be used so that intermixing between the first and second conductive materials 24 , 26 is discouraged.
  • a thin adhesive or barrier layer e.g., alpha Tantalum, chrome layer, CoP, WCOP
  • more than two conductive materials can be formed in the cavities of the substrate using the process disclosed herein.
  • a novel conductive solution i.e., conductive material 8 , 16 , 24 , 26
  • a conductive material such as copper can be deposited in the cavities of the substrate, while the same material is polished from the field regions of the substrate.
  • the conductive solution which may be acidic or alkaline, includes at least the following elements/compounds/sources: (1) source for metal ions; (2) source of current carriers; (3) source for chloride ions; (4) source for highly leveling bath additives; (5) metal oxidizing agents; (6) passivating agents; and (7) surfactants.
  • the conductive solution of the present invention contains a concentration of metal ions (i.e., Cu) from, for example, a sulfate, nitrate, or a pyrophosphate source.
  • the metal ion concentration should range from 1 ⁇ 2 to 40 g/L, but preferably between 2 to 25 g/L.
  • the conductive solution includes a source of current carriers besides the copper ions, which may include organic/inorganic acids and compounds such as sulfuric acid, phosphoric acid, acetic acid, butylacetic acid, propronic acid, butyric acid, ammonium sulfate, potassium hydroxide, tetra methyl, ammonium hydroxide, and the like.
  • the acid concentration should range from 0.05 to 18% by volume, but most preferably, between 0.2 to 15% by volume.
  • chloride ion concentration should range from 2-180 ppm, but preferably 10-170 ppm.
  • ammonium may be used, about 0.5 to 3 ml/L.
  • the fourth element in the conductive material is a source of a highly leveling bath additives and various combinations thereof.
  • These additives include commercial additives such as Cubath MD, Cubath ML and Cubath SC replenisher from Enthone-OMI, and/or Ultra fill additive A2001 and S2001 from Shipley.
  • Other additives such as copper wafer additives 200B and 2000C from Technic, Inc., various mercapto compounds such as 2-mercapto ethanesulfonic acid or salt, 2-mercapto benzothiazole, 2-0mercapto-5-benzimidazole sulfonic acid or salt, 2-mercapto benzimidazole, mercapto benzotriazole, tartaric acid or salt may also be used.
  • the additive concentration should range from 0.01 to 4% by volume, but preferably between 0.05 to 3% by volume.
  • Kupralume 501 and 502 additives manufactured from Alchem Corporation may be used.
  • the fifth element/compound of the conductive material includes a metal oxidizing agent such as organic and inorganic oxidizing agents. These agents may include inorganic and organic peroxides, persulfates, nitrates, nitrites, thiosulfates, salts of nitrobenzene sulfonates, and the like. What is important from this example is that any component of the oxidizing agent should not adversely affect the deposited material. Organic peroxides such as butopronoxyl, tert-butyl hydroperoxide, tert-butyl peroxide, butyl nitrite, etc. may also be used. The peroxides (i.e.
  • hydrogen peroxide may be stabilized using small amounts of phenol sulformates or primary diols (i.e., 1,4 butanediol).
  • concentration of the oxidizing agent may range from 0.1 to 60 g/L, but preferably between 0.2 to 40 g/L.
  • Other suitable oxidants may be used for the redox reactions.
  • the sixth element/compound of the conductive material is an agent that passivates or enhances the passivation of copper or other metal materials.
  • These agents may include benzotriazole, or combinations of benzotriazole with organic triazoles, such as benzotriazole-1-acetonitrid-e, benzotriazole-5-carboxylic acid, O-benzotriazole-1-yl-N,N′,N′-bis (tetramethylene) uronium hexafluoro phosphate and combinations thereof.
  • the concentration of the passivating agents should range from 0.0005M to 0.1M, but preferably between 0.001 M to 0.2 M.
  • the highly leveling additives and the corresponding inhibitors describe above may be used as passivating agents. What is important from this example is that the concentration of the passivating agent is adequate for the CMP process and is also below the threshold level that allows for conductive material deposition. Above this threshold level, hydrogen can be deposited at the cathode instead of the conductive
  • surfactants such as Duponol (Dupont Chem.) may be used, where the concentration of surfactant may range from 20 to 800 ppm, but most preferably between 40 to 600 ppm.
  • pentose sugar such as xylose, arabinose, etc., may be added for oxygen scavenging in the deposited conductive material at a concentration of 0.05 to 10 grams per liter. Water can also be used to balance the conductive solution described herein.
  • Such a conductive solution disclosed above allows for the simultaneous metal deposition within the cavities of a substrate while a pad type material removes all or most of the metal deposited over the field regions of the substrate.
  • a formulation used in a plate and polish apparatus eliminates or minimizes the large amount of metal overburden as described in FIG. 1B .
  • a uniform overburden of the conductive material may be desirable by controlling the deposition and polishing rates on the structure shown in FIG. 3A .
  • the conductive material 8 deposition and removal rates may be 10 mA/cm.sup.2.
  • the conductive material 8 begins to fill the cavities of the substrate while the same material is being polished from the field regions.
  • the deposition rate is increased slightly higher than the polishing rate such that a uniform overburden of the conductive material can be deposited on the substrate.
  • the deposition and polishing rates can be adjusted by changing the current density, rate of rotation, period of rotation, etc.
  • the current density can range from 5 to 30 mA/cm.sup.2 for a period of 10 to 90 seconds.
  • any uniform conductive material 8 overburden may be obtained as shown in FIG. 3B iii.
  • the methods disclosed herein reduce the number of steps and simplifies the process of fabricating chip interconnects and packages. Portions of the seed layer are selectively removed from the top surface of the substrate while other portions of the seed layer remain in the cavities. One or more conductive materials can then be deposited in the cavities where the seed layer remains.
  • the preferred method according to the present invention is to selectively remove the seed layer and deposit the conductive material in the cavities in one chamber/cell.
  • another method is to use a CMP apparatus and cell to first remove the seed layer and then to transfer the substrate to another different cell for deposition.
  • the seed layer can be selectively removed from the top surface of the substrate using electroless plating.
  • the electroless solution can emanate within the channels in the pad type material that is close proximity to an anode and the top surface of the substrate.
  • the cavities are selectively filled with the conductive material while the seed layer is being removed from the top surface of the substrate by the pad type material having abrasive particles.
  • the removal rate of the seed layer is about 2 to 100 times faster than the deposition rate of the conductive material in the cavities.
  • the substrate may be moved away from the pad type material, where the deposition process is performed, or alternatively, deposition is performed while maintaining physical contact between the top surface of the substrate and the pad type material.
  • the removal rate of the seed layer from the top surface of the substrate may be the same as the deposition rate of the conductive material in the cavities of the substrate. In this case, the seed layer on the top surface is removed while simultaneously depositing the conductive material in the cavities.
  • an initial alloy layer may be deposited in the cavities of the substrate while selectively removing the seed layer from the top surface of the substrate.
  • an electrolyte solution such as an alloy material may be deposited on the seed layer in the cavities to form an initial thin alloy layer.
  • the conductive material is copper
  • the thin alloy film containing indium, cadmium, tin, and the like can be used.
  • the substrate and/or the anode are de-energized so that the substrate may be spray rinsed.
  • the cavities in the substrate may be selectively filled with copper using a suitable source such as an electroless or electroplating bath.
  • Annealing may be allowed to occur at room temperature for over a period of three days or longer, or may be annealed in a suitable oven or tube furnace for faster annealing of 15 seconds to 2 hours.
  • the annealing temperature may range from 60.degree. to 450.degree. C. in an inert ambient such as nitrogen or in a reducing ambient, or even in a vacuum chamber.
  • the thin alloy layer in the cavities is used to enhance the mechanical, corrosion, and electro-migration properties of the chip interconnect.
  • the alloy layer is intermixed with the deposited conductive material in the cavities, where upon annealing the substrate, the alloy material enhances the structural properties of the chip interconnect.
  • FIGS. 4A-4E illustrate cross sectional views of a method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention.
  • the filled cavities as shown in FIG. 3C i may be selectively capped using a suitable barrier material.
  • a capping layer 32 such as CoP, NiP, WCoP, or combinations thereof can be formed on the copper material 8 using known methods, resulting in the structure as illustrated in FIG. 4A .
  • the capping layer 32 prevents conductive material oxidation, acts as a barrier layer, and enhances adhesion.
  • the barrier layer 4 formed on the top surface of the substrate may be selectively removed by RIE, as illustrated in FIG. 4B .
  • portions of the first dielectric material 2 may also be removed using RIE, resulting in the structure of FIG. 4C .
  • the depth of the cavities may range from 0.3 to 2.5 um.
  • the amount of the first dielectric material 2 removed may range from 10 to 120% of the cavity depth, but most preferably, between 30 to 95%. Enough dielectric material 2 remains in order to support the nearly free-standing cavities.
  • a second dielectric material 30 may be deposited by CVD or spin-on process over the substrate of FIG. 4C to form the structure of FIG. 4D .
  • the second dielectric material 30 is then planarized/etched to expose the capped layer 32 , as shown in FIG. 4E i.
  • the second dielectric material 30 may be a low or high dielectric material.
  • the second dielectric material 30 as shown in FIG. 4D may be patterned by lithographic methods.
  • the dielectric material 30 can then be etched using RIE to form additional cavities.
  • the seed layer is again selectively removed from the top surface of the second dielectric material 30 and a second conductive material 28 is deposited in the cavities of the second dielectric layer 30 , resulting in a structure of FIG. 4E ii.
  • FIGS. 5A-5F illustrate cross sectional views of another method for forming a multi-layered structure in accordance with the preferred embodiment of the present invention.
  • a through-mask deposition method either by CVD, electroless or electrodeposition may be used to deposit a second conductive material on the first conductive material.
  • FIG. 5A illustrates the structure of FIG. 3D .
  • FIG. 5B illustrates a photoresist material 50 that has been coated on the substrate, where portions of the photoresist 50 have been removed such that a second conductive material may be deposited on the first conductive material 8 .
  • the second conductive material 58 is deposited in those portions where the photoresist 50 have been removed as shown in FIG. 5C .
  • the first and second conductive layers may be the same or different materials.
  • the photoresist 50 and portions of the barrier layer 4 are removed using conventional methods, resulting in the structure of FIG. 5D .
  • the second conductive material 58 remains free standing.
  • the second conductive material 58 is then selectively capped by electroless deposition methods before removing portions of the barrier layer 4 and the first dielectric layer 2 .
  • portions of the barrier layer 4 may be removed together with portions of the dielectric layer 2 as described earlier herein to form the structure as illustrated in FIG. 5E .
  • the capped layer 60 coats the new structure of FIG. 5E with either a low or high dielectric material.
  • a second dielectric layer 70 may be formed and planarized to form the structure of FIG. 5F .
  • FIGS. 6A-6C illustrate another method for depositing a conductive material in the cavities of the substrate without depositing the same material on the top surface of the substrate. This is accomplished by insulating only the top surface of the substrate.
  • FIG. 6A illustrates a structure showing a SiO.sub.2 layer 2 having deposited thereon a barrier layer 4 .
  • the barrier layer 4 can be one of the well known materials such as Ta, W, or TaN as described earlier herein. These materials are known to form surface oxide layers that are uniform, which may be formed electrolitically by anodization.
  • the material of interest is dipped into an electrolyte solution and a positive voltage is applied thereto with respect to a cathode, which is also in contact with the electrolyte solution.
  • a surface oxide forms on the anodized material and the thickness of the surface oxide is dependent on the nature of the electrolyte solution and the applied voltage. Generally, higher anodization voltages lead to thicker surface oxide films.
  • a thin oxide layer 66 is formed on the barrier layer 4 only on the top surface of the substrate.
  • a conductive material such as copper can be used to fill the cavities by electroplating without the conductive material forming on the oxide layer 66 , thereby forming the structure as illustrated in FIG. 6C .
  • the structure of FIG. 6C is formed because the oxide layer 66 has a very high resistance to the conductive material, and thus the conductive material is formed in the cavities of the substrate.
  • the anodization should be performed such that there remains some appreciable barrier layer 4 directly underneath the oxide layer 66 . This is important because if all of the top surface barrier layer 4 is oxidized, electroplating the conductive material 68 into the cavities can not be carried out because no current would pass through the completely oxidized surface layers.
  • a thin seed layer (not shown) may be formed over the barrier layer 4 in the structure of FIG. 6A .
  • the seed layer on the top surface of the substrate would be dissolved during anodization and the barrier layer 4 would oxidize, thereby forming an the oxide layer 66 . Portions of the seed layer remain in the cavities over the barrier layer.
  • the cavities as illustrated in FIG. 6A need to be electrically isolated during anodization. Otherwise, anodization would affect the entire substrate, including the cavities and the top surface.
  • the isolation can be achieved through various means. For example, if the cavities are narrow and deep, the substrate can be lowered into the anodization electrolyte solution with the cavities facing downward. Gas/air trapped in the cavities can keep the electrolyte solution from reaching into the cavities and thus can act as an insulator.
  • an insulating material can be filled into the cavities before exposing the top surface of the substrate to the anodization electrolyte solution.
  • FIG. 7A illustrates a liquid chamber 74 having an electrolyte solution 70 contained therein.
  • an insulating solution 72 which is lighter in weight than the anodization electrolyte solution 70 and which does not mix with the electrolyte solution 70 is placed in the chamber 74 on top of the anodization electrolyte solution 70 .
  • the chamber 74 is raised such that the top surface of the substrate (the side having the open end of the cavities) first makes contact with the insulating solution 72 .
  • the cavities are first filled with the insulating solution 72 as the liquid chamber 74 is raised.
  • the remaining top surface of the substrate (portions outside the cavities) is anodized as the top surface of the substrate makes contact with the electrolyte solution 70 . Therefore, when anodization is performed on the top surface of the substrate, portions of the barrier layer 4 outside the cavities would form an oxide layer.
  • the insulating solution 72 in the cavities prevents the oxide layer from forming in the cavities.
  • FIGS. 7A-7C can also be employed to remove the seed layer from the top surface of a substrate.
  • the anodization electrolyte solution 70 would be replaced with an etching electrolyte solution that would touch the seed layer on the top surface of the substrate and chemically etch the seed layer.
  • the seed layer within the cavities would be protected by the insulating solution 72 .
  • the substrate can be removed from the chamber.
  • the insulating solution 72 can be removed from the cavities such that a conductive material can then be deposited in the cavities of the substrate.
  • FIGS. 8A-8F illustrate yet another embodiment of the present invention for depositing a conductive material in the cavities of a substrate.
  • FIG. 8A illustrates a dielectric layer 2 deposited thereon a barrier layer 4 and a seed layer 6 , similar to the structure as shown in FIG. 3A .
  • Cr chrome
  • the top surface of the substrate is then planarized using for example, an abrasive pad or solution, thereby removing the hard glossy layer 86 , the Cr layer 84 , and the seed layer 6 residing over the field regions.
  • the hard glossy layer 86 in the cavities of the substrate is then removed using for example, a dilute HF, resulting in the structure of FIG. 8E .
  • the remaining Cr layer within the cavities may be stripped away using an appropriate solvent as known in the art.
  • a conductive material 88 may be deposited in the cavities of the substrate via electroplating, electroless, and the like.
  • conductive material such as aluminum, iron, nickel, chromium, indium, lead, tin, lead-tin alloys, nonleaded solderable alloys, silver, zinc, cadmium, titanium, tungsten molybdenum, ruthenium, gold, paladium, cobalt, rhondium, platinum, their respective alloys and various combinations of above material with oxygen, nitrogen, hydrogen and phosphorous may be used in the present invention.

Abstract

The present invention relates to a method for fabricating high performance chip interconnects and packages by providing methods for depositing a conductive material in cavities of a substrate in a more efficient and time saving manner. This is accomplished by selectively removing portions of a seed layer from a top surface of a substrate and then depositing a conductive material in the cavities of the substrate, where portions of the seed layer remains in the cavities. Another method includes forming an oxide layer on the top surface of the substrate such that the conductive material can be deposited in the cavities without the material being formed on the top surface of the substrate. The present invention also discloses methods for forming multi-level interconnects and the corresponding structures.

Description

    REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of, and claims priority to, co-pending U.S. patent application Ser. No. 10/407,892, filed Apr. 4, 2003, which is a continuation of U.S. patent application Ser. No. 09/905,335, filed Jul. 13, 2001, now U.S. Pat. No. 6,905,588, which is a divisional of U.S. patent application Ser. No. 09/398,258, filed Sep. 17, 1999, now U.S. Pat. No. 6,355,153. The disclosures of all of the foregoing patents and applications are hereby incorporated by reference in their entireties.
  • FIELD OF THE INVENTION
  • The present invention relates to a method for fabricating high performance chip interconnects and packages. More particularly, the present invention is directed to a method for insitu-selectively removing portions of a seed layer from a top surface of a substrate while preventing removal of the seed layer from the cavities formed therein. Moreover, the present invention discloses methods for depositing a conductive material in cavities of a substrate.
  • BACKGROUND OF THE INVENTION
  • Depositing a metal/conductive material in cavities (trenches, holes, and vias) of a substrate or workpiece has important and broad application in the semiconductor and non-semiconductor industries. Conductive materials are deposited in cavities of the substrate to interconnect layers and components contained therein. In recent times, there is great interest in fabricating chips and devices with very high aspect ratio and sub micron level features (e.g., below 0.25 um). As a result, copper is the preferred conductive material of choice as it provides better conductivity and reliability than, for example, aluminum or aluminum alloys.
  • FIGS. 1A-1C illustrate one conventional method for depositing a conductive material in the cavities of a substrate (e.g., workpiece). FIG. 1A illustrates a cross sectional view of a substrate having various layers disposed thereon. This figure illustrates a silicon dioxide layer (SiO2) 2 (dielectric layer) having deposited thereon a barrier or adhesive layer 4 and a seed layer 6.
  • The dielectric layer 2 is generally etched with cavities before the barrier layer 4 and the seed layer 6 are deposited thereon. The cavities in the dielectric layer 2 are generally etched using a reactive ion etching (RIE) method. The barrier layer 4 may be tantalum (Ta), titanium (Ti), tungsten (W), titanium-tungsten (TiW), titanium nitride (TiN), Nb, CuWP, CoWP, or other materials or combinations thereof that are commonly used in this field. The barrier layer 4 is generally deposited on the dielectric layer 2 using any of the various sputtering methods, chemical vapor deposition (CVD), electro-deposition or electrolyte/electroless plating method. Thereafter, the seed layer 6 is deposited over the barrier layer 4. The seed layer 6 may be deposited on the barrier layer 4 again using various sputtering methods, CVD, or electroless deposition or combinations thereof. The seed layer 6 thickness, depending on the substrate topography, may vary from 20 to 4,000 Å.
  • After depositing the seed layer 6, a conductive material 8 (e.g., copper) is generally used to fill the cavities of the dielectric layer 2. This is illustrated in FIG. 1B. The conductive material 8 may be formed on the seed layer 6 by CVD, sputtering, electroless plating, electrodeposition, or combinations thereof. The conductive material 8 and the seed layer 6 are generally the same material. The cavities are typically overfilled with the conductive material 8 as shown.
  • Once the conductive material 8 is formed in the cavities of the substrate, the substrate is typically transferred to another equipment for polishing/planarizing the top surface of the substrate as illustrated in FIG. 1C. Typically, the substrate is planarized using a conventional chemical mechanical polishing (CMP) device. The conductive material 8 overburden can be removed using a conventional CMP method. Portions of the seed layer 6 and the barrier layer 4 on the top surface of the substrate are also polished to electrically isolate the various structures. The remaining seed layer 6 in the cavities is embodied in the conductive material 8 as illustrated in FIG. 1C.
  • Referring back to FIGS. 1A-1B, the depth 9 c of the cavities in the dielectric layer 2 can range from 0.2 to 5 um for interconnects and up to 50 um or more for packages. When depositing the conductive material 8 over the substrate, it is desirable to overfill the cavities to, for example, 50 to 200% of the depth 9 c in order to minimize defects in the wiring structure. For example, for the structure of FIG. 1A, assume that the depth 9 a is about 0.5 um and the width 9 b is about 10.0 um. Thus, the larger cavity includes the width 9 b of 10.0 um and a total depth of about 1.0 um (measured from the bottom of the cavity to the top of the substrate). To completely fill the larger cavity, a minimum depth of at least 1.0 um of the conductive material 8 must be deposited therein. Further, an additional amount of the conductive material 8 is overfilled in the larger cavity to make certain that the cavity is completely filled and to minimize wiring defects. Thus, the additional amount (i.e., 50%) of the conductive material 8 over the larger cavity should be at a depth 9 e of at least 0.5 um. In this case, when the depth 9 e is about 0.5 um, the conductive material 8 formed over the field regions will be at a depth 9 d of about 1.5 um. In other words, an overburden of at least 1.5 um of the conductive material 8 will be deposited over most of the field regions of the substrate while a smaller overburden of at least 0.5 um will be deposited over the larger cavities. Thus, the overburden of 0.5 to 1.5 um of the conductive material 8 will be deposited over the various features of the substrate.
  • The disparity of the conductive material 8 overburden across the substrate results in longer polishing time and higher costs using the conventional CMP process. Thus, there is a need for a deposition process that minimizes the amount of the conductive material 8 overburden across the substrate, as well as to minimize the disparity of the overburden depths on the surface of the substrate.
  • FIGS. 2A-2F illustrate another conventional method for depositing a conductive material in the cavities of a substrate. FIG. 2A illustrates a dielectric (SiO2) layer 2 that is etched with cavities and having a barrier layer 4 and a seed layer 6 deposited thereon, similar to the structure of FIG. 1A. Again, the cavities in the dielectric layer 2 are typically etched using an RIE method.
  • FIG. 2B illustrates a photoresist material 12 coated on top of the seed layer 6. Using a positive photoresist process, a mask (not shown) is used such that ultraviolet light is applied only to the photoresist material 12 that is formed in the cavities of the substrate. The photoresist that is exposed to the ultraviolet light (photoresist in the cavities) is degraded as the ultraviolet light breaks down the molecular structure of the photoresist. The degraded photoresist is then removed from the cavities of the substrate using an appropriate solvent or RIE method, resulting in the structure as illustrated in FIG. 2C. Although a positive photoresist process is described herein, a negative photoresist process can also be used to form the structure of FIG. 2C.
  • For substrates having large cavities of, for example, width 9 b greater than 2 um, photoresist removal from the cavities may require additional steps. For example, the photoresist material 12 in the cavities may interact with the seed layer 6 such that using a solvent to remove the photoresist material 12 may be inadequate. In this case, after applying the solvent for photoresist dissolution, the substrate is exposed to oxygen plasmas in order to ash away/strip off the remaining photoresist material 12 from the seed layer 6 in the cavities of the substrate.
  • When exposing a copper seed layer to oxygen plasma, copper oxides, copper sulfides, or copper-oxide-sulfide compounds may be formed on the copper seed layer, particularly when the photoresist material contains sulfur-bearing elements. These compounds that are formed on the copper seed layer is generally resistive to a conductive material, and should be removed before any conductive material is deposited on the seed layer. Thus, a second stripping process may be required to remove the oxides, sulfides, or oxide-sulfides.
  • In many wiring structures having submicron features, the copper seed layer in the cavities may be deposited to a thickness between 15 to 1000 Ao. In other cases, particularly when feature size is below 0.5 um and the aspect ratio is greater than 1.5, the copper seed layer in the cavities may be very thin or even discontinuous. In this case, applying the photoresist material on the discontinuous seed layer in the cavities may result in portions of the seed layer being consumed by the photoresist material, thereby resulting in a substrate having a large number of defects. In FIG. 2D, a conductive material 8 (e.g., copper) is deposited in the cavities from a suitable electroplating or electroless bath, while the remaining photoresist 12 prevents the conductive material 8 from being formed on the top surface of the substrate. After depositing the conductive material 8 in the cavities, the entire photoresist is removed using appropriate solvent or RIE, resulting in the structure of FIG. 2E. Thereafter, portions of the seed layer 6 and the barrier layer 4 on the top surface of the substrate are etched or polished using conventional methods (e.g., CMP, RIE, or combinations thereof), resulting in the structure illustrated in FIG. 2F.
  • Using an alternative conventional method, the photoresist material 12 and the seed layer 6 on the top surface of the substrate as shown in FIG. 2B may be removed using a CMP equipment and an abrasive slurry. Using this method, some abrasive particulates will be trapped in the cavities, where the abrasives become mechanically attached to the sidewalls of the cavities. When the abrasives are attached to the sidewalls of the cavities, they are often very difficult to remove, thereby resulting in substrates have various defects when the conductive material is deposited in the cavities.
  • The conventional methods described above for fabricating chip interconnects and packages require multiple steps and/or equipments. The time and effort needed during this phase of the fabrication process can be improved and simplified. Accordingly, there is a need for methods that can deposit a conductive material in the cavities of a substrate in a more efficient and effective manner. The present invention overcomes these and other disadvantages of prior art methods.
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a method that removes the seed layer from a top surface of a substrate while preventing or minimizing removal of the seed layer from the cavities of the substrate.
  • It is another object of the present invention to provide a method that deposits a conductive material in the cavities of a substrate after selectively removing the seed layer from the top surface of the substrate.
  • It is a further object of the present invention to provide a method that removes a seed layer from a top surface of a substrate using a pad type material while preventing removal of the seed layer from the cavities of the substrate.
  • It is yet another object of the present invention to provide a method that removes the seed layer from a top surface of a substrate while depositing a conductive material in the cavities of the substrate.
  • It is another object of the present invention to provide a method that reduces and minimizes the conductive material overburden across a substrate while depositing the conductive material in the cavities of the substrate.
  • It is yet another object of the present invention to provide a method that minimizes the disparity of the conductive material overburden across a substrate while depositing the conductive material in the cavities of the substrate.
  • It is a further object of the present invention to provide a method for forming a multi-layered structure having cavities with capped conductive materials.
  • It is yet a further object of the present invention to provide a method for depositing a conductive material in cavities of the substrate after forming an oxide layer on the top surface of the substrate.
  • The present invention discloses methods for depositing a conductive material in cavities of a substrate in a more efficient and time saving manner. One method according to the present invention includes selectively removing portions of a seed layer from a top surface of a substrate and then depositing a conductive material in the cavities of the substrate, where portions of the seed layer remains in the cavities. A pad type material that is attached to an anode is used to polish the seed layer from the top surface of the substrate. Another method includes forming an oxide layer on the top surface of the substrate such that the conductive material can be deposited in the cavities without the material being formed on the top surface of the substrate. The present invention also discloses the structures that are formed using the methods described herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other objects and advantages of the present invention will become apparent and more readily appreciated from the following detailed description of the presently preferred exemplary embodiments of the invention taken in conjunction with the accompanying drawings, of which:
  • FIGS. 1A-1C illustrate cross sectional views of one conventional method for depositing a conductive material in the cavities of a substrate;
  • FIGS. 2A-2F illustrate cross sectional views of another conventional method for depositing a conductive material in the cavities of a substrate;
  • FIGS. 3A-3D illustrate cross sectional views of methods for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention;
  • FIGS. 4A-4E illustrate cross sectional views of a method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention;
  • FIGS. 5A-5F illustrate cross sectional views of another method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention;
  • FIGS. 6A-6C illustrate cross sectional views of another method for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention;
  • FIGS. 7A-7C illustrate cross sectional views of a method for forming an insulating material in cavities of a substrate in accordance with the preferred embodiment of the present invention; and
  • FIGS. 8A-8F illustrate cross sectional views of yet another method for depositing a conductive material in the cavities of a substrate in accordance with the preferred embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The preferred embodiments of the present invention will now be described with reference to FIGS. 3-8, wherein like structures and materials are designated by like reference numerals throughout the various figures. The inventors of the present invention disclose herein methods for depositing a conductive material, preferably copper, in cavities of a substrate. The present invention can be used with any substrate or workpiece such as a wafer, flat panel, magnetic film head, integrated circuit, device, chip, and packaging substrate including lead-tin solder alloys, or lead free solderable alloys. Further, specific processing parameters provided herein are intended to be explanatory rather than limiting.
  • FIGS. 3A-3D illustrate cross sectional views of methods for fabricating chip interconnects and packages in accordance with the present invention. In other words, FIGS. 3A-3D disclose methods for depositing a material, preferably a conductive material/solution such as copper, in the cavities of a substrate. In another embodiment, the conductive material can be deposited in the cavities while selectively removing the seed layer from the top surface of the substrate.
  • The methods shown in FIGS. 3A-3D include the step depositing a conductive material in the cavities of the substrate using an apparatus having a pad type material attached to an anode. Such apparatus is described in greater detail in the co-pending U.S. application Ser. No. 09/373,681, filed Aug. 13, 1999, entitled “Method and Apparatus for Depositing and Controlling the Texture of A Thin Film”, commonly owned by the assignee of the present invention, the contents of which are expressly incorporated herein by reference.
  • FIG. 3A illustrates a dielectric or SiO.sub.2 layer 2 having deposited thereon a barrier or adhesive layer 4 and a seed layer 6, similar to that described above with reference to FIGS. 1A and 2A. Again, the top surface of the SiO.sub.2 layer 2 is patterned/etched with cavities before the barrier layer 4 and seed layer 6 are deposited thereon. Although SiO.sub.2 is presented herein as the dielectric layer 2, it is understood that other materials that are commonly used as the dielectric layer may be used in accordance with the present invention.
  • In FIG. 3Bi, a porous pad type material 20 with or without fixed abrasive particles (not shown) is used to selectively polish the seed layer 6 from the top surface (field regions) of the substrate. The pad type material 20 that is attached to an anode 22 may be rotated in a circular motion, vibrated, moved side to side or vertically and is brought into contact with the seed layer 6. The pad type material 20 and the anode 22 further include outlet channels 21 for channeling a conductive material/solution to the substrate. In the preferred embodiment, the anode 22, the pad type material 20, and the substrate may rotate between 50 to 2000 rpm, but preferably between 100 to 1200 rpm, during the selective removal of the seed layer 6. The seed layer 6 on the top surface of the substrate is polished when such operation occurs for 2 to 60 seconds, but preferably for about 5 to 25 seconds.
  • When such contact is made, the pad type material 20 polishes the seed layer 6 residing on the top surface of the substrate without removing the seed layer 6 in the cavities. During this removal step, the pad type material 20 makes contact with the seed layer 6 at a pressure that may range from 0.05 to 5 psi. Further, the conductive solution containing for example, copper, may emanate from the outlet channels 21 of the pad type material 20 and may be applied to the substrate at 0.01 to 5 gallons per minute (gpm), but preferably between 0.05 to 3 gpm. When an electric potential is applied between the anode 22 and the conducting substrate, a small amount of conductive film 14 may be deposited within the cavities of the substrate, while the pad type material 20 is selectively removing the seed layer 6 and the conductive solution from the top surface of the substrate. The purpose of applying the electrical potential between the anode 22 and the conducting substrate and generating an electric current is to avoid the dissolution of the seed layer 6 in the cavities during the process of polishing the top surface of the substrate. During this step, a substrate holder (not shown) that is capable of rotating in a circular motion, and moving side to side or vertically, guides the substrate in proper position/movement.
  • In an alternative embodiment, the conductive (protective or sacrificial) film 14 may be redissolved in an electrolyte solution to momentarily protect the original seed layer 6, while removing the conductive film from the field regions and before a copper conductive material is deposited in the cavities of the substrate. After removing the seed layer 6 from the field regions, the electrodes may be de-energized for a short period of time (i.e., 2-10 seconds) for the electrolyte solution to dissolve the protective or sacrificial film 14. Also, the substrate may be rendered anodic momentarily to improve the seed layer removal process from the field regions.
  • During the selective removal process of the seed layer 6 from the top surface of the substrate, an electrical current density between 0.05 to 15 mA/cm.sup.2, but preferably between 0.1 to 10 mA/cm.sup.2, (depending on the nature of the bath chemistry) is applied to the substrate. This electric current density range prevents seed layer 6 dissolution in the cavities and may allow a small amount of the conductive film 14 to be deposited thereon, as described above. It is important to note that during this process, the seed layer 6 on the top surface of the substrate is removed while the seed layer 6 within the cavities remains. The seed layer 6 remaining in the cavities of the substrate allows for a more efficient and effective deposition of a conductive material because it has a lower resistance than the barrier layer 4 to the conductive material.
  • After removing the seed layer 6 from the top surface of the substrate, the deposition current density may be increased to fill the cavities with the conductive material 8, resulting in the structure as shown in FIG. 3Ci. The conductive material 8 can be deposited in the cavities of the substrate since the remaining seed layer 6 in the cavities provides less resistance than the barrier layer 4 on top of the substrate. As a result, the conductive material 8 is more efficiently deposited on the seed layer 6 in the cavities than on the barrier layer 4 on the top surface of the substrate. In other words, the conductive material 8 will tend to form in the cavities of the substrate rather than on the barrier layer 4 on the top surface of the substrate. The conductive film 14 and the remaining seed layer 6 in the cavities are embodied in the conductive material 8.
  • The conductive material 8 can be deposited in the cavities using the anode 22 and the pad type material 20 via outlet channels 21. After polishing the seed layer 6 from the top surface of the substrate, the pad type material 20 can be positioned such that it is spaced apart from the top surface of the substrate between 1 micron to 2 millimeters. Electric current density can be increased to the anode 22 and the substrate between 5 to 250 mA/cm.sup.2, but preferably between 7 to 150 mA/cm.sup.2, in order to deposit the conductive material 8 in the cavities. Increasing the current density allows the conductive material 8 to fill the cavities of the substrate in a timely manner. The conducting barrier layer 4 is used to conduct the deposition current. Alternatively, the conductive material 8 can be deposited in the cavities while the pad type material 20 is actually making contact with the top surface of the substrate.
  • In an alternate embodiment, after selectively removing the seed layer 4, instead of electrodeposition, as described above, the cavities may be filled by electroless plating or selective metal CVD. In this case, the substrate is transferred to an electroless plating cell, and the conductive material is deposited accordingly.
  • Referring back to FIG. 3Ci, after depositing the conductive material 8 in the cavities, the barrier layer 4 can be removed by conventional polishing or RIE. After selectively removing the barrier layer 4 and planarizing/polishing the top surface of the substrate, the structure as illustrated in FIG. 3D is formed.
  • In an alternative embodiment, instead of depositing the conductive material as shown in FIG. 3Ci, the deposition time for depositing the conductive material may be increased, resulting in the structure as illustrated in FIG. 3Ciia. FIG. 3Ciia illustrates a packaging structure having a conductive material 16 deposited in the cavities. In packaging applications, lead-tin solder alloy or other solderable alloys 16 may be selectively deposited into the cavities using electrodeposition, evaporation, or other known methods. After the deposition step, portions of the barrier layer 4 are removed by RIE, using the conductive material 16 as a mask, to form the structure in FIG. 3Ciib.
  • In yet another embodiment of the present invention, different layers of conductive materials can be deposited in the cavities of the substrate after forming the structure illustrated in FIG. 3A.
  • For example, FIGS. 3Biia-3Biid illustrate a method for depositing one or more conductive materials in the cavities of a substrate. In FIG. 3Biia, using the anode 22 and the pad type material 20 (see FIG. 3Bi), a first conductive material 24 is deposited over the substrate for a period of, for example, 15-60 seconds at a current density of 5-35 mA/cm.sup.2 using a highly leveling electroplating solution to partially fill in the cavities. Alternatively, the cavities can be partially filled using electroless or CVD methods.
  • The first conductive material 24 is generally filled to a level of 10 to 60% of the depth of the widest cavity on the substrate. The seed layer 6 is embodied in the first conductive material 24. After the first conductive material 24 is deposited over the substrate, the top surface of the substrate may be planarized/polished, resulting in the structure of FIG. 3Biib. The top surface of the substrate can be planarized using the pad type material 20.
  • Alternatively, the substrate can be transferred to a CMP cell for polishing the top surface of the substrate. A CMP pad having fixed abrasive particles and a polishing solution applied thereto is used to polish/rub against the substrate for a period of 3-60 seconds, but preferably between 5-30 seconds. It is important to note that the barrier layer 4 remains on the substrate and is not polished during this process.
  • After polishing the first conductive material 24 overburden, a second conductive material 26 is deposited in the cavities over the first conductive material 24, as illustrated in FIG. 3Biic. Second conductive material 26 deposition may be performed using the anode 22 and the pad type material 20 or alternatively, in another deposition cell using electroless or CVD methods. For example, the first conductive material 24 may be deposited on the substrate using electro-deposition, while the second conductive material 26 may be deposited by electroless or CVD methods. After depositing the second conductive material 26 on the first conductive material 24, the second conductive material 26 can be planarized/polished using CMP or RIE to form the structure as illustrated in FIG. 3Biid.
  • The process described above with reference to FIGS. 3Biia-3Biid illustrate one combination of steps that may be performed to filled the cavities with various conductive materials. In another embodiment, the following chronological steps may be performed: (1) depositing a first conductive material partially in the cavities and the field regions; (2) polishing the first conductive material from the field regions; (3) annealing the substrate; (4) selectively depositing a second conductive material in the cavities; and (5) polishing/planarizing the substrate. Alternatively, the conductive materials can be deposited using the following sequence of steps: (1) depositing a first conductive material partially in the cavities and the field regions; (2) annealing the substrate; (3) polishing the first conductive material from the field regions; (4) selectively depositing a second conductive material in the cavities; and (5) polishing/planarizing the substrate. Other combination of the above steps may be implemented in the present invention.
  • In more detail, the first and second conductive materials 24, 26, may be the same or different material. For example, the first conductive material 24 may be Cu and the second conductive material 26 may be Cu—Sn, Cu—In, or other suitable copper alloys. Preferably, the second conductive material 26 should be a material that will enhance corrosion resistance and electromigration, while providing excellent adhesion to the first conductive material 24 and to other subsequently deposited materials that may be formed thereon. Further, the second conductive material 26 may have an electrical resistivity that is very similar to the first conductive material 24, preferably within 90-200% of that of the first conductive material 24.
  • When the first and second conductive materials 24, 26 are the same material, a distinct boundary between them may not exist. On the other hand, when the first and second conductive materials 24, 26 are different, a distinct boundary between them may exist before any subsequent thermal process is performed. The distinct boundary layer can be used so that intermixing between the first and second conductive materials 24, 26 is discouraged. For example, a thin adhesive or barrier layer (e.g., alpha Tantalum, chrome layer, CoP, WCOP) may be deposited in between the first and second conductive materials 24, 26 to prevent intermixing between the two materials when such intermixing is undesired. In other embodiments, more than two conductive materials can be formed in the cavities of the substrate using the process disclosed herein.
  • Referring back to the various methods described in FIGS. 3A-3D, the inventors of the present invention now disclose a novel conductive solution (i.e., conductive material 8, 16, 24, 26) that is suitable for electro-depositing a copper material in the cavities while polishing the copper material from the top surface of the substrate. Using this solution, a conductive material such as copper can be deposited in the cavities of the substrate, while the same material is polished from the field regions of the substrate. The conductive solution, which may be acidic or alkaline, includes at least the following elements/compounds/sources: (1) source for metal ions; (2) source of current carriers; (3) source for chloride ions; (4) source for highly leveling bath additives; (5) metal oxidizing agents; (6) passivating agents; and (7) surfactants.
  • First, the conductive solution of the present invention contains a concentration of metal ions (i.e., Cu) from, for example, a sulfate, nitrate, or a pyrophosphate source. The metal ion concentration should range from ½ to 40 g/L, but preferably between 2 to 25 g/L.
  • Second, the conductive solution includes a source of current carriers besides the copper ions, which may include organic/inorganic acids and compounds such as sulfuric acid, phosphoric acid, acetic acid, butylacetic acid, propronic acid, butyric acid, ammonium sulfate, potassium hydroxide, tetra methyl, ammonium hydroxide, and the like. The acid concentration should range from 0.05 to 18% by volume, but most preferably, between 0.2 to 15% by volume.
  • For acidic baths, a third source of chloride ions, either organic or inorganic, is also included in the conductive solution of the present invention. The chloride ion concentration should range from 2-180 ppm, but preferably 10-170 ppm. For alkaline baths, ammonium may be used, about 0.5 to 3 ml/L.
  • The fourth element in the conductive material is a source of a highly leveling bath additives and various combinations thereof. These additives include commercial additives such as Cubath MD, Cubath ML and Cubath SC replenisher from Enthone-OMI, and/or Ultra fill additive A2001 and S2001 from Shipley. Other additives such as copper wafer additives 200B and 2000C from Technic, Inc., various mercapto compounds such as 2-mercapto ethanesulfonic acid or salt, 2-mercapto benzothiazole, 2-0mercapto-5-benzimidazole sulfonic acid or salt, 2-mercapto benzimidazole, mercapto benzotriazole, tartaric acid or salt may also be used. The additive concentration should range from 0.01 to 4% by volume, but preferably between 0.05 to 3% by volume. For alkaline baths, Kupralume 501 and 502 additives manufactured from Alchem Corporation may be used.
  • The fifth element/compound of the conductive material includes a metal oxidizing agent such as organic and inorganic oxidizing agents. These agents may include inorganic and organic peroxides, persulfates, nitrates, nitrites, thiosulfates, salts of nitrobenzene sulfonates, and the like. What is important from this example is that any component of the oxidizing agent should not adversely affect the deposited material. Organic peroxides such as butopronoxyl, tert-butyl hydroperoxide, tert-butyl peroxide, butyl nitrite, etc. may also be used. The peroxides (i.e. hydrogen peroxide) may be stabilized using small amounts of phenol sulformates or primary diols (i.e., 1,4 butanediol). The concentration of the oxidizing agent may range from 0.1 to 60 g/L, but preferably between 0.2 to 40 g/L. Other suitable oxidants may be used for the redox reactions.
  • The sixth element/compound of the conductive material is an agent that passivates or enhances the passivation of copper or other metal materials. These agents may include benzotriazole, or combinations of benzotriazole with organic triazoles, such as benzotriazole-1-acetonitrid-e, benzotriazole-5-carboxylic acid, O-benzotriazole-1-yl-N,N′,N′-bis (tetramethylene) uronium hexafluoro phosphate and combinations thereof. The concentration of the passivating agents should range from 0.0005M to 0.1M, but preferably between 0.001 M to 0.2 M. Also, the highly leveling additives and the corresponding inhibitors describe above may be used as passivating agents. What is important from this example is that the concentration of the passivating agent is adequate for the CMP process and is also below the threshold level that allows for conductive material deposition. Above this threshold level, hydrogen can be deposited at the cathode instead of the conductive material.
  • Besides the passivating agent, surfactants such as Duponol (Dupont Chem.) may be used, where the concentration of surfactant may range from 20 to 800 ppm, but most preferably between 40 to 600 ppm. In addition, pentose sugar such as xylose, arabinose, etc., may be added for oxygen scavenging in the deposited conductive material at a concentration of 0.05 to 10 grams per liter. Water can also be used to balance the conductive solution described herein.
  • Such a conductive solution disclosed above allows for the simultaneous metal deposition within the cavities of a substrate while a pad type material removes all or most of the metal deposited over the field regions of the substrate. Such a formulation used in a plate and polish apparatus eliminates or minimizes the large amount of metal overburden as described in FIG. 1B.
  • In other applications, a uniform overburden of the conductive material may be desirable by controlling the deposition and polishing rates on the structure shown in FIG. 3A. For example, using a pad type material (i.e., pad 20 of FIG. 3Bi) and a plating and polishing electrolyte formulation, the conductive material 8 deposition and removal rates may be 10 mA/cm.sup.2. Thus, the conductive material 8 begins to fill the cavities of the substrate while the same material is being polished from the field regions. When the cavities are filled with the conductive material 8, the deposition rate is increased slightly higher than the polishing rate such that a uniform overburden of the conductive material can be deposited on the substrate. The deposition and polishing rates can be adjusted by changing the current density, rate of rotation, period of rotation, etc. For example, for depositing the uniform conductive material overburden, the current density can range from 5 to 30 mA/cm.sup.2 for a period of 10 to 90 seconds.
  • By depositing at a current density slightly higher than 10 mA/cm.sup.2 (i.e., 10.5 mA/cm), a thin continuous uniform overburden is formed over the entire top surface of the substrate. The depth of the overburden may range from 0.1-10000 A.sup.0, or even higher, depending on the desired structure. Thus, by varying the deposition rate and/or the polish rate, any uniform conductive material 8 overburden may be obtained as shown in FIG. 3Biii.
  • As can be appreciated, the methods disclosed herein reduce the number of steps and simplifies the process of fabricating chip interconnects and packages. Portions of the seed layer are selectively removed from the top surface of the substrate while other portions of the seed layer remain in the cavities. One or more conductive materials can then be deposited in the cavities where the seed layer remains.
  • As described above, the preferred method according to the present invention is to selectively remove the seed layer and deposit the conductive material in the cavities in one chamber/cell. However, another method is to use a CMP apparatus and cell to first remove the seed layer and then to transfer the substrate to another different cell for deposition.
  • In another embodiment, the seed layer can be selectively removed from the top surface of the substrate using electroless plating. The electroless solution can emanate within the channels in the pad type material that is close proximity to an anode and the top surface of the substrate. The cavities are selectively filled with the conductive material while the seed layer is being removed from the top surface of the substrate by the pad type material having abrasive particles.
  • One of the overriding condition in these processes is that the removal rate of the seed layer is about 2 to 100 times faster than the deposition rate of the conductive material in the cavities. Thus, in the case of electroless and electrodeposition, after the seed layer removal from the top surface of the substrate, the substrate may be moved away from the pad type material, where the deposition process is performed, or alternatively, deposition is performed while maintaining physical contact between the top surface of the substrate and the pad type material.
  • In other embodiments, the removal rate of the seed layer from the top surface of the substrate may be the same as the deposition rate of the conductive material in the cavities of the substrate. In this case, the seed layer on the top surface is removed while simultaneously depositing the conductive material in the cavities.
  • In yet another embodiment, an initial alloy layer may be deposited in the cavities of the substrate while selectively removing the seed layer from the top surface of the substrate. For example, during the initial stage of selectively removing the seed layer from the top surface of the substrate (FIG. 3B), an electrolyte solution such as an alloy material may be deposited on the seed layer in the cavities to form an initial thin alloy layer. In the case where the conductive material is copper, the thin alloy film containing indium, cadmium, tin, and the like can be used.
  • After selectively removing the seed layer and depositing the thin alloy layer (reference number 14 of FIG. 3B) in the cavities, the substrate and/or the anode are de-energized so that the substrate may be spray rinsed. After rinsing the substrate, the cavities in the substrate may be selectively filled with copper using a suitable source such as an electroless or electroplating bath.
  • For optimum interconnect performance, it is highly desirable to stabilize the structure by annealing the deposited copper. Annealing may be allowed to occur at room temperature for over a period of three days or longer, or may be annealed in a suitable oven or tube furnace for faster annealing of 15 seconds to 2 hours. The annealing temperature may range from 60.degree. to 450.degree. C. in an inert ambient such as nitrogen or in a reducing ambient, or even in a vacuum chamber.
  • The thin alloy layer in the cavities is used to enhance the mechanical, corrosion, and electro-migration properties of the chip interconnect. The alloy layer is intermixed with the deposited conductive material in the cavities, where upon annealing the substrate, the alloy material enhances the structural properties of the chip interconnect.
  • FIGS. 4A-4E illustrate cross sectional views of a method for forming a multi-layered structure having capped conductive materials in accordance with the preferred embodiment of the present invention. The filled cavities as shown in FIG. 3Ci may be selectively capped using a suitable barrier material. For example, a capping layer 32 such as CoP, NiP, WCoP, or combinations thereof can be formed on the copper material 8 using known methods, resulting in the structure as illustrated in FIG. 4A. The capping layer 32 prevents conductive material oxidation, acts as a barrier layer, and enhances adhesion.
  • After forming the capping layer 32 on top of the conductive material 8, the barrier layer 4 formed on the top surface of the substrate may be selectively removed by RIE, as illustrated in FIG. 4B. After removing the barrier layer 4 from the top surface of the substrate, portions of the first dielectric material 2 may also be removed using RIE, resulting in the structure of FIG. 4C. For example, in the case where the first dielectric material is SiO.sub.2, the depth of the cavities may range from 0.3 to 2.5 um. The amount of the first dielectric material 2 removed may range from 10 to 120% of the cavity depth, but most preferably, between 30 to 95%. Enough dielectric material 2 remains in order to support the nearly free-standing cavities.
  • Next, a second dielectric material 30 may be deposited by CVD or spin-on process over the substrate of FIG. 4C to form the structure of FIG. 4D. The second dielectric material 30 is then planarized/etched to expose the capped layer 32, as shown in FIG. 4Ei. The second dielectric material 30 may be a low or high dielectric material.
  • In another embodiment of the present invention, the second dielectric material 30 as shown in FIG. 4D may be patterned by lithographic methods. The dielectric material 30 can then be etched using RIE to form additional cavities. After barrier and seed layer depositions on the second dielectric material 30, the seed layer is again selectively removed from the top surface of the second dielectric material 30 and a second conductive material 28 is deposited in the cavities of the second dielectric layer 30, resulting in a structure of FIG. 4Eii.
  • FIGS. 5A-5F illustrate cross sectional views of another method for forming a multi-layered structure in accordance with the preferred embodiment of the present invention. A through-mask deposition method either by CVD, electroless or electrodeposition may be used to deposit a second conductive material on the first conductive material. FIG. 5A illustrates the structure of FIG. 3D.
  • FIG. 5B illustrates a photoresist material 50 that has been coated on the substrate, where portions of the photoresist 50 have been removed such that a second conductive material may be deposited on the first conductive material 8. The second conductive material 58 is deposited in those portions where the photoresist 50 have been removed as shown in FIG. 5C. The first and second conductive layers may be the same or different materials. The photoresist 50 and portions of the barrier layer 4 are removed using conventional methods, resulting in the structure of FIG. 5D. The second conductive material 58 remains free standing.
  • The second conductive material 58 is then selectively capped by electroless deposition methods before removing portions of the barrier layer 4 and the first dielectric layer 2. In this case, portions of the barrier layer 4 may be removed together with portions of the dielectric layer 2 as described earlier herein to form the structure as illustrated in FIG. 5E. The capped layer 60 coats the new structure of FIG. 5E with either a low or high dielectric material. Next, a second dielectric layer 70 may be formed and planarized to form the structure of FIG. 5F.
  • FIGS. 6A-6C illustrate another method for depositing a conductive material in the cavities of the substrate without depositing the same material on the top surface of the substrate. This is accomplished by insulating only the top surface of the substrate. For example, FIG. 6A illustrates a structure showing a SiO.sub.2 layer 2 having deposited thereon a barrier layer 4. The barrier layer 4 can be one of the well known materials such as Ta, W, or TaN as described earlier herein. These materials are known to form surface oxide layers that are uniform, which may be formed electrolitically by anodization.
  • Using the anodization technique, the material of interest is dipped into an electrolyte solution and a positive voltage is applied thereto with respect to a cathode, which is also in contact with the electrolyte solution. A surface oxide forms on the anodized material and the thickness of the surface oxide is dependent on the nature of the electrolyte solution and the applied voltage. Generally, higher anodization voltages lead to thicker surface oxide films. In FIG. 6B, after anodization, a thin oxide layer 66 is formed on the barrier layer 4 only on the top surface of the substrate. Once the thin oxide layer 66 is formed, a conductive material such as copper can be used to fill the cavities by electroplating without the conductive material forming on the oxide layer 66, thereby forming the structure as illustrated in FIG. 6C. The structure of FIG. 6C is formed because the oxide layer 66 has a very high resistance to the conductive material, and thus the conductive material is formed in the cavities of the substrate.
  • Referring back to FIG. 6B, the anodization should be performed such that there remains some appreciable barrier layer 4 directly underneath the oxide layer 66. This is important because if all of the top surface barrier layer 4 is oxidized, electroplating the conductive material 68 into the cavities can not be carried out because no current would pass through the completely oxidized surface layers.
  • In another embodiment, a thin seed layer (not shown) may be formed over the barrier layer 4 in the structure of FIG. 6A. In this case, the seed layer on the top surface of the substrate would be dissolved during anodization and the barrier layer 4 would oxidize, thereby forming an the oxide layer 66. Portions of the seed layer remain in the cavities over the barrier layer.
  • To fabricate the structure of FIG. 6C, the cavities as illustrated in FIG. 6A need to be electrically isolated during anodization. Otherwise, anodization would affect the entire substrate, including the cavities and the top surface. The isolation can be achieved through various means. For example, if the cavities are narrow and deep, the substrate can be lowered into the anodization electrolyte solution with the cavities facing downward. Gas/air trapped in the cavities can keep the electrolyte solution from reaching into the cavities and thus can act as an insulator.
  • Alternately, an insulating material can be filled into the cavities before exposing the top surface of the substrate to the anodization electrolyte solution. For example, FIG. 7A illustrates a liquid chamber 74 having an electrolyte solution 70 contained therein. In FIG. 7B, an insulating solution 72, which is lighter in weight than the anodization electrolyte solution 70 and which does not mix with the electrolyte solution 70 is placed in the chamber 74 on top of the anodization electrolyte solution 70. In FIG. 7C, the chamber 74 is raised such that the top surface of the substrate (the side having the open end of the cavities) first makes contact with the insulating solution 72. Using this technique, the cavities are first filled with the insulating solution 72 as the liquid chamber 74 is raised. As the chamber 74 is further raised, the remaining top surface of the substrate (portions outside the cavities) is anodized as the top surface of the substrate makes contact with the electrolyte solution 70. Therefore, when anodization is performed on the top surface of the substrate, portions of the barrier layer 4 outside the cavities would form an oxide layer. The insulating solution 72 in the cavities prevents the oxide layer from forming in the cavities.
  • It should be noted that the approach described in FIGS. 7A-7C can also be employed to remove the seed layer from the top surface of a substrate. In this case, there would be a barrier layer and a seed layer on the original substrate. The anodization electrolyte solution 70 would be replaced with an etching electrolyte solution that would touch the seed layer on the top surface of the substrate and chemically etch the seed layer. However, the seed layer within the cavities would be protected by the insulating solution 72. Once the seed layer at the top surface is etched away, the substrate can be removed from the chamber. The insulating solution 72 can be removed from the cavities such that a conductive material can then be deposited in the cavities of the substrate.
  • FIGS. 8A-8F illustrate yet another embodiment of the present invention for depositing a conductive material in the cavities of a substrate. FIG. 8A illustrates a dielectric layer 2 deposited thereon a barrier layer 4 and a seed layer 6, similar to the structure as shown in FIG. 3A.
  • In FIG. 8B, a sacrificial or protective layer 84 of, for example chrome (Cr), is deposited on the seed layer 6 at a thickness of 25-1000 A.sup.0. Thereafter, a hard glossy layer 86 made of SiO.sub.2, cross linked epoxies, UV cured, etc. is coated over the Cr layer 84, resulting in the structure of FIG. 8C.
  • In FIG. 8D, the top surface of the substrate is then planarized using for example, an abrasive pad or solution, thereby removing the hard glossy layer 86, the Cr layer 84, and the seed layer 6 residing over the field regions. The hard glossy layer 86 in the cavities of the substrate is then removed using for example, a dilute HF, resulting in the structure of FIG. 8E. The remaining Cr layer within the cavities may be stripped away using an appropriate solvent as known in the art. Thereafter, a conductive material 88 may be deposited in the cavities of the substrate via electroplating, electroless, and the like.
  • Along with using copper and its alloys as the conductive material, other conductive materials such as aluminum, iron, nickel, chromium, indium, lead, tin, lead-tin alloys, nonleaded solderable alloys, silver, zinc, cadmium, titanium, tungsten molybdenum, ruthenium, gold, paladium, cobalt, rhondium, platinum, their respective alloys and various combinations of above material with oxygen, nitrogen, hydrogen and phosphorous may be used in the present invention.
  • In the previous descriptions, numerous specific details are set forth, such as specific materials, structures, chemicals, processes, etc., to provide a thorough understanding of the present invention. However, as one having ordinary skill in the art would recognize, the present invention can be practiced without resorting to the details specifically set forth.
  • Although various preferred embodiments have been described in detail above, those skilled in the art will readily appreciate that many modifications of the exemplary embodiment are possible without materially departing from the novel teachings and advantages of this invention. Background of the Invention

Claims (25)

1. A method of forming an annealed overburden conductive layer with a planar upper surface on a substrate having a barrier layer and a seed layer formed thereon, the method comprising:
depositing a conductive material at a depositing rate in cavities of the substrate while simultaneously applying a pad to remove material at a removal rate from field regions of the substrate, the pad being attached to an anode, the field regions being between the cavities, wherein the depositing rate and the removal rate are substantially the same;
increasing the depositing rate above the removal rate after the cavities are completely filled with the conductive material;
forming an overburden conductive layer on the substrate with the conductive material, the overburden conductive layer having a substantially planar upper surface; and
annealing the overburden conductive layer.
2. The method of claim 1, wherein a thickness of the overburden conductive layer ranges from 0.1 to 10000 Å.
3. The method of claim 1, further comprising the step of processing the annealed overburden conductive layer using chemical mechanical polishing.
4. The method of claim 1, wherein the annealing is conducted at a temperature in the range of 60-450° C.
5. The method of claim 2, wherein the annealing is conducted at a temperature in the range of 60-450° C.
6. The method of claim 3, wherein the annealing is conducted at a temperature in the range of 60-450° C.
7. The method of claim 1, wherein the annealing is performed in one of an inert atmosphere, a reducing atmosphere, and a vacuum.
8. A method of forming an optimized planar conductive layer on a substrate having a surface and cavities formed in the surface, the surface and the cavities being lined with a conductive film, the method comprising:
electrodepositing a conductive material onto the surface and into the cavities to form a planar conductive layer that fills the cavities and extends on the surface; and
annealing the planar conductive layer to thereby form the optimized planar conductive layer on the substrate.
9. The method of claim 8, further comprising processing the optimized planar conductive layer using chemical mechanical polishing.
10. The method of claim 8, wherein a thickness of the planar conductive layer is in the range of 0.1 to 10000 Å.
11. The method of claim 8, wherein the annealing is conducted at a temperature in the range of 60-450° C.
12. The method of claim 9, wherein the annealing is conducted at a temperature in the range of 60-450° C.
13. The method of claim 10, wherein the annealing is conducted at a temperature in the range of 60-450° C.
14. The method of claim 8, wherein the annealing is performed in one of an inert atmosphere, a reducing atmosphere, and a vacuum.
15. The method of claim 8, wherein the electrodepositing is conducted while polishing the surface.
16. The method of claim 15, wherein the polishing is performed using a pad material.
17. A method of forming a planar layer on a substrate having a surface and cavities formed in the surface, the surface and the cavities being lined with a conductive film, the method comprising:
depositing a planar conductive layer on the surface and the cavities, wherein the planar conductive layer fills the cavities and extends on the surface; and
annealing the planar conductive layer to thereby form an optimized planar conductive layer on the substrate.
18. The method of claim 17, wherein depositing comprises electrodepositing a conductor on the surface and the cavities while polishing the surface.
19. The method of claim 17, further comprising processing the optimized planar conductive layer using chemical mechanical polishing.
20. The method of claim 17, wherein a thickness of the planar conductive layer is in the range of 0.1 to 10000 Å.
21. The method of claim 17, wherein the annealing is conducted at a temperature in the range of 60-450° C.
22. The method of claim 19, wherein the annealing is conducted at a temperature in the range of 60-450° C.
23. The method of claim 20, wherein the annealing is conducted at a temperature in the range of 60-450° C.
24. The method of claim 21, wherein the annealing is performed in one of an inert atmosphere, a reducing atmosphere, and a vacuum.
25. The method of claim 18, wherein the polishing is performed using a pad material.
US11/295,014 1999-09-17 2005-12-06 Chip interconnect and packaging deposition methods and structures Abandoned US20060070885A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/295,014 US20060070885A1 (en) 1999-09-17 2005-12-06 Chip interconnect and packaging deposition methods and structures

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/398,258 US6355153B1 (en) 1999-09-17 1999-09-17 Chip interconnect and packaging deposition methods and structures
US09/905,335 US6905588B2 (en) 1999-09-17 2001-07-13 Packaging deposition methods
US10/407,892 US7147766B2 (en) 1999-09-17 2003-04-04 Chip interconnect and packaging deposition methods and structures
US11/295,014 US20060070885A1 (en) 1999-09-17 2005-12-06 Chip interconnect and packaging deposition methods and structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/407,892 Continuation US7147766B2 (en) 1999-09-17 2003-04-04 Chip interconnect and packaging deposition methods and structures

Publications (1)

Publication Number Publication Date
US20060070885A1 true US20060070885A1 (en) 2006-04-06

Family

ID=23574665

Family Applications (4)

Application Number Title Priority Date Filing Date
US09/398,258 Expired - Lifetime US6355153B1 (en) 1999-09-17 1999-09-17 Chip interconnect and packaging deposition methods and structures
US09/905,335 Expired - Fee Related US6905588B2 (en) 1999-09-17 2001-07-13 Packaging deposition methods
US10/407,892 Expired - Lifetime US7147766B2 (en) 1999-09-17 2003-04-04 Chip interconnect and packaging deposition methods and structures
US11/295,014 Abandoned US20060070885A1 (en) 1999-09-17 2005-12-06 Chip interconnect and packaging deposition methods and structures

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/398,258 Expired - Lifetime US6355153B1 (en) 1999-09-17 1999-09-17 Chip interconnect and packaging deposition methods and structures
US09/905,335 Expired - Fee Related US6905588B2 (en) 1999-09-17 2001-07-13 Packaging deposition methods
US10/407,892 Expired - Lifetime US7147766B2 (en) 1999-09-17 2003-04-04 Chip interconnect and packaging deposition methods and structures

Country Status (8)

Country Link
US (4) US6355153B1 (en)
EP (1) EP1218937A2 (en)
JP (1) JP2003525523A (en)
KR (1) KR100802128B1 (en)
CN (1) CN1238891C (en)
AU (1) AU7484100A (en)
TW (1) TW464928B (en)
WO (1) WO2001020647A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008022316A2 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US20090277801A1 (en) * 2006-07-21 2009-11-12 Novellus Systems, Inc. Photoresist-free metal deposition
US20100224501A1 (en) * 2000-08-10 2010-09-09 Novellus Systems, Inc. Plating methods for low aspect ratio cavities
US20130014979A1 (en) * 2011-07-15 2013-01-17 Tessera, Inc. Connector Structures and Methods
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US20140084485A1 (en) * 2011-06-20 2014-03-27 Tessera, Inc. Reliable packaging and interconnect structures
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
WO2018005032A1 (en) * 2016-07-01 2018-01-04 Applied Materials, Inc. Methods of enhancing polymer adhesion to copper
US11032914B2 (en) * 2016-12-23 2021-06-08 Atotech Deutschland Gmbh Method of forming a solderable solder deposit on a contact pad

Families Citing this family (454)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US7192494B2 (en) * 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
JP4644954B2 (en) * 2000-03-09 2011-03-09 ソニー株式会社 Polishing equipment
US20020081842A1 (en) * 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
TW571005B (en) * 2000-06-29 2004-01-11 Ebara Corp Method and apparatus for forming copper interconnects, and polishing liquid and polishing method
US6632343B1 (en) * 2000-08-30 2003-10-14 Micron Technology, Inc. Method and apparatus for electrolytic plating of surface metals
WO2002023613A2 (en) * 2000-09-15 2002-03-21 Rodel Holdings, Inc. Metal cmp process with reduced dishing
US20020090484A1 (en) * 2000-10-20 2002-07-11 Shipley Company, L.L.C. Plating bath
JP4129971B2 (en) * 2000-12-01 2008-08-06 新光電気工業株式会社 Wiring board manufacturing method
US6896776B2 (en) * 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
TWI255871B (en) * 2000-12-20 2006-06-01 Learonal Japan Inc Electrolytic copper plating solution and process for electrolytic plating using the same
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) * 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US20060169597A1 (en) * 2001-03-14 2006-08-03 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) * 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US20030010645A1 (en) * 2001-06-14 2003-01-16 Mattson Technology, Inc. Barrier enhancement process for copper interconnects
US20020197492A1 (en) * 2001-06-25 2002-12-26 Ling Hao Selective plating on plastic components
US6455413B1 (en) * 2001-06-27 2002-09-24 Advanced Micro Devices, Inc. Pre-fill CMP and electroplating method for integrated circuits
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
WO2003036387A2 (en) * 2001-10-19 2003-05-01 Koninklijke Philips Electronics N.V. Method of forming a pattern of sub-micron broad features
US6455434B1 (en) * 2001-10-23 2002-09-24 International Business Machines Corporation Prevention of slurry build-up within wafer topography during polishing
US6645853B1 (en) * 2001-12-05 2003-11-11 Advanced Micro Devices, Inc. Interconnects with improved barrier layer adhesion
EP1322146A1 (en) * 2001-12-18 2003-06-25 Phoenix Precision Technology Corporation Method of electroplating solder bumps on an organic circuit board
US20070295611A1 (en) * 2001-12-21 2007-12-27 Liu Feng Q Method and composition for polishing a substrate
KR100444308B1 (en) * 2001-12-29 2004-08-16 주식회사 하이닉스반도체 Formation Method of Semiconductor Device
US20030146102A1 (en) * 2002-02-05 2003-08-07 Applied Materials, Inc. Method for forming copper interconnects
US6793797B2 (en) * 2002-03-26 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Method for integrating an electrodeposition and electro-mechanical polishing process
US20040072445A1 (en) * 2002-07-11 2004-04-15 Applied Materials, Inc. Effective method to improve surface finish in electrochemically assisted CMP
US6984301B2 (en) * 2002-07-18 2006-01-10 Micron Technology, Inc. Methods of forming capacitor constructions
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US20040145874A1 (en) * 2003-01-23 2004-07-29 Stephane Pinel Method, system, and apparatus for embedding circuits
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US6972081B2 (en) * 2003-02-05 2005-12-06 Xerox Corporation Fabrication of embedded vertical spiral inductor for multichip module (MCM) package
US7025861B2 (en) 2003-02-06 2006-04-11 Applied Materials Contact plating apparatus
US7390429B2 (en) * 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
JP2005082843A (en) * 2003-09-05 2005-03-31 Ebara Corp Electrolytic solution control method and control device
JP2005100540A (en) * 2003-09-25 2005-04-14 Fuji Electric Holdings Co Ltd Manufacturing method of master disk for magnetic transfer
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7390744B2 (en) * 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US9318378B2 (en) * 2004-08-21 2016-04-19 Globalfoundries Singapore Pte. Ltd. Slot designs in wide metal lines
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7084064B2 (en) * 2004-09-14 2006-08-01 Applied Materials, Inc. Full sequence metal and barrier layer electrochemical mechanical processing
US20060169674A1 (en) * 2005-01-28 2006-08-03 Daxin Mao Method and composition for polishing a substrate
WO2006081589A2 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Tungsten electroprocessing
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
CN100595892C (en) * 2005-04-14 2010-03-24 昭和电工株式会社 Polishing composition
US20060237799A1 (en) * 2005-04-21 2006-10-26 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US20060249395A1 (en) * 2005-05-05 2006-11-09 Applied Material, Inc. Process and composition for electrochemical mechanical polishing
US20060249394A1 (en) * 2005-05-05 2006-11-09 Applied Materials, Inc. Process and composition for electrochemical mechanical polishing
US20070014958A1 (en) * 2005-07-08 2007-01-18 Chaplin Ernest R Hanger labels, label assemblies and methods for forming the same
US7429529B2 (en) * 2005-08-05 2008-09-30 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
JP5301993B2 (en) * 2005-08-12 2013-09-25 モジュメタル エルエルシー Composition-modulated composite material and method for forming the same
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
TW200720493A (en) * 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
JP4797611B2 (en) * 2005-12-09 2011-10-19 富士通株式会社 Electroplating method, magnetic head coil manufacturing method, and semiconductor device manufacturing method
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US7622382B2 (en) * 2006-03-29 2009-11-24 Intel Corporation Filling narrow and high aspect ratio openings with electroless deposition
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US20070254485A1 (en) * 2006-04-28 2007-11-01 Daxin Mao Abrasive composition for electrochemical mechanical polishing
JP4509968B2 (en) * 2006-05-25 2010-07-21 株式会社荏原製作所 Plating equipment
US20070298607A1 (en) * 2006-06-23 2007-12-27 Andryushchenko Tatyana N Method for copper damascence fill for forming an interconnect
KR100744419B1 (en) * 2006-08-03 2007-07-30 동부일렉트로닉스 주식회사 Semiconductor device and method for fabricating thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
KR100871768B1 (en) * 2007-05-18 2008-12-05 주식회사 동부하이텍 Semiconductor device and method for manufacturing of boac/coa
FR2917894A1 (en) * 2007-06-22 2008-12-26 Commissariat Energie Atomique Catalyst locating method for microelectronic industry, involves filling opening with filling material after depositing catalyst on upper surface of layer, removing catalyst deposited on surface, and removing filling material
US7732922B2 (en) * 2008-01-07 2010-06-08 International Business Machines Corporation Simultaneous grain modulation for BEOL applications
US20090188805A1 (en) * 2008-01-25 2009-07-30 Government Of The United States Of America, As Represented By The Superconformal electrodeposition of nickel iron and cobalt magnetic alloys
US7951414B2 (en) * 2008-03-20 2011-05-31 Micron Technology, Inc. Methods of forming electrically conductive structures
US20090256217A1 (en) * 2008-04-14 2009-10-15 Lsi Logic Corporation Carbon nanotube memory cells having flat bottom electrode contact surface
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
CA2730252C (en) 2008-07-07 2018-06-12 Modumetal Llc Low stress property modulated materials and methods of their preparation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8242600B2 (en) * 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
CN102639758B (en) 2009-06-08 2016-05-18 莫杜美拓有限公司 For etch-proof electroplating nano laminated coating and covering
US20120103820A1 (en) * 2009-07-01 2012-05-03 Junnosuke Sekiguchi Electrolytic copper plating solution for filling for forming microwiring of copper for ulsi
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102484061B (en) 2009-09-02 2015-08-19 诺发系统有限公司 The isotropic etchant material consumption reduced and waste material produce
EP2596150B1 (en) 2010-07-22 2020-06-17 Modumetal, Inc. Material and process for electrochemical deposition of nanolaminated brass alloys
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101594723B1 (en) 2011-08-18 2016-02-16 애플 인크. Anodization and plating surface treatments
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9683305B2 (en) 2011-12-20 2017-06-20 Apple Inc. Metal surface and process for treating a metal surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
BR112015022235A2 (en) 2013-03-15 2017-07-18 Modumetal Inc nanolaminated coatings
EA201500948A1 (en) 2013-03-15 2016-03-31 Модьюметл, Инк. METHOD OF MANUFACTURING A PRODUCT AND A PRODUCT MANUFACTURED BY THE ABOVE INDICATED BY THE METHOD
WO2014145588A1 (en) 2013-03-15 2014-09-18 Modumetal, Inc. Nickel chromium nanolaminate coating having high hardness
EP2971266A4 (en) 2013-03-15 2017-03-01 Modumetal, Inc. A method and apparatus for continuously applying nanolaminate metal coatings
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EA201790643A1 (en) 2014-09-18 2017-08-31 Модьюметал, Инк. METHOD AND DEVICE FOR CONTINUOUS APPLICATION OF NANO-LAYERED METAL COATINGS
EP3194163A4 (en) 2014-09-18 2018-06-27 Modumetal, Inc. Methods of preparing articles by electrodeposition and additive manufacturing processes
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9859124B2 (en) * 2015-04-17 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd Method of manufacturing semiconductor device with recess
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
EA201990655A1 (en) 2016-09-08 2019-09-30 Модьюметал, Инк. METHODS FOR PRODUCING MULTI-LAYER COATINGS ON BILLETS AND THE PRODUCTS EXECUTED BY THEM
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018175975A1 (en) 2017-03-24 2018-09-27 Modumetal, Inc. Lift plungers with electrodeposited coatings, and systems and methods for producing the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CA3060619A1 (en) 2017-04-21 2018-10-25 Modumetal, Inc. Tubular articles with electrodeposited coatings, and systems and methods for producing the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10840205B2 (en) * 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
TWI672893B (en) * 2018-02-09 2019-09-21 建準電機工業股份有限公司 Motor and its motor casing
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
CN112272717B (en) 2018-04-27 2024-01-05 莫杜美拓有限公司 Apparatus, system, and method for producing multiple articles with nanolaminate coatings using rotation
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN110408981A (en) * 2019-09-11 2019-11-05 河南理工大学 A kind of plate bonding device of micro wiring
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
US11430753B2 (en) * 2020-07-08 2022-08-30 Raytheon Company Iterative formation of damascene interconnects
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
IT202100033113A1 (en) * 2021-12-30 2023-06-30 Nuovo Pignone Tecnologie Srl SYSTEM AND METHOD FOR MAKING NON-ELECTROLYTIC NICKEL PLATING

Citations (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2540602A (en) * 1946-07-03 1951-02-06 Lockheed Aircraft Corp Method and apparatus for the surface treatment of metals
US2708181A (en) * 1951-05-17 1955-05-10 Indiana Steel & Wire Company I Electroplating process
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
US3637468A (en) * 1968-04-29 1972-01-25 Dalic Sa Electrodes for electrolytic processes
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4024029A (en) * 1974-10-17 1977-05-17 National Research Development Corporation Electrodeposition
US4080513A (en) * 1975-11-03 1978-03-21 Metropolitan Circuits Incorporated Of California Molded circuit board substrate
US4315985A (en) * 1972-11-30 1982-02-16 International Business Machines Corporation Fine-line circuit fabrication and photoresist application therefor
US4339319A (en) * 1980-08-16 1982-07-13 Seiichiro Aigo Apparatus for plating semiconductor wafers
US4391684A (en) * 1980-07-17 1983-07-05 Rolls-Royce Limited Method of manufacture of an article having internal passages
US4430173A (en) * 1981-07-24 1984-02-07 Rhone-Poulenc Specialties Chimiques Additive composition, bath and process for acid copper electroplating
US4431501A (en) * 1980-08-05 1984-02-14 Outokumpu Oy Apparatus for electrolytic polishing
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5436504A (en) * 1990-05-07 1995-07-25 The Boeing Company Interconnect structures having tantalum/tantalum oxide layers
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5486282A (en) * 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5714707A (en) * 1996-05-13 1998-02-03 Talon Manufacturing Company, Inc. Process and apparatus for demilitarization of small caliber primed cartridge cases
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5772833A (en) * 1993-11-20 1998-06-30 Tokyo Electron Limited Plasma etching apparatus
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6063506A (en) * 1995-06-27 2000-05-16 International Business Machines Corporation Copper alloys for chip and package interconnections
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6210554B1 (en) * 1997-04-28 2001-04-03 Mitsubishi Denki Kabushiki Kaisha Method of plating semiconductor wafer and plated semiconductor wafer
US6217734B1 (en) * 1999-02-23 2001-04-17 International Business Machines Corporation Electroplating electrical contacts
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6245676B1 (en) * 1998-02-20 2001-06-12 Nec Corporation Method of electroplating copper interconnects
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6334937B1 (en) * 1998-12-31 2002-01-01 Semitool, Inc. Apparatus for high deposition rate solder electroplating on a microelectronic workpiece
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6353623B1 (en) * 1999-01-04 2002-03-05 Uniphase Telecommunications Products, Inc. Temperature-corrected wavelength monitoring and control apparatus
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6368484B1 (en) * 2000-05-09 2002-04-09 International Business Machines Corporation Selective plating process
US6375823B1 (en) * 1999-02-10 2002-04-23 Kabushiki Kaisha Toshiba Plating method and plating apparatus
US6395163B1 (en) * 1992-08-01 2002-05-28 Atotech Deutschland Gmbh Process for the electrolytic processing especially of flat items and arrangement for implementing the process
US6506103B1 (en) * 1999-07-23 2003-01-14 Riken ELID centerless grinding apparatus
US6521285B1 (en) * 1999-06-18 2003-02-18 International Business Machines Corporation Method for printing a catalyst on substrates for electroless deposition
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6537133B1 (en) * 1995-03-28 2003-03-25 Applied Materials, Inc. Method for in-situ endpoint detection for chemical mechanical polishing operations
US20030070930A1 (en) * 2000-03-17 2003-04-17 Homayoun Talieh Device providing electrical contact to the surface of a semiconductor workpiece during metal plating and method of providing such contact
US6582767B1 (en) * 1999-11-01 2003-06-24 Shin-Etsu Chemical Co., Ltd. Metal pattern forming method
US20030116440A1 (en) * 2001-12-21 2003-06-26 Texas Instruments Incorporated Electroplater and method
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6858121B2 (en) * 2000-08-10 2005-02-22 Nutool, Inc. Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US20050069645A1 (en) * 2003-05-01 2005-03-31 Johns Hopkins University Method of electrolytically depositing materials in a pattern directed by surfactant distribution
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US20050126919A1 (en) * 2003-11-07 2005-06-16 Makoto Kubota Plating method, plating apparatus and a method of forming fine circuit wiring
US20060006060A1 (en) * 2000-11-03 2006-01-12 Basol Bulent M Method and apparatus for processing a substrate with minimal edge exclusion
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US7182677B2 (en) * 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US7211174B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7211186B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US20070105377A1 (en) * 2003-10-20 2007-05-10 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US20080003485A1 (en) * 2006-06-30 2008-01-03 Ramkumar Krishnan Fuel cell having patterned solid proton conducting electrolytes
US20080102251A1 (en) * 2000-08-10 2008-05-01 Novellus Systems, Inc Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7531079B1 (en) * 1998-10-26 2009-05-12 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US7691250B2 (en) * 2005-04-29 2010-04-06 E.I. Du Pont De Nemours And Company Membrane-mediated electropolishing with topographically patterned membranes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR933812A (en) 1946-09-23 1948-05-03 Totalizing counter for the brand of card games and the like
DE2008664A1 (en) * 1970-02-25 1971-09-09 Licentia Gmbh Galvanically or chemically assisted mechanic
FR2276395A1 (en) 1974-06-25 1976-01-23 Lea Ronal Inc Cyanide free electroplating bath - containing phosphonate and oxidising agent to improve brightness
DE3364674D1 (en) * 1982-04-27 1986-08-28 Wellcome Found Tricyclic compounds, preparation, use and intermediates
US4610772A (en) * 1985-07-22 1986-09-09 The Carolinch Company Electrolytic plating apparatus
US5055425A (en) 1989-06-01 1991-10-08 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5174886A (en) 1991-02-22 1992-12-29 Mcgean-Rohco, Inc. High-throw acid copper plating using inert electrolyte
DE4324330C2 (en) * 1992-08-01 1994-11-17 Atotech Deutschland Gmbh Process for the electrolytic treatment of, in particular, flat items to be treated, and arrangement, in particular for carrying out this process
US5575206A (en) 1995-10-25 1996-11-19 Elexon Ltd. Screen printing apparatus with pallet registration
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2773262B1 (en) 1997-12-30 2000-03-10 Sgs Thomson Microelectronics METHOD FOR FORMING CONDUCTIVE ELEMENTS IN AN INTEGRATED CIRCUIT
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
US6162728A (en) * 1998-12-18 2000-12-19 Texas Instruments Incorporated Method to optimize copper chemical-mechanical polishing in a copper damascene interconnect process for integrated circuit applications
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
US6300250B1 (en) * 1999-08-09 2001-10-09 Taiwan Semiconductor Manufacturing Company Method of forming bumps for flip chip applications

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2540602A (en) * 1946-07-03 1951-02-06 Lockheed Aircraft Corp Method and apparatus for the surface treatment of metals
US2708181A (en) * 1951-05-17 1955-05-10 Indiana Steel & Wire Company I Electroplating process
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3328273A (en) * 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3637468A (en) * 1968-04-29 1972-01-25 Dalic Sa Electrodes for electrolytic processes
US3595089A (en) * 1969-09-23 1971-07-27 Frank J Jirik Rotary grain sampler device
US3959089A (en) * 1972-07-31 1976-05-25 Watts John Dawson Surface finishing and plating method
US4315985A (en) * 1972-11-30 1982-02-16 International Business Machines Corporation Fine-line circuit fabrication and photoresist application therefor
US4024029A (en) * 1974-10-17 1977-05-17 National Research Development Corporation Electrodeposition
US4080513A (en) * 1975-11-03 1978-03-21 Metropolitan Circuits Incorporated Of California Molded circuit board substrate
US4391684A (en) * 1980-07-17 1983-07-05 Rolls-Royce Limited Method of manufacture of an article having internal passages
US4431501A (en) * 1980-08-05 1984-02-14 Outokumpu Oy Apparatus for electrolytic polishing
US4339319A (en) * 1980-08-16 1982-07-13 Seiichiro Aigo Apparatus for plating semiconductor wafers
US4430173A (en) * 1981-07-24 1984-02-07 Rhone-Poulenc Specialties Chimiques Additive composition, bath and process for acid copper electroplating
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
US5024735A (en) * 1989-02-15 1991-06-18 Kadija Igor V Method and apparatus for manufacturing interconnects with fine lines and spacing
US5084071A (en) * 1989-03-07 1992-01-28 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
US5436504A (en) * 1990-05-07 1995-07-25 The Boeing Company Interconnect structures having tantalum/tantalum oxide layers
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US6395163B1 (en) * 1992-08-01 2002-05-28 Atotech Deutschland Gmbh Process for the electrolytic processing especially of flat items and arrangement for implementing the process
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5772833A (en) * 1993-11-20 1998-06-30 Tokyo Electron Limited Plasma etching apparatus
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5486282A (en) * 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US6537133B1 (en) * 1995-03-28 2003-03-25 Applied Materials, Inc. Method for in-situ endpoint detection for chemical mechanical polishing operations
US5516412A (en) * 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
US6063506A (en) * 1995-06-27 2000-05-16 International Business Machines Corporation Copper alloys for chip and package interconnections
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5863412A (en) * 1995-10-17 1999-01-26 Canon Kabushiki Kaisha Etching method and process for producing a semiconductor element using said etching method
US5762544A (en) * 1995-10-27 1998-06-09 Applied Materials, Inc. Carrier head design for a chemical mechanical polishing apparatus
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5714707A (en) * 1996-05-13 1998-02-03 Talon Manufacturing Company, Inc. Process and apparatus for demilitarization of small caliber primed cartridge cases
US5862605A (en) * 1996-05-24 1999-01-26 Ebara Corporation Vaporizer apparatus
US5723387A (en) * 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6210554B1 (en) * 1997-04-28 2001-04-03 Mitsubishi Denki Kabushiki Kaisha Method of plating semiconductor wafer and plated semiconductor wafer
US5922091A (en) * 1997-05-16 1999-07-13 National Science Council Of Republic Of China Chemical mechanical polishing slurry for metallic thin film
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6074546A (en) * 1997-08-21 2000-06-13 Rodel Holdings, Inc. Method for photoelectrochemical polishing of silicon wafers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6245676B1 (en) * 1998-02-20 2001-06-12 Nec Corporation Method of electroplating copper interconnects
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6187152B1 (en) * 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US7531079B1 (en) * 1998-10-26 2009-05-12 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene IC structures by selective agitation
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
US6676822B1 (en) * 1998-11-03 2004-01-13 Nutool, Inc. Method for electro chemical mechanical deposition
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6402925B2 (en) * 1998-11-03 2002-06-11 Nutool, Inc. Method and apparatus for electrochemical mechanical deposition
US6251236B1 (en) * 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US7341649B2 (en) * 1998-12-01 2008-03-11 Novellus Systems, Inc. Apparatus for electroprocessing a workpiece surface
US6334937B1 (en) * 1998-12-31 2002-01-01 Semitool, Inc. Apparatus for high deposition rate solder electroplating on a microelectronic workpiece
US6353623B1 (en) * 1999-01-04 2002-03-05 Uniphase Telecommunications Products, Inc. Temperature-corrected wavelength monitoring and control apparatus
US6375823B1 (en) * 1999-02-10 2002-04-23 Kabushiki Kaisha Toshiba Plating method and plating apparatus
US6217734B1 (en) * 1999-02-23 2001-04-17 International Business Machines Corporation Electroplating electrical contacts
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6251235B1 (en) * 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6521285B1 (en) * 1999-06-18 2003-02-18 International Business Machines Corporation Method for printing a catalyst on substrates for electroless deposition
US6506103B1 (en) * 1999-07-23 2003-01-14 Riken ELID centerless grinding apparatus
US6224737B1 (en) * 1999-08-19 2001-05-01 Taiwan Semiconductor Manufacturing Company Method for improvement of gap filling capability of electrochemical deposition of copper
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6905588B2 (en) * 1999-09-17 2005-06-14 Asm Nutool, Inc. Packaging deposition methods
US6756307B1 (en) * 1999-10-05 2004-06-29 Novellus Systems, Inc. Apparatus for electrically planarizing semiconductor wafers
US6582767B1 (en) * 1999-11-01 2003-06-24 Shin-Etsu Chemical Co., Ltd. Metal pattern forming method
US6354916B1 (en) * 2000-02-11 2002-03-12 Nu Tool Inc. Modified plating solution for plating and planarization and process utilizing same
US20030070930A1 (en) * 2000-03-17 2003-04-17 Homayoun Talieh Device providing electrical contact to the surface of a semiconductor workpiece during metal plating and method of providing such contact
US7329335B2 (en) * 2000-03-17 2008-02-12 Novellus Systems, Inc. Device providing electrical contact to the surface of a semiconductor workpiece during processing
US7491308B2 (en) * 2000-03-17 2009-02-17 Novellus Systems, Inc. Method of making rolling electrical contact to wafer front surface
US20060118425A1 (en) * 2000-04-19 2006-06-08 Basol Bulent M Process to minimize and/or eliminate conductive material coating over the top surface of a patterned substrate
US6368484B1 (en) * 2000-05-09 2002-04-09 International Business Machines Corporation Selective plating process
US6346479B1 (en) * 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US20080102251A1 (en) * 2000-08-10 2008-05-01 Novellus Systems, Inc Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6858121B2 (en) * 2000-08-10 2005-02-22 Nutool, Inc. Method and apparatus for filling low aspect ratio cavities with conductive material at high rate
US7517444B2 (en) * 2000-08-10 2009-04-14 Novellus Systems, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20060006060A1 (en) * 2000-11-03 2006-01-12 Basol Bulent M Method and apparatus for processing a substrate with minimal edge exclusion
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
US7211174B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7211186B2 (en) * 2001-01-17 2007-05-01 Novellus Systems, Inc. Method and system to provide electrical contacts for electrotreating processes
US7189647B2 (en) * 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US20030116440A1 (en) * 2001-12-21 2003-06-26 Texas Instruments Incorporated Electroplater and method
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US20050069645A1 (en) * 2003-05-01 2005-03-31 Johns Hopkins University Method of electrolytically depositing materials in a pattern directed by surfactant distribution
US20070105377A1 (en) * 2003-10-20 2007-05-10 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US20050126919A1 (en) * 2003-11-07 2005-06-16 Makoto Kubota Plating method, plating apparatus and a method of forming fine circuit wiring
US7182677B2 (en) * 2005-01-14 2007-02-27 Applied Materials, Inc. Chemical mechanical polishing pad for controlling polishing slurry distribution
US7691250B2 (en) * 2005-04-29 2010-04-06 E.I. Du Pont De Nemours And Company Membrane-mediated electropolishing with topographically patterned membranes
US20070141818A1 (en) * 2005-12-19 2007-06-21 Bulent Basol Method of depositing materials on full face of a wafer
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US20080003485A1 (en) * 2006-06-30 2008-01-03 Ramkumar Krishnan Fuel cell having patterned solid proton conducting electrolytes

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100224501A1 (en) * 2000-08-10 2010-09-09 Novellus Systems, Inc. Plating methods for low aspect ratio cavities
US8236160B2 (en) 2000-08-10 2012-08-07 Novellus Systems, Inc. Plating methods for low aspect ratio cavities
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20090280243A1 (en) * 2006-07-21 2009-11-12 Novellus Systems, Inc. Photoresist-free metal deposition
US7947163B2 (en) 2006-07-21 2011-05-24 Novellus Systems, Inc. Photoresist-free metal deposition
US20090277801A1 (en) * 2006-07-21 2009-11-12 Novellus Systems, Inc. Photoresist-free metal deposition
US20080041727A1 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
US20080067072A1 (en) * 2006-08-18 2008-03-20 Semitool, Inc. Method and system for depositing alloy composition
WO2008022316A2 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
WO2008022316A3 (en) * 2006-08-18 2008-04-03 Semitool Inc Method and system for depositing alloy composition
US9359683B2 (en) 2006-08-18 2016-06-07 Applied Materials, Inc. Method of forming metal and metal alloy features
US20080237048A1 (en) * 2007-03-30 2008-10-02 Ismail Emesh Method and apparatus for selective electrofilling of through-wafer vias
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US20140084485A1 (en) * 2011-06-20 2014-03-27 Tessera, Inc. Reliable packaging and interconnect structures
US8829680B2 (en) * 2011-06-20 2014-09-09 Tessera, Inc. Reliable packaging and interconnect structures
US10037940B2 (en) 2011-06-20 2018-07-31 Tessera, Inc. Reliable packaging and interconnect structures
US9385036B2 (en) 2011-06-20 2016-07-05 Invensas Corporation Reliable packaging and interconnect structures
US9711401B2 (en) 2011-06-20 2017-07-18 Tessera, Inc. Reliable packaging and interconnect structures
US9634412B2 (en) * 2011-07-15 2017-04-25 Tessera, Inc. Connector structures and methods
US20130014979A1 (en) * 2011-07-15 2013-01-17 Tessera, Inc. Connector Structures and Methods
US9548273B2 (en) 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
US9824974B2 (en) 2014-12-04 2017-11-21 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
WO2018005032A1 (en) * 2016-07-01 2018-01-04 Applied Materials, Inc. Methods of enhancing polymer adhesion to copper
US9922874B2 (en) 2016-07-01 2018-03-20 Applied Materials, Inc. Methods of enhancing polymer adhesion to copper
US11032914B2 (en) * 2016-12-23 2021-06-08 Atotech Deutschland Gmbh Method of forming a solderable solder deposit on a contact pad

Also Published As

Publication number Publication date
US7147766B2 (en) 2006-12-12
WO2001020647A2 (en) 2001-03-22
TW464928B (en) 2001-11-21
US20030164302A1 (en) 2003-09-04
WO2001020647A3 (en) 2002-01-17
JP2003525523A (en) 2003-08-26
CN1433572A (en) 2003-07-30
KR100802128B1 (en) 2008-02-11
EP1218937A2 (en) 2002-07-03
KR20020095159A (en) 2002-12-20
US6355153B1 (en) 2002-03-12
US20020033342A1 (en) 2002-03-21
AU7484100A (en) 2001-04-17
US6905588B2 (en) 2005-06-14
CN1238891C (en) 2006-01-25

Similar Documents

Publication Publication Date Title
US6905588B2 (en) Packaging deposition methods
US6867136B2 (en) Method for electrochemically processing a workpiece
US6518184B1 (en) Enhancement of an interconnect
US6977224B2 (en) Method of electroless introduction of interconnect structures
US6943112B2 (en) Defect-free thin and planar film processing
US7070687B2 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
US7456501B1 (en) Semiconductor structure having recess with conductive metal
US7476974B2 (en) Method to fabricate interconnect structures
JP2007194624A (en) Method for direct electroplating of copper on platable layer which is not copper
US7879218B1 (en) Deposit morphology of electroplated copper
US20040188260A1 (en) Method of plating a semiconductor structure
US20060199381A1 (en) Electro-chemical deposition apparatus and method of preventing cavities in an ecd copper film
KR100859899B1 (en) Electrochemical methods for polishing copper films on semiconductor substrates
US20060081477A1 (en) Method and apparatus for establishing additive differential on surfaces for preferential plating
EP1005078B1 (en) Process for forming a conductive structure
US20060219566A1 (en) Method for fabricating metal layer
US6797144B2 (en) Method for reducing surface defects in an electrodeposition process
EP1432016B1 (en) A plating-rinse-plating process for fabricating copper interconnects
US20040170753A1 (en) Electrochemical mechanical processing using low temperature process environment

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM NUTOOL, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:NUTOOL, INC.;REEL/FRAME:017497/0979

Effective date: 20040729

AS Assignment

Owner name: ASM NUTOOL, INC.,CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:NUTOOL, INC.;REEL/FRAME:017518/0555

Effective date: 20040729

Owner name: ASM NUTOOL, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:NUTOOL, INC.;REEL/FRAME:017518/0555

Effective date: 20040729

AS Assignment

Owner name: NOVELLUS SYSTEMS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASM NUTOOL, INC.;REEL/FRAME:019000/0080

Effective date: 20061204

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ASM NUTOOL, INC.;REEL/FRAME:019000/0080

Effective date: 20061204

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION