US20060145274A1 - NFETs using gate induced stress modulation - Google Patents

NFETs using gate induced stress modulation Download PDF

Info

Publication number
US20060145274A1
US20060145274A1 US11/365,502 US36550206A US2006145274A1 US 20060145274 A1 US20060145274 A1 US 20060145274A1 US 36550206 A US36550206 A US 36550206A US 2006145274 A1 US2006145274 A1 US 2006145274A1
Authority
US
United States
Prior art keywords
polysilicon
gate
type transistor
polysilicon layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/365,502
Inventor
Dureseti Chidambarrao
Omer Dokumaci
Oleg Gluschenkov
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/365,502 priority Critical patent/US20060145274A1/en
Publication of US20060145274A1 publication Critical patent/US20060145274A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Definitions

  • the invention generally relates to methods for manufacturing a semiconductor device with improved device performance, and more particularly to methods for manufacturing semiconductor devices which impose tensile and compressive stresses in the substrate of the device during device fabrication.
  • Mechanical stresses within a semiconductor device substrate can modulate device performance. That is, stresses within a semiconductor device are known to enhance semiconductor device characteristics. Thus, to improve the characteristics of a semiconductor device, tensile and/or compressive stresses are created in the channel of the n-type devices, e.g., NFETs and/or p-type devices, e.g., PFETs. However, the same stress component, either tensile stress or compressive stress, discriminatively affects the characteristics of an n-type device and a p-type device.
  • the stress components should be engineered and applied differently for NFETs and PFETs. That is, because the type of stress which is beneficial for the performance of an NFET is generally disadvantageous for the performance of the PFET. More particularly, when a device is in tension (in the direction of current flow in a planar device), the performance characteristics of the NFET are enhanced while the performance characteristics of the PFET are diminished. To selectively create tensile stress in an NFET and compressive stress in a PFET, distinctive processes and different combinations of materials are used.
  • the isolation region for the NFET device contain a first isolation material which applies a first type of mechanical stress on the NFET device in a longitudinal direction (parallel to the direction of current flow) and in a transverse direction (perpendicular to the direction of current flow). Further, a first isolation region and a second isolation region are provided for the PFET and each of the isolation regions of the PFET device applies a unique mechanical stress on the PFET device in the transverse and longitudinal direction.
  • liners on gate sidewalls have been proposed to selectively induce the appropriate strain in the channels of the FET devices (see Ootsuka et al., IEDM 2000, p. 575, for example).
  • the appropriate stress is applied closer to the device than the stress applied as a result of the trench isolation fill technique.
  • the stresses in the channel are relatively moderate (i.e., for example, about 200 to about 300 MPa), which provide approximately a 10% benefit in device performance.
  • this invention provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor by covering the p-type field effect transistor with a mask. A portion of a gate polysilicon of the n-type field effect transistor is oxidized such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor.
  • this invention separately provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by oxidizing a portion of a gate polysilicon of the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor, without creating additional tensile stresses in a channel of the p-type field effect transistor.
  • this invention separately provides an integrated circuit, including: a p-type transistor having a polysilicon layer and an n-type transistor having a polysilicon layer, wherein, after oxidation of the polysilicon layer of the n-type transistor, the polysilicon layer of the n-type transistor has an oxide edge with the shape of a vertical bird's beak.
  • FIG. 1 depicts desired stress states for PFETs and NFETs
  • FIGS. 2 ( a ) through 2 ( k ) depict a process for forming gate MOSFETs according to the invention
  • FIGS. 3 ( a ) through 3 ( g ) depict a portion of another process for forming gate MOSFETs according to the invention
  • FIG. 4 depicts stresses in a silicon structure after oxidation of the gate polysilicon according to the invention.
  • FIG. 5 depicts stresses in a silicon structure after etching of deposited oxide during oxidation of the gate polysilicon according to the invention.
  • the invention provides a method for fabricating devices with improved performance characteristics.
  • oxidation of the gate polysilicon is used to control the stresses in complimentary metal oxide semiconductor (CMOS) NFET devices such that their performances are enhanced without degrading the performance of the PFET devices.
  • CMOS complimentary metal oxide semiconductor
  • polysilicon of an NFET gate is oxidized while the polysilicon of a PFET gate is masked to prevent the polysilicon of the PFET from being oxidized.
  • the oxidation of NFET gates creates tensile stresses in the channels of the NFETs without creating tensile stresses in the channel of the PFETs.
  • large stresses of about 500 MPa to about 1000 MPa, for example, are formed in a channel of the NFET. In one implementation the stresses are about 700 MPa.
  • the charge mobility and drive current along the channels of the NFET devices are enhanced without diminishing the charge mobility and drive current along the channels of the PFET devices.
  • this invention provides the implementation of stresses which are self-aligned to the gate, whereas in the isolation induced stress structures, the stresses are not self aligned to the gate.
  • This invention also provides stress levels in the silicon under the gate which are much larger than the isolation-based or liner-based approaches.
  • the expansion of the oxide in the gate stack is relatively confined.
  • the gate channel is put under tension.
  • the gate is put under tension because oxide deposited thereon expands outwardly at the top. This results in compression in the top part of the isolation.
  • the channel receives large tensile stresses. These stress levels are on the order of about 500 to about 1000 MPa and these tensile stresses are beneficial to the NFET drive currents.
  • the PFETs are masked during oxidation of the NFETs so that the creation of tensile stresses from this oxidation step in the PFETs is substantially and/or completely prevented in order to not diminish the performance of the PFET.
  • the invention provides for tensile stresses along the channel of the NFETs without providing tensile stresses along the channels of the PFETs to improve the performance of the NFET devices without diminishing the performance of the PFET devices.
  • FIG. 1 illustrates desired stress states for improving the performance of PFETs and NFETs (see Wang et al, IEEE Trans. Electron Dev., v. 50, p. 529, 2003).
  • an NFET and a PFET are shown to have a source region, a gate region and a drain region.
  • the NFET and PFET are shown to have arrows extending outward from the active area to illustrate tensile stresses.
  • the arrows extending inward toward the PFET device are illustrative of compressive forces. More specifically, the outwardly extending arrows, shown extending from the NFET, illustrate a tensile stress that is desired in the transverse and longitudinal directions of the device.
  • each of the longitudinal or transverse stress components can be individually tailored to provide the performance enhancements for both devices (i.e., the NFET and the PFET).
  • FIGS. 2 ( a ) through 2 ( j ) depict a general exemplary process for forming the MOSFETs according to this invention.
  • FIGS. 2 ( a ) through 2 ( d ) explain processes that are known, and thus any known applicable processes may be used.
  • FIG. 2 ( a ) illustrates the structure after shallow trenches 5 (STI) are formed.
  • a SOI (silicon-on-insulator) wafer which has a stack of silicon 1 , buried oxide 2 , and a silicon layer 3 is used.
  • a thin ( ⁇ 50 ⁇ ) layer of silicon dioxide SiO 2 (pad oxide) (not shown) is grown on the silicon layer 3 , which is on the buried oxide layer 2 on the silicon substrate 1 , by reacting silicon and oxygen at high temperatures.
  • a thin layer (about 1000 ⁇ to about 2500 ⁇ ) of pad silicon nitride (Si 3 N 4 ) (not shown) is then deposited using chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • the patterned photoresist with a thickness of about 0.5 to about 1.0 microns is deposited, and the structure is exposed and developed to define the trench areas 5 .
  • the exposed SiO 2 and the Si 3 N 4 are etched using reactive ion etching (RIE).
  • RIE reactive ion etching
  • an oxygen plasma is used to burn off the photoresist layer.
  • a wet etch is used to remove the pad Si 3 N 4 and pad oxide.
  • an oxide layer is deposited to fill the trenches and the surface oxide is removed using chemical mechanical polishing (CMP). This completes the formation of STI as seen in FIG. 2 ( a ).
  • a sacrificial oxide (not shown) of about 50 ⁇ is grown on the silicon.
  • an n-well 10 and a p-well 12 are formed.
  • Patterned photoresist layers are used to successively form the n-well 10 (using, for example, multiple implants of Phosphorous ions) and the p-well 12 (using, for example, multiple implants of Boron ions).
  • the well implants 10 and 12 are then optionally annealed.
  • the sacrificial oxide layer is then removed using a wet HF solution, such that a clean silicon surface is left behind.
  • a gate oxide layer 14 of about 10 ⁇ to about 100 ⁇ is grown.
  • a polysilicon layer 16 is deposited using CVD to a thickness of about 500 ⁇ to about 1500 ⁇ to form the gate electrodes 18 and 20 shown in FIG. 2 ( c ).
  • Patterned photoresist layers (not shown) are used to define the gate electrodes. RIE is used to etch the exposed portions of the polysilicon layer 16 and the photoresist patterns are stripped away in order to complete formation of the gate stack of the n-type transistor 17 and the gate stack of the p-type transistor 19 .
  • FIG. 2 ( c ) shows the formed gate electrodes 18 and 20 .
  • a thin layer of oxide 15 is then grown on the remaining polysilicon.
  • Patterned photoresist layers (not shown), which are later removed, are used to successively tip (and halo countering doping implants) implant the n-type and p-type transistors.
  • a very shallow and low dose implant of arsenic ions for example, may be used to form the p-tip 22 (while a Boron implant, for example, may be used for halos).
  • a very shallow and low dose implant of BF 2 ions for example, may be used to form n-tip 24 (while an arsenic implant may, for example, be used for halos).
  • spacers 26 are formed by depositing a silicon nitride layer (not shown) using CVD to a thickness of about 100 ⁇ to about 1000 ⁇ and then etching the nitride from the regions other than the sidewalls of the gate. Patterned photoresist layers (not shown), which are removed prior to the next stage of the process, are used to successively create the source/drain regions of the transistors.
  • a shallow and high-dose of arsenic ions may be used to form the source/drain regions 28 while the p-type transistors are covered with the corresponding photoresist layer.
  • a shallow and high dose of BF 2 ions may be used to form the source/drain regions 30 while the n-type transistors are covered with the corresponding photoresist layer.
  • An anneal is then used to activate the implants.
  • the exposed oxide on the structure is then stripped by dipping the structure in HF in order to expose bare silicon in the source, gate and drain regions of the transistors.
  • metal or a low resistance material 32 is deposited to a thickness of about 30 ⁇ to about 200 ⁇ across the wafer surface in order to form silicide.
  • the silicide could be formed from reacting the underlying with any deposited metal such as Co, Hf, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr.
  • the deposited metal or low resistance material reacts with the silicon to form silicide.
  • the deposited metal remains unchanged. This process aligns the silicide to the exposed silicon and is called “self-aligned silicide” or salicide.
  • the unreacted metal is then removed using a wet etch while the formed silicide 34 remains, as shown in FIG. 2 ( e ).
  • an oxide fill followed by chemical mechanical polishing is used to planarize the surface.
  • CMP is used to make the oxide fill flat and such that the oxide fill is flushed with the top of the gates.
  • the silicide 34 on top of the polysilicon is removed using a selective etch.
  • the PFET devices of the structure shown in FIG. 2 ( g ) are masked, using a mask 38 .
  • the mask 38 may be, for example, a hard mask, such as for example, a mask made of nitride.
  • nitride for example, may be deposited on the silicon wafer and the nitride covering the polysilicon gates of the NFETs may be etched to expose the polysilicon gates of the NFETs.
  • the mask exposes the NFETs so that the additional silicide 34 on the gate polysilicon of the NFETs may be etched off from the gate polysilicon of the NFET, as shown in FIG. 2 ( g ).
  • the mask 38 covers the PFETs during oxidation of the NFETs, such that the gate polysilicon of the PFETs is not oxidized while oxide 40 is deposited on the gate polysilicon of the NFETs.
  • oxidation of the gate polysilicon of the NFETs results in the formation of a vertical bird's beak 44 in the edge of the polysilicon of the NFETs.
  • the oxidation of the gate of the NFETs creates large tensile stresses in the channel region of the NFETs. Since the PFETs are masked, with mask 38 , the polysilicon gates of the PFETs are not oxidized. Further, these tensile stresses increase electron mobility along the channel, and improve the performance of the NFETs.
  • the oxidation of the gate polysilicon of the NFETs should be a low temperature oxidation, such as, for example, high pressure oxidation, atomic oxidation or plasma oxidation.
  • the oxidation step should be performed at a low temperature, such as, 600° C. or less in order to prevent degradation of device characteristics, via, for example, deactivation or diffusion.
  • Low temperature oxidation should be used so that (a) the already created silicide on the source/drain regions does not agglomerate and/or change resistivity and (b) so that the extensions, and source and drain dopants do not diffuse and/or deactivate.
  • the oxidation should result in about a vertically formed bird's beak of about 20 ⁇ to about 100 ⁇ in width and height.
  • the vertical bird's beak 44 causes the base of the polysilicon to be wider than an uppermost surface of the polysilicon and the side edges of the polysilicon taper towards the uppermost surface thereof.
  • a portion of the gate stack 17 of the NFET comprises a portion of the polysilicon layer and a portion of the deposited oxide forming a vertical bird's beak 44 are present along a plane perpendicular to a plane of the base of the polysilicon.
  • Each vertical bird's beak 44 may have, for example, a width of about 20 ⁇ to about 100 ⁇ .
  • the oxide above the gate polysilicon of the NFETs is etched off while the vertical oxide bird's beak is still preserved.
  • the stresses created in the gate polysilicon of the NFETs are maintained even after removal of this oxide on top of the polysilicon as a result of the vertical bird's beak formed in the gate polysilicon of the NFETs because of the oxidation step, as shown in Figures and 5 .
  • the mask 38 has been removed.
  • silicide forming material 46 is deposited on the polysilicon gate of the NFETs after removing the mask 38 .
  • Material such as, for example, Co, HF, Mo, Ni, Pd 2 , Pt, Ta, Ti, W, and Zr may be used to form the silicide
  • material such as, for example, Co, HF, Mo, Ni, Pd 2 , Pt, Ta, Ti, W, and Zr is deposited on silicon, the silicon reacts with the material and silicide is formed.
  • the material for forming silicide may be deposited over the wafer via, for example, evaporation, sputtering, or CVD techniques.
  • the structure is heated to temperature of about 300° C. to about 700° C. to allow the deposited silicide material to react with the polysilicon.
  • silicide only forms in the regions where metal is in direct contact with silicon or polysilicon.
  • the remaining unreacted silicide material is then removed, for example, with a selective etch without damaging the formed silicide.
  • the standard middle of the line e.g. passivation and contact formation
  • back end of the line various interconnect metal, via, and interlevel dielectrics
  • a nitride cap may be provided on the gate polysilicon of the NFET instead of the metal or low-resistance material.
  • a nitride cap may be provided on the gate polysilicon of the NFET instead of the metal or low-resistance material.
  • spacers 26 are formed by depositing a silicon nitride layer using CVD to a thickness of about 100 ⁇ to about 1800 ⁇ and then etching the nitride from the regions other than the sidewalls of the gate.
  • the nitride cap 19 above the polysilicon of the NFET and PFET is retained.
  • silicide forming material 32 is deposited on the surface. As discussed above, a metal or a low resistance material 32 is deposited to a thickness of about 30 ⁇ to about 200 ⁇ across the wafer surface in order to form silicide 34 (see FIG. 3 ( f )). Silicide is formed in the source and drain regions of the transistors (i.e., where the silicide is in contact with the silicon) and the unreacted material is removed. As shown in FIG. 3 ( g ), an oxide fill 36 followed by chemical mechanical polishing is used to planarize the surface. CMP is used to make the oxide fill flat and such that the oxide fill is flushed with the top of the gates.
  • the nitride caps 19 are stripped off from the polysilicon gates of the transistors.
  • the PFET devices of the structure shown in FIG. 3 ( g ) are masked, using a mask 38 .
  • the description of FIGS. 2 ( g ) through 2 ( k ) applies for the remainder of the process.
  • FIG. 4 shows the stresses in the gate structure after oxidation of the gate polysilicon of the NFET.
  • the dashed lines represent tensile stress and the solid lines represent compressive stress.
  • tensile stresses are present in the channel area of the NFET.
  • tensile stresses of about 1 GPa and less are present in the channel area of the NFET.
  • FIG. 5 shows the stresses in the gate structure when the oxide above the gate polysilicon is etched in accordance with this invention. This etch is needed since the gate polysilicon has to be silicided for contact formation later. Similar to FIG. 4 , the dashed lines represent the tensile stress and the solid lines represent the compressive stress. As can be seen from FIG. 5 , the stresses in the NFET device is maintained even after etching of the oxide from above the gate polysilicon.
  • the tensile stresses in the NFET device is maintained even after etching of the oxide due to the formation of the vertical bird's beak in the gate polysilicon as a result of the oxidation of the gate polysilicon.
  • the desired stresses are tensile and add values of the order of 200 MPa and above.
  • the invention provides a method for providing tensile stresses along the longitudinal direction of the channel of NFET devices by oxidizing the polysilicon gate of the NFET devices after silicidation of the gate polysilicon.
  • this invention is readily applicable to bulk or layered SiGe substrates. It should also be understood that this invention may also be used with damascene gate structures, which have been proposed for use of high k dielectric gate oxides.

Abstract

A method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor by covering the p-type field effect transistor with a mask, and oxidizing a portion of a gate polysilicon of the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional application of U.S. application Ser. No. 10/667,601, which is incorporated herein by reference in its entirety.
  • FIELD OF INVENTION
  • The invention generally relates to methods for manufacturing a semiconductor device with improved device performance, and more particularly to methods for manufacturing semiconductor devices which impose tensile and compressive stresses in the substrate of the device during device fabrication.
  • BACKGROUND DESCRIPTION
  • Mechanical stresses within a semiconductor device substrate can modulate device performance. That is, stresses within a semiconductor device are known to enhance semiconductor device characteristics. Thus, to improve the characteristics of a semiconductor device, tensile and/or compressive stresses are created in the channel of the n-type devices, e.g., NFETs and/or p-type devices, e.g., PFETs. However, the same stress component, either tensile stress or compressive stress, discriminatively affects the characteristics of an n-type device and a p-type device.
  • In order to maximize the performance of both NFETs and PFETs within integrated circuit (IC) chips, the stress components should be engineered and applied differently for NFETs and PFETs. That is, because the type of stress which is beneficial for the performance of an NFET is generally disadvantageous for the performance of the PFET. More particularly, when a device is in tension (in the direction of current flow in a planar device), the performance characteristics of the NFET are enhanced while the performance characteristics of the PFET are diminished. To selectively create tensile stress in an NFET and compressive stress in a PFET, distinctive processes and different combinations of materials are used.
  • For example, a trench isolation structure has been proposed for forming the appropriate stresses in the NFETs and PFETs, respectively. When this method is used, the isolation region for the NFET device contain a first isolation material which applies a first type of mechanical stress on the NFET device in a longitudinal direction (parallel to the direction of current flow) and in a transverse direction (perpendicular to the direction of current flow). Further, a first isolation region and a second isolation region are provided for the PFET and each of the isolation regions of the PFET device applies a unique mechanical stress on the PFET device in the transverse and longitudinal direction.
  • Alternatively, liners on gate sidewalls, have been proposed to selectively induce the appropriate strain in the channels of the FET devices (see Ootsuka et al., IEDM 2000, p. 575, for example). By providing liners, the appropriate stress is applied closer to the device than the stress applied as a result of the trench isolation fill technique.
  • While these methods do provide structures that have tensile stresses being applied to the NFET device and compressive stresses being applied along the longitudinal direction of the PFET device, they may require additional materials and/or more complex processing, and thus, resulting in higher cost. In addition, in the methods described above, for example, the stresses in the channel are relatively moderate (i.e., for example, about 200 to about 300 MPa), which provide approximately a 10% benefit in device performance. Thus, it is desired to provide more cost-effective and simplified methods for creating stronger tensile and compressive stresses in the channels NFETs and PFETs, respectively. It is further desired to create larger tensile stresses in the channels of the NFETs than the tensile stresses created as a result of the known processes described above.
  • SUMMARY OF THE INVENTION
  • In a first aspect, this invention provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor by covering the p-type field effect transistor with a mask. A portion of a gate polysilicon of the n-type field effect transistor is oxidized such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor.
  • In a second aspect, this invention separately provides a method for manufacturing an integrated circuit comprising a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by oxidizing a portion of a gate polysilicon of the n-type field effect transistor, such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor, without creating additional tensile stresses in a channel of the p-type field effect transistor.
  • In a third aspect, this invention separately provides an integrated circuit, including: a p-type transistor having a polysilicon layer and an n-type transistor having a polysilicon layer, wherein, after oxidation of the polysilicon layer of the n-type transistor, the polysilicon layer of the n-type transistor has an oxide edge with the shape of a vertical bird's beak.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 depicts desired stress states for PFETs and NFETs;
  • FIGS. 2(a) through 2(k) depict a process for forming gate MOSFETs according to the invention;
  • FIGS. 3(a) through 3(g) depict a portion of another process for forming gate MOSFETs according to the invention;
  • FIG. 4 depicts stresses in a silicon structure after oxidation of the gate polysilicon according to the invention; and
  • FIG. 5 depicts stresses in a silicon structure after etching of deposited oxide during oxidation of the gate polysilicon according to the invention.
  • DETAILED DESCRIPTION OF AN EMBODIMENT OF THE INVENTION
  • The invention provides a method for fabricating devices with improved performance characteristics. In this invention, oxidation of the gate polysilicon is used to control the stresses in complimentary metal oxide semiconductor (CMOS) NFET devices such that their performances are enhanced without degrading the performance of the PFET devices.
  • In one aspect of the invention, polysilicon of an NFET gate is oxidized while the polysilicon of a PFET gate is masked to prevent the polysilicon of the PFET from being oxidized. By preventing the oxidation of the polysilicon of the PFET, degradation of hole mobility is prevented. In this aspect, the oxidation of NFET gates creates tensile stresses in the channels of the NFETs without creating tensile stresses in the channel of the PFETs. By oxidizing the gate polysilicon of the NFETs large stresses of about 500 MPa to about 1000 MPa, for example, are formed in a channel of the NFET. In one implementation the stresses are about 700 MPa. By providing tensile stresses to the channel of the NFET without providing tensile stresses in the channel of PFET, the charge mobility and drive current along the channels of the NFET devices are enhanced without diminishing the charge mobility and drive current along the channels of the PFET devices.
  • By implementing the stresses using a polysilicon over-etch, this invention provides the implementation of stresses which are self-aligned to the gate, whereas in the isolation induced stress structures, the stresses are not self aligned to the gate. This invention also provides stress levels in the silicon under the gate which are much larger than the isolation-based or liner-based approaches.
  • Also, when gates that are confined by oxide fill are used, the expansion of the oxide in the gate stack is relatively confined. Further, when a confined gate is subjected to oxidation, the gate channel is put under tension. The gate is put under tension because oxide deposited thereon expands outwardly at the top. This results in compression in the top part of the isolation. At the same time, by inducing bending stresses at the bottom part of the isolation, next to the polysilicon under tension, the channel receives large tensile stresses. These stress levels are on the order of about 500 to about 1000 MPa and these tensile stresses are beneficial to the NFET drive currents. In this invention, the PFETs are masked during oxidation of the NFETs so that the creation of tensile stresses from this oxidation step in the PFETs is substantially and/or completely prevented in order to not diminish the performance of the PFET. Thus, the invention provides for tensile stresses along the channel of the NFETs without providing tensile stresses along the channels of the PFETs to improve the performance of the NFET devices without diminishing the performance of the PFET devices.
  • FIG. 1 illustrates desired stress states for improving the performance of PFETs and NFETs (see Wang et al, IEEE Trans. Electron Dev., v. 50, p. 529, 2003). In FIG. 1, an NFET and a PFET are shown to have a source region, a gate region and a drain region. The NFET and PFET are shown to have arrows extending outward from the active area to illustrate tensile stresses. The arrows extending inward toward the PFET device are illustrative of compressive forces. More specifically, the outwardly extending arrows, shown extending from the NFET, illustrate a tensile stress that is desired in the transverse and longitudinal directions of the device. Similarly, the inwardly extending arrows, shown with relation to the PFET, illustrate a desired longitudinal compressive stress. The range of stresses needed to influence device drive currents is typically on the order of a few hundred MPa to a few GPa. The width and the length of the active area of each device is represented by “W” and “L”, respectively. It should be understood that each of the longitudinal or transverse stress components can be individually tailored to provide the performance enhancements for both devices (i.e., the NFET and the PFET).
  • FIGS. 2(a) through 2(j) depict a general exemplary process for forming the MOSFETs according to this invention. FIGS. 2(a) through 2(d) explain processes that are known, and thus any known applicable processes may be used. FIG. 2(a) illustrates the structure after shallow trenches 5 (STI) are formed. A SOI (silicon-on-insulator) wafer which has a stack of silicon 1, buried oxide 2, and a silicon layer 3 is used. Generally, to form the STI on SOI wafers, a thin (˜50 Å) layer of silicon dioxide SiO2 (pad oxide) (not shown) is grown on the silicon layer 3, which is on the buried oxide layer 2 on the silicon substrate 1, by reacting silicon and oxygen at high temperatures. A thin layer (about 1000 Å to about 2500 Å) of pad silicon nitride (Si3N4) (not shown) is then deposited using chemical vapor deposition (CVD). Next, the patterned photoresist with a thickness of about 0.5 to about 1.0 microns is deposited, and the structure is exposed and developed to define the trench areas 5. Next, the exposed SiO2 and the Si3N4 are etched using reactive ion etching (RIE). Next, an oxygen plasma is used to burn off the photoresist layer. A wet etch is used to remove the pad Si3N4 and pad oxide. Then, an oxide layer is deposited to fill the trenches and the surface oxide is removed using chemical mechanical polishing (CMP). This completes the formation of STI as seen in FIG. 2(a).
  • Next, a sacrificial oxide (not shown) of about 50 Å is grown on the silicon. Then, as shown in FIG. 2(b) an n-well 10 and a p-well 12 are formed. Patterned photoresist layers are used to successively form the n-well 10 (using, for example, multiple implants of Phosphorous ions) and the p-well 12 (using, for example, multiple implants of Boron ions). The well implants 10 and 12 are then optionally annealed. The sacrificial oxide layer is then removed using a wet HF solution, such that a clean silicon surface is left behind.
  • Next, as shown in FIG. 2(b), a gate oxide layer 14 of about 10 Å to about 100 Å is grown. On the gate oxide layer 14, a polysilicon layer 16 is deposited using CVD to a thickness of about 500 Å to about 1500 Å to form the gate electrodes 18 and 20 shown in FIG. 2(c). Patterned photoresist layers (not shown) are used to define the gate electrodes. RIE is used to etch the exposed portions of the polysilicon layer 16 and the photoresist patterns are stripped away in order to complete formation of the gate stack of the n-type transistor 17 and the gate stack of the p-type transistor 19.
  • FIG. 2(c) shows the formed gate electrodes 18 and 20. A thin layer of oxide 15 is then grown on the remaining polysilicon. Patterned photoresist layers (not shown), which are later removed, are used to successively tip (and halo countering doping implants) implant the n-type and p-type transistors. For n-type transistors, a very shallow and low dose implant of arsenic ions, for example, may be used to form the p-tip 22 (while a Boron implant, for example, may be used for halos). For p-type transistors, a very shallow and low dose implant of BF2 ions, for example, may be used to form n-tip 24 (while an arsenic implant may, for example, be used for halos).
  • Still referring to FIG. 2(c), spacers 26 are formed by depositing a silicon nitride layer (not shown) using CVD to a thickness of about 100 Å to about 1000 Å and then etching the nitride from the regions other than the sidewalls of the gate. Patterned photoresist layers (not shown), which are removed prior to the next stage of the process, are used to successively create the source/drain regions of the transistors.
  • In FIG. 2(d), for the n-type transistors, a shallow and high-dose of arsenic ions, for example, may be used to form the source/drain regions 28 while the p-type transistors are covered with the corresponding photoresist layer. For the p-type transistors, a shallow and high dose of BF2 ions, for example, may be used to form the source/drain regions 30 while the n-type transistors are covered with the corresponding photoresist layer. An anneal is then used to activate the implants. The exposed oxide on the structure is then stripped by dipping the structure in HF in order to expose bare silicon in the source, gate and drain regions of the transistors.
  • Still referring to FIG. 2(d), metal or a low resistance material 32 is deposited to a thickness of about 30 Å to about 200 Å across the wafer surface in order to form silicide. The silicide could be formed from reacting the underlying with any deposited metal such as Co, Hf, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr. In the regions, such as, the source, drain and gate regions, where the deposited metal is in contact with silicon, the deposited metal or low resistance material reacts with the silicon to form silicide. In the other regions (i.e., where the deposited metal is not in contact with silicon), the deposited metal remains unchanged. This process aligns the silicide to the exposed silicon and is called “self-aligned silicide” or salicide.
  • The unreacted metal is then removed using a wet etch while the formed silicide 34 remains, as shown in FIG. 2(e). As shown in FIG. 2(e), an oxide fill followed by chemical mechanical polishing is used to planarize the surface. CMP is used to make the oxide fill flat and such that the oxide fill is flushed with the top of the gates. Next, as shown in FIG. 2(f), the silicide 34 on top of the polysilicon is removed using a selective etch.
  • In methods according to the invention, the PFET devices of the structure shown in FIG. 2(g) are masked, using a mask 38. The mask 38 may be, for example, a hard mask, such as for example, a mask made of nitride. To form the mask 38, nitride, for example, may be deposited on the silicon wafer and the nitride covering the polysilicon gates of the NFETs may be etched to expose the polysilicon gates of the NFETs. The mask exposes the NFETs so that the additional silicide 34 on the gate polysilicon of the NFETs may be etched off from the gate polysilicon of the NFET, as shown in FIG. 2(g).
  • As shown in FIG. 2(h), the mask 38 covers the PFETs during oxidation of the NFETs, such that the gate polysilicon of the PFETs is not oxidized while oxide 40 is deposited on the gate polysilicon of the NFETs.
  • As also shown in FIG. 2(i), oxidation of the gate polysilicon of the NFETs results in the formation of a vertical bird's beak 44 in the edge of the polysilicon of the NFETs. The oxidation of the gate of the NFETs creates large tensile stresses in the channel region of the NFETs. Since the PFETs are masked, with mask 38, the polysilicon gates of the PFETs are not oxidized. Further, these tensile stresses increase electron mobility along the channel, and improve the performance of the NFETs. The oxidation of the gate polysilicon of the NFETs should be a low temperature oxidation, such as, for example, high pressure oxidation, atomic oxidation or plasma oxidation. The oxidation step should be performed at a low temperature, such as, 600° C. or less in order to prevent degradation of device characteristics, via, for example, deactivation or diffusion. Low temperature oxidation should be used so that (a) the already created silicide on the source/drain regions does not agglomerate and/or change resistivity and (b) so that the extensions, and source and drain dopants do not diffuse and/or deactivate. Typically, the oxidation should result in about a vertically formed bird's beak of about 20 Å to about 100 Å in width and height.
  • As shown in FIG. 2(i), the vertical bird's beak 44 causes the base of the polysilicon to be wider than an uppermost surface of the polysilicon and the side edges of the polysilicon taper towards the uppermost surface thereof. In addition, as shown in FIG. 2(i) in a region where the polysilicon tapers towards the uppermost surface, a portion of the gate stack 17 of the NFET comprises a portion of the polysilicon layer and a portion of the deposited oxide forming a vertical bird's beak 44 are present along a plane perpendicular to a plane of the base of the polysilicon. Each vertical bird's beak 44 may have, for example, a width of about 20 Å to about 100 Å.
  • As further shown in FIG. 2(j), the oxide above the gate polysilicon of the NFETs is etched off while the vertical oxide bird's beak is still preserved. The stresses created in the gate polysilicon of the NFETs are maintained even after removal of this oxide on top of the polysilicon as a result of the vertical bird's beak formed in the gate polysilicon of the NFETs because of the oxidation step, as shown in Figures and 5. As also shown in FIG. 2(j), the mask 38 has been removed.
  • Then, as shown in FIG. 2(k), silicide forming material 46 is deposited on the polysilicon gate of the NFETs after removing the mask 38. Material, such as, for example, Co, HF, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr may be used to form the silicide When material, such as, for example, Co, HF, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr is deposited on silicon, the silicon reacts with the material and silicide is formed. The material for forming silicide may be deposited over the wafer via, for example, evaporation, sputtering, or CVD techniques. Next, the structure is heated to temperature of about 300° C. to about 700° C. to allow the deposited silicide material to react with the polysilicon. During sintering, silicide only forms in the regions where metal is in direct contact with silicon or polysilicon. The remaining unreacted silicide material is then removed, for example, with a selective etch without damaging the formed silicide. After this, the standard middle of the line (e.g. passivation and contact formation) and back end of the line (various interconnect metal, via, and interlevel dielectrics) processes are performed.
  • In another embodiment of the invention, a nitride cap may be provided on the gate polysilicon of the NFET instead of the metal or low-resistance material. For ease of discussion, the portions of the process which are the same as the processes described with regard to FIGS. 2(a)-2(k) will not be repeated below. In this embodiment, the description of the structure illustrated in FIGS. 2(a) and 2(b) applies to the structure illustrated in FIGS. 3(a) and 3(b). Then, as shown in FIG. 3(c), a nitride layer 17 is deposited on the surface. As shown in FIG. 3(d), spacers 26 are formed by depositing a silicon nitride layer using CVD to a thickness of about 100 Å to about 1800 Å and then etching the nitride from the regions other than the sidewalls of the gate. The nitride cap 19, above the polysilicon of the NFET and PFET is retained.
  • As shown in FIG. 3(e), silicide forming material 32 is deposited on the surface. As discussed above, a metal or a low resistance material 32 is deposited to a thickness of about 30 Å to about 200 Å across the wafer surface in order to form silicide 34 (see FIG. 3(f)). Silicide is formed in the source and drain regions of the transistors (i.e., where the silicide is in contact with the silicon) and the unreacted material is removed. As shown in FIG. 3(g), an oxide fill 36 followed by chemical mechanical polishing is used to planarize the surface. CMP is used to make the oxide fill flat and such that the oxide fill is flushed with the top of the gates.
  • Next, in this embodiment, the nitride caps 19 are stripped off from the polysilicon gates of the transistors. In methods according to the invention, the PFET devices of the structure shown in FIG. 3(g) are masked, using a mask 38. The description of FIGS. 2(g) through 2(k) applies for the remainder of the process.
  • FIG. 4 shows the stresses in the gate structure after oxidation of the gate polysilicon of the NFET. The dashed lines represent tensile stress and the solid lines represent compressive stress. As can be seen from FIG. 4, tensile stresses are present in the channel area of the NFET. In structure illustrated in FIG. 4, tensile stresses of about 1 GPa and less are present in the channel area of the NFET.
  • FIG. 5 shows the stresses in the gate structure when the oxide above the gate polysilicon is etched in accordance with this invention. This etch is needed since the gate polysilicon has to be silicided for contact formation later. Similar to FIG. 4, the dashed lines represent the tensile stress and the solid lines represent the compressive stress. As can be seen from FIG. 5, the stresses in the NFET device is maintained even after etching of the oxide from above the gate polysilicon.
  • The tensile stresses in the NFET device is maintained even after etching of the oxide due to the formation of the vertical bird's beak in the gate polysilicon as a result of the oxidation of the gate polysilicon. The desired stresses are tensile and add values of the order of 200 MPa and above.
  • By providing tensile stresses to the channel of the NFET and without creating additional tensile stresses in the channel of the PFETs, the charge mobility along the channels of NFET devices is enhanced while the hole mobility along the channels of the PFET devices is maintained. Thus, as described above, the invention provides a method for providing tensile stresses along the longitudinal direction of the channel of NFET devices by oxidizing the polysilicon gate of the NFET devices after silicidation of the gate polysilicon.
  • It should be understood that this invention is readily applicable to bulk or layered SiGe substrates. It should also be understood that this invention may also be used with damascene gate structures, which have been proposed for use of high k dielectric gate oxides.
  • While the invention has been described in terms of embodiments, those skilled in the art will recognize that the invention can be practiced with modification within the spirit and scope of the appended claims.

Claims (8)

1. An integrated circuit, comprising:
a p-type transistor having a polysilicon layer; and
an n-type transistor having a polysilicon layer, wherein, after oxidation of the polysilicon layer of the n-type transistor, the polysilicon layer of the n-type transistor has an oxide edge with the shape of a vertical bird's beak.
2. The device of claim 1, wherein the vertical bird's beak has a width and height of about 20 Å to about 100 Å.
3. The device of claim 2, wherein the polysilicon layer is a gate which has a base which is wider than an uppermost surface thereof and side edges taper towards the uppermost surface thereof.
4. The device of claim 3, wherein in a region where the polysilicon layer tapers towards an uppermost surface, at least a portion of the polysilicon layer and a portion of an oxide layer are present along a plane perpendicular to a plane of the base of the polysilicon layer.
5. The device of claim 1, wherein the bird's beak is formed between the polysilicon layer of the n-type transistor and a spacer of the n-type transistor.
6. The device of claim 1, wherein a fist oxide is formed above the polysilicon layer and between a side of the polysilicon layer and a space of the n-type transistor.
7. The device of claim 6, wherein a deposited silicide on at least a portion of the polysilicon layer of the n-type field effect transistor comprises at least one of Co, HF, Mo, Ni, Pd2, Pt, Ta, Ti, W, and Zr.
8. An integrated circuit, comprising:
a p-type transistor having a polysilicon layer; and
an n-type transistor having a polysilicon gate, wherein, after oxidation of the polysilicon gate of the n-type transistor, the polysilicon gate of the n-type transistor has an oxide edge with the shape of a vertical bird's beak which tapers towards an uppermost surface, at least a portion of the polysilicon gate and a portion of an oxide layer are present along a plane perpendicular to a plane of the base of the polysilicon gate and the bird's beak is formed between the polysilicon gate of the n-type transistor and a spacer of the n-type transistor.
US11/365,502 2003-09-23 2006-03-02 NFETs using gate induced stress modulation Abandoned US20060145274A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/365,502 US20060145274A1 (en) 2003-09-23 2006-03-02 NFETs using gate induced stress modulation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/667,601 US7144767B2 (en) 2003-09-23 2003-09-23 NFETs using gate induced stress modulation
US11/365,502 US20060145274A1 (en) 2003-09-23 2006-03-02 NFETs using gate induced stress modulation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/667,601 Division US7144767B2 (en) 2003-09-23 2003-09-23 NFETs using gate induced stress modulation

Publications (1)

Publication Number Publication Date
US20060145274A1 true US20060145274A1 (en) 2006-07-06

Family

ID=34313338

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/667,601 Expired - Fee Related US7144767B2 (en) 2003-09-23 2003-09-23 NFETs using gate induced stress modulation
US11/365,502 Abandoned US20060145274A1 (en) 2003-09-23 2006-03-02 NFETs using gate induced stress modulation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/667,601 Expired - Fee Related US7144767B2 (en) 2003-09-23 2003-09-23 NFETs using gate induced stress modulation

Country Status (1)

Country Link
US (2) US7144767B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080299717A1 (en) * 2007-05-31 2008-12-04 Winstead Brian A Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US20130280901A1 (en) * 2010-06-18 2013-10-24 International Business Machines Corporation Interface-free metal gate stack
US20140027782A1 (en) * 2012-07-30 2014-01-30 General Electric Company Semiconductor device and method for reduced bias temperature instability (bti) in silicon carbide devices
US8937349B2 (en) * 2009-12-28 2015-01-20 Sony Corporation Semiconductor component and manufacturing method thereof
US9287252B2 (en) * 2011-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mismatch reduction
US9431287B2 (en) * 2012-12-13 2016-08-30 Macronix International Co., Ltd. Chemical mechanical planarization process and structures

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927117B2 (en) * 2003-12-02 2005-08-09 International Business Machines Corporation Method for integration of silicide contacts and silicide gate metals
US7125759B2 (en) * 2005-03-23 2006-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor-on-insulator (SOI) strained active areas
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
US8153537B1 (en) * 2005-12-15 2012-04-10 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US7276417B2 (en) * 2005-12-28 2007-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid STI stressor with selective re-oxidation anneal
DE102006030264B4 (en) * 2006-06-30 2008-08-28 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating transistors having a biaxially-deformed channel caused by silicon germanium in the gate electrode
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
US7767579B2 (en) * 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
US8211786B2 (en) 2008-02-28 2012-07-03 International Business Machines Corporation CMOS structure including non-planar hybrid orientation substrate with planar gate electrodes and method for fabrication
DE102008011813B4 (en) * 2008-02-29 2010-03-04 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device with a metal gate stack with reduced height and method of manufacturing the device
US7858482B2 (en) * 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US8448124B2 (en) 2011-09-20 2013-05-21 International Business Machines Corporation Post timing layout modification for performance
US11177132B2 (en) 2019-07-03 2021-11-16 International Business Machines Corporation Self aligned block masks for implantation control

Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4465705A (en) * 1980-05-19 1984-08-14 Matsushita Electric Industrial Co., Ltd. Method of making semiconductor devices
US4517731A (en) * 1983-09-29 1985-05-21 Fairchild Camera & Instrument Corporation Double polysilicon process for fabricating CMOS integrated circuits
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5105242A (en) * 1987-08-10 1992-04-14 Sumitomo Electric Industries, Ltd. Field effect transistor having schottky contact and a high frequency characteristic
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5401678A (en) * 1992-12-02 1995-03-28 Hyundai Electronics Industries Co., Ltd. Transistor and method for fabricating the same
US5448094A (en) * 1994-08-23 1995-09-05 United Microelectronics Corp. Concave channel MOS transistor and method of fabricating the same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5624863A (en) * 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5741737A (en) * 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6025242A (en) * 1999-01-25 2000-02-15 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions including an insulating spacer by thermal oxidation creating taper-shaped isolation
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US20020016025A1 (en) * 1996-04-24 2002-02-07 Takashi Ipposhi Semiconductor device and method of manufacturing the same
US20020031909A1 (en) * 2000-05-11 2002-03-14 Cyril Cabral Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US20020094622A1 (en) * 2000-09-27 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US6455383B1 (en) * 2001-10-25 2002-09-24 Silicon-Based Technology Corp. Methods of fabricating scaled MOSFETs
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US6528843B1 (en) * 2002-05-03 2003-03-04 Silicon Based Technology Corp. Self-aligned split-gate flash memory cell having a single-side tip-shaped floating-gate structure and its contactless flash memory arrays
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6579765B1 (en) * 1999-09-30 2003-06-17 Zarlink Semiconductor Limited Metal oxide semiconductor field effect transistors
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US20040046219A1 (en) * 2002-09-06 2004-03-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US20040097030A1 (en) * 2002-11-20 2004-05-20 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US20050040460A1 (en) * 2002-12-12 2005-02-24 Dureseti Chidambarrao Stress inducing spacers
US20050082634A1 (en) * 2003-10-16 2005-04-21 International Business Machines Corporation High performance strained cmos devices
US20050093030A1 (en) * 2003-10-30 2005-05-05 Doris Bruce B. Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US20050098829A1 (en) * 2003-11-06 2005-05-12 Doris Bruce B. High mobility CMOS circuits
US20050106799A1 (en) * 2003-11-14 2005-05-19 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20050145954A1 (en) * 2004-01-05 2005-07-07 International Business Machines Corporation Structures and methods for making strained mosfets
US20050194699A1 (en) * 2004-03-03 2005-09-08 International Business Machines Corporation Mobility enhanced cmos devices
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US20060060925A1 (en) * 2004-09-17 2006-03-23 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US40158A (en) * 1863-10-06 Improvement in riding-saddles
US86472A (en) * 1869-02-02 photo-lithographer
US90791A (en) * 1869-06-01 Improvement in saw-guide and jointer
US74598A (en) * 1868-02-18 Improved pmte-iifter
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5828131A (en) * 1993-10-29 1998-10-27 International Business Machines Corporation Low temperature formation of low resistivity titanium silicide
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (en) 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
TW479316B (en) * 2001-02-02 2002-03-11 Macronix Int Co Ltd Manufacture method of conformal oxide film
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
AU2002349881A1 (en) 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7345359B2 (en) * 2004-03-05 2008-03-18 Intel Corporation Integrated circuit package with chip-side signal connections
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4465705A (en) * 1980-05-19 1984-08-14 Matsushita Electric Industrial Co., Ltd. Method of making semiconductor devices
US4517731A (en) * 1983-09-29 1985-05-21 Fairchild Camera & Instrument Corporation Double polysilicon process for fabricating CMOS integrated circuits
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US5105242A (en) * 1987-08-10 1992-04-14 Sumitomo Electric Industries, Ltd. Field effect transistor having schottky contact and a high frequency characteristic
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5946559A (en) * 1992-04-08 1999-08-31 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5401678A (en) * 1992-12-02 1995-03-28 Hyundai Electronics Industries Co., Ltd. Transistor and method for fabricating the same
US5448094A (en) * 1994-08-23 1995-09-05 United Microelectronics Corp. Concave channel MOS transistor and method of fabricating the same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US6046464A (en) * 1995-03-29 2000-04-04 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5624863A (en) * 1995-07-17 1997-04-29 Micron Technology, Inc. Semiconductor processing method of forming complementary N-type doped and P-type doped active regions within a semiconductor substrate
US5940716A (en) * 1996-03-15 1999-08-17 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using repatterned trench masks
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US20020016025A1 (en) * 1996-04-24 2002-02-07 Takashi Ipposhi Semiconductor device and method of manufacturing the same
US5741737A (en) * 1996-06-27 1998-04-21 Cypress Semiconductor Corporation MOS transistor with ramped gate oxide thickness and method for making same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US6246095B1 (en) * 1997-03-11 2001-06-12 Agere Systems Guardian Corp. System and method for forming a uniform thin gate oxide layer
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US20010009784A1 (en) * 1998-01-09 2001-07-26 Yanjun Ma Structure and method of making a sub-micron MOS transistor
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6521964B1 (en) * 1998-11-13 2003-02-18 Intel Corporation Device having spacers for improved salicide resistance on polysilicon gates
US6509618B2 (en) * 1998-11-13 2003-01-21 Intel Corporation Device having thin first spacers and partially recessed thick second spacers for improved salicide resistance on polysilicon gates
US6506652B2 (en) * 1998-11-13 2003-01-14 Intel Corporation Method of recessing spacers to improved salicide resistance on polysilicon gates
US6080637A (en) * 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6025242A (en) * 1999-01-25 2000-02-15 International Business Machines Corporation Fabrication of semiconductor device having shallow junctions including an insulating spacer by thermal oxidation creating taper-shaped isolation
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6093621A (en) * 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US20020074598A1 (en) * 1999-06-28 2002-06-20 Doyle Brian S. Methodology for control of short channel effects in MOS transistors
US20020090791A1 (en) * 1999-06-28 2002-07-11 Brian S. Doyle Method for reduced capacitance interconnect system using gaseous implants into the ild
US6406973B1 (en) * 1999-06-29 2002-06-18 Hyundai Electronics Industries Co., Ltd. Transistor in a semiconductor device and method of manufacturing the same
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6579765B1 (en) * 1999-09-30 2003-06-17 Zarlink Semiconductor Limited Metal oxide semiconductor field effect transistors
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US20020031909A1 (en) * 2000-05-11 2002-03-14 Cyril Cabral Self-aligned silicone process for low resistivity contacts to thin film silicon-on-insulator mosfets
US20020094622A1 (en) * 2000-09-27 2002-07-18 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US7091092B2 (en) * 2000-09-27 2006-08-15 Chartered Semiconductor Manufacturing Ltd. Process flow for a performance enhanced MOSFET with self-aligned, recessed channel
US20020063292A1 (en) * 2000-11-29 2002-05-30 Mark Armstrong CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086472A1 (en) * 2000-12-29 2002-07-04 Brian Roberds Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6583060B2 (en) * 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US20030032261A1 (en) * 2001-08-08 2003-02-13 Ling-Yen Yeh Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US20030067035A1 (en) * 2001-09-28 2003-04-10 Helmut Tews Gate processing method with reduced gate oxide corner and edge thinning
US6455383B1 (en) * 2001-10-25 2002-09-24 Silicon-Based Technology Corp. Methods of fabricating scaled MOSFETs
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6528843B1 (en) * 2002-05-03 2003-03-04 Silicon Based Technology Corp. Self-aligned split-gate flash memory cell having a single-side tip-shaped floating-gate structure and its contactless flash memory arrays
US20040046219A1 (en) * 2002-09-06 2004-03-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US20040097030A1 (en) * 2002-11-20 2004-05-20 Renesas Technology Corp. Semiconductor device including gate electrode for applying tensile stress to silicon substrate, and method of manufacturing the same
US20060057787A1 (en) * 2002-11-25 2006-03-16 Doris Bruce B Strained finfet cmos device structures
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US20050040460A1 (en) * 2002-12-12 2005-02-24 Dureseti Chidambarrao Stress inducing spacers
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US20050148146A1 (en) * 2003-10-16 2005-07-07 Doris Bruce D. High performance strained CMOS devices
US20050082634A1 (en) * 2003-10-16 2005-04-21 International Business Machines Corporation High performance strained cmos devices
US20050093030A1 (en) * 2003-10-30 2005-05-05 Doris Bruce B. Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US20050098829A1 (en) * 2003-11-06 2005-05-12 Doris Bruce B. High mobility CMOS circuits
US20060027868A1 (en) * 2003-11-06 2006-02-09 Ibm Corporation High mobility CMOS circuits
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US20050106799A1 (en) * 2003-11-14 2005-05-19 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US20050145954A1 (en) * 2004-01-05 2005-07-07 International Business Machines Corporation Structures and methods for making strained mosfets
US20050194699A1 (en) * 2004-03-03 2005-09-08 International Business Machines Corporation Mobility enhanced cmos devices
US20060060925A1 (en) * 2004-09-17 2006-03-23 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8587039B2 (en) 2007-05-31 2013-11-19 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US7960243B2 (en) 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US20110220975A1 (en) * 2007-05-31 2011-09-15 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US20080299717A1 (en) * 2007-05-31 2008-12-04 Winstead Brian A Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US9748384B2 (en) 2009-12-28 2017-08-29 Sony Corporation Semiconductor component and manufacturing method thereof
US9991383B2 (en) 2009-12-28 2018-06-05 Sony Corporation Semiconductor component and manufacturing method thereof
US11848380B2 (en) 2009-12-28 2023-12-19 Sony Group Corporation Semiconductor component and manufacturing method thereof
US8937349B2 (en) * 2009-12-28 2015-01-20 Sony Corporation Semiconductor component and manufacturing method thereof
US11043590B2 (en) 2009-12-28 2021-06-22 Sony Corporation Semiconductor component and manufacturing method thereof
US9548360B2 (en) 2009-12-28 2017-01-17 Sony Corporation Semiconductor component and manufacturing method thereof
US10727335B2 (en) 2009-12-28 2020-07-28 Sony Corporation Semiconductor component and manufacturing method thereof
US8791004B2 (en) * 2010-06-18 2014-07-29 International Business Machines Corporation Interface-free metal gate stack
US8975174B2 (en) * 2010-06-18 2015-03-10 International Business Machines Corporation Interface-free metal gate stack
US20150126025A1 (en) * 2010-06-18 2015-05-07 International Business Machines Corporation Interface-free metal gate stack
US20130277751A1 (en) * 2010-06-18 2013-10-24 International Business Machines Corporation Interface-free metal gate stack
US20130280901A1 (en) * 2010-06-18 2013-10-24 International Business Machines Corporation Interface-free metal gate stack
US9287252B2 (en) * 2011-03-15 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mismatch reduction
US9576868B2 (en) * 2012-07-30 2017-02-21 General Electric Company Semiconductor device and method for reduced bias temperature instability (BTI) in silicon carbide devices
US20140027782A1 (en) * 2012-07-30 2014-01-30 General Electric Company Semiconductor device and method for reduced bias temperature instability (bti) in silicon carbide devices
US9431287B2 (en) * 2012-12-13 2016-08-30 Macronix International Co., Ltd. Chemical mechanical planarization process and structures

Also Published As

Publication number Publication date
US7144767B2 (en) 2006-12-05
US20050064646A1 (en) 2005-03-24

Similar Documents

Publication Publication Date Title
US20060145274A1 (en) NFETs using gate induced stress modulation
US7091563B2 (en) Method and structure for improved MOSFETs using poly/silicide gate height control
US7550338B2 (en) Method and structure for forming strained SI for CMOS devices
US6869866B1 (en) Silicide proximity structures for CMOS device performance improvements
US8183115B2 (en) Method of manufacturing a semiconductor device having elevated layers of differing thickness
US9006836B2 (en) Method and structure for controlling stress in a transistor channel
US7442611B2 (en) Method of applying stresses to PFET and NFET transistor channels for improved performance
US8551849B2 (en) Semiconductor device and method of manufacturing the same
US7169659B2 (en) Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
US7179714B2 (en) Method of fabricating MOS transistor having fully silicided gate
JP2008500721A (en) Planar dual gate semiconductor device
US6762468B2 (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION