US20060216419A1 - Sublimation bed employing carrier gas guidance structures - Google Patents

Sublimation bed employing carrier gas guidance structures Download PDF

Info

Publication number
US20060216419A1
US20060216419A1 US11/442,364 US44236406A US2006216419A1 US 20060216419 A1 US20060216419 A1 US 20060216419A1 US 44236406 A US44236406 A US 44236406A US 2006216419 A1 US2006216419 A1 US 2006216419A1
Authority
US
United States
Prior art keywords
carrier gas
vessel
precursor
vapor
substantially saturated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/442,364
Inventor
Eric Shero
Michael Givens
Ryan Schmidt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/442,364 priority Critical patent/US20060216419A1/en
Publication of US20060216419A1 publication Critical patent/US20060216419A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body

Definitions

  • the present invention relates generally to the field of substrate fabrication and, more specifically, to semiconductor processing apparatuses designed to effectively vaporize a solid source material.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • a solid source material In order to effectively deposit using precursors from a solid source material or chemical, a solid source material must, of course, first be vaporized. In pursuit of this goal, sublimation apparatuses are used to effectuate the vaporization of a solid source material. In addition, heat sources are often employed in conjunction with such an apparatus in order to increase the vapor pressure above the solid source material.
  • the present invention provides improved semiconductor processing systems.
  • the systems include a guidance structure, such as a support medium having a surface onto which a solid source for vapor reactant is coated.
  • the illustrated guidance structures are configured to facilitate the repeated saturation of the carrier gas with the solid source for a vapor reactant.
  • a substrate processing system is provided with a source of a carrier gas, a support medium having a surface onto which a solid source for vapor reactant is coated and a reaction chamber located downstream of the support medium.
  • the support medium is configured to guide the carrier gas, which originates from the carrier gas source, through the support medium.
  • a sublimation system is provided with a source of carrier gas and flowable support elements onto which a solid source for vapor reactant is coated.
  • the support elements are configured to guide the carrier gas through the support medium in a generally non-linear contact path.
  • a sublimation apparatus comprises a sublimation vessel, a bed of a solid source for vapor reactant within the vessel, and a guidance structure configured to guide the carrier gas to contact the vapor reactant from the bed of the solid source material.
  • the guidance structure is configured to segregate and guide the carrier gas over the surface area of the solid bed by providing a winding contact pathway. Preferably, this path is also long and narrow.
  • a vessel inlet port is located at the beginning of the contact pathway, while a vessel outlet port is located at the end of the contact pathway.
  • the carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a substantially segregated and winding contact pathway having a length greater than about 2.5 times a linear distance measured from the inlet port to the outlet port.
  • methods of processing a substrate and methods of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface are provided.
  • these methods substantially saturate a carrier gas with precursor vapor.
  • a substantial plug flow of the carrier gas exits a sublimation vessel substantially saturated with precursor vapor by substantially exhibiting a plug flow residence time distribution by design within said vessel.
  • a feature of preferred embodiments of the present invention is that a precise and consistent quantity of reactant vapor can be delivered to a deposition chamber at high frequency.
  • An additional feature of the preferred embodiments is an increased ratio of exposed solid source surface area to sublimation vessel volume as a result of, among other factors, the avoidance of problematic “tunneling.”
  • Another feature of preferred embodiments is increased vapor/solid contact time.
  • Yet another feature of preferred embodiments is the allowance of relatively even gas flow resistance over the life of a sublimation bed.
  • Another feature of certain preferred embodiments is the production of a substantially plug flow residence time distribution of the carrier gas substantially saturated with precursor vapor.
  • FIG. 1 is a schematic view of a substrate processing system having a vessel located between a carrier gas source and a deposition chamber.
  • FIG. 2A is a schematic cross-section of a sublimation apparatus in accordance with an embodiment of the present invention, the apparatus including a vessel packed with solid source coated beads.
  • FIG. 2B is a magnified view of the solid source coated beads from FIG. 2A .
  • FIG. 2C is magnified view of a single solid source coated bead from FIG. 2B .
  • FIG. 2D is a magnified view of solid source flowable support elements in accordance with an alternate embodiment of the present invention.
  • FIG. 3A is an isometric cutaway view of a vessel holding a filter, having filter fibers coated with a solid source for vapor reactant, in accordance with another embodiment of the present invention.
  • FIG. 3B is a cross-section taken along lines 3 B- 3 B in FIG. 3A .
  • FIG. 3C is a magnified view of a section of the filter shown in FIG. 3B , illustrating the individual solid source coated fibers that form the filter.
  • FIG. 4A is a isometric cutaway view of a vessel holding a solid source coated, multiple intersecting plate structure in accordance with another embodiment.
  • FIG. 4B is a cross-section taken along lines 4 B- 4 B in FIG. 4A .
  • FIG. 4C is a magnified view of a portion of the multiple intersecting plate structure shown in FIG. 4B , illustrating the solid source coated plates that form the multiple intersecting plate structure.
  • FIG. 5A is an isometric cutaway view of a vessel holding a screen cartridge having a plurality of solid source coated screens in accordance with another embodiment.
  • FIG. 5B is a cross-section taken along lines 5 B- 5 B in FIG. 5A .
  • FIG. 5C is a magnified view of a portion of the screen shown in FIG. 5B , illustrating the solid source coated screen members that form the screen.
  • FIG. 6A is a perspective view of a bundle of solid source coated tubes, in accordance with another embodiment.
  • FIG. 6B is a perspective view of a vessel employing the bundle of solid source coated tubes of FIG. 6A .
  • FIG. 6C is a magnified perspective view of a few of the solid source coated tubes shown in FIG. 6A .
  • FIG. 7A is a perspective view of a vessel holding a coiled continuous tube having an interior solid source coating, in accordance with another embodiment.
  • FIG. 7B is a perspective view of an alternate arrangement of the embodiment shown in FIG. 7A , the alternate arrangement lacking a vessel.
  • FIG. 7C is a magnified perspective view of the coiled tube shown in FIGS. 7A and 7B , the tube having an interior solid source coating.
  • FIG. 8A is a side cross-section of a vessel employing a helical flow guide within a continuous bed of solid source powder in accordance with another embodiment.
  • FIG. 8B is a top cross-section taken along lines 8 B- 8 B in FIG. 8A .
  • FIG. 9 is a flowchart of a method of using a solid source for vapor reactant, in accordance with preferred embodiments of the invention.
  • FIG. 10 is a perspective view of a solid source vessel constructed in accordance with another embodiment of the present invention.
  • FIG. 11 is a perspective view of an external container from the vessel of FIG. 10 .
  • FIG. 12 is a perspective view of a lid from the vessel of FIG. 10 , including a plurality of associated valves and gas tubes.
  • FIG. 13 is perspective view of the solid source vessel of FIG. 10 , showing inner components in phantom lines.
  • FIG. 14 is a perspective view of a lower stacking tray for use within the solid source vessel of FIG. 10 .
  • FIG. 15 is a top plan view of the lower stacking tray of FIG. 14 .
  • FIG. 16 is a perspective view of a lower stacking tray for use within the solid source vessel of FIG. 10 .
  • FIG. 17 is a top plan view of the lower stacking tray of FIG. 16 .
  • FIG. 18 is an exploded perspective view of the vessel shown in FIG. 10 during assembly.
  • FIG. 19 is a partially cutaway perspective view of the vessel of FIG. 10 .
  • FIG. 20A is a schematic perspective top and front view of a lower stacking tray having a secondary partial divider, in accordance with an embodiment of the present invention.
  • FIG. 20B is a perspective schematic perspective top and front view of an upper stacking tray having a secondary partial divider for use with the lower stacking tray shown in FIG. 20A .
  • FIG. 20C is a top plan view of an upper stacking tray having a secondary partial divider, in accordance with an alternate arrangement of the tray shown in FIG. 20B .
  • FIG. 21 is a flow chart of a method of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface, in accordance with an embodiment of the present invention.
  • ALD atomic layer deposition
  • FIG. 22A shows a graph illustrating the saturated concentration (C sat ) of precursor in the carrier gas over time in an ideal plug flow reactor.
  • FIG. 22B shows a graph illustrating the saturated concentration (C sat ) of precursor in the carrier gas over time in an ideal stirred tank reactor.
  • FIG. 23 shows the response curves for various dispersion models, including plug flow and stirred tank models.
  • FIG. 24 is a graph of the plotted fluid mechanical modeling results of the vessel embodiments shown in FIGS. 15-20B , the graph showing the residence time distribution (RTD).
  • vapor deposition methods for growing thin films on the surface of substrates. These methods include vacuum evaporation deposition, Molecular Beam Epitaxy (MBE), variants of chemical vapor deposition (CVD) (including low-pressure and organometallic CVD and plasma-enhanced CVD), and atomic layer epitaxy (ALE), which is more recently referred to as atomic layer deposition (ALD).
  • MBE Molecular Beam Epitaxy
  • CVD chemical vapor deposition
  • ALE atomic layer epitaxy
  • ALE or ALD is a deposition method that is based on the sequential introduction of precursor species (e.g., a first precursor and a second precursor) to a substrate, which is located within a reaction or processing chamber.
  • precursor species e.g., a first precursor and a second precursor
  • the growth mechanism relies on the adsorption of one precursor on active sites of the substrate. Conditions are such that no more than a monolayer forms in one pulse so that the process is self-terminating or saturative.
  • the first precursor can include ligands that remain on the adsorbed species, which prevents further adsorption of the first precursor. Temperatures are maintained above precursor condensation temperatures and below thermal decomposition temperatures such that the first precursor chemisorbs on the substrate(s) largely intact.
  • This initial step of adsorption is typically followed by a first evacuation or purging stage wherein the excess first precursor and possible reaction byproducts are removed from the reaction chamber.
  • the second precursor is then introduced into the reaction chamber.
  • the second precursor can react with the adsorbed species, thereby producing a monolayer of the desired thin film.
  • the second precursor can replace the terminating ligands of the previously adsorbed species in a ligand exchange process.
  • an intermediate reactant can strip the ligands of the previously adsorbed species, allowing the next reactant to directly react with or absorb upon the remaining monolayer. This growth terminates once the entire amount of the adsorbed first precursor has been consumed.
  • the excess of second precursor and possible reaction byproducts are then removed by a second evacuation or purge stage.
  • the cycle can be repeated to grow the film to a desired thickness. Cycles can also be more complex.
  • the cycles can include three or more reactant pulses separated by purge and/or evacuation steps for producing binary, ternary, quaternary, etc
  • ALE and ALD methods are described, for example, in Finnish patent publications 52,359 and 57,975 and in U.S. Pat. Nos. 4,058,430 and 4,389,973, which are herein incorporated by reference. Apparatuses suited to implement these methods are disclosed in, for example, U.S. Pat. No. 5,855,680, Finnish Patent No. 100,409, Material Science Report 4(7) (1989), p. 261, and Tyhjiotekniikka (Finnish publication for vacuum techniques), ISBN 951-794-422-5, pp. 253-261, which are incorporated herein by reference.
  • ASM Microchemistry Oy, Espoo, Finland supplies such equipment for the ALD process under the trade name ALCVDTM.
  • ASM America also supplies such ALD equipment under the trade name Pulsar®.
  • some or all of the precursors may be initially stored in a container in a solid state. Within the container, the precursor is heated to convert the solid precursor to a gaseous or vapor state.
  • a carrier gas is used to transport the vaporized precursor to the reactor.
  • the carrier gas is usually an inert gas (e.g., nitrogen), which can be the same gas that is used for purging stages.
  • ALD atomic layer deposition
  • carrier gas flow is pulsed at a regular frequency through the vessel, by allowing carrier flow through, then isolating the vessel volume.
  • the non-steady state conditions inherent in the pulsed carrier gas flow result in particular problems if conventional sublimation apparatus designs are employed.
  • the gas flow will vary with the changing shape of the sublimation bed and resulting change in flow resistance. This fluctuation in absolute gas flow through the sublimation bed can result in varying precursor content per pulse and consequent non-uniform deposition of deposited layers upon a wafer, thus resulting in a faulty wafer.
  • sublimation beds that are formed from vessels that are simply filled with only a precursor solid material, such as a powder, can suffer from channeling or “tunneling.” Tunneling results in a decrease in carrier gas saturation through a reduction in the solid source surface area that the carrier gas contacts as the bed is consumed.
  • the resistance of the bed can also vary with time when only a precursor solid material is used to form a bed, as the bed shrinks or individual precursor grains change shape over time.
  • a high “surface area” with respect to a support medium surface upon which solid source material is coated refers to a support medium having a high ratio of total coated exposed surface area to vessel void volume, relative to a free liquid surface were it in the same vessel.
  • Flowable support elements refers to elements that can be coated with solid precursor and poured into or out of a vessel, such as beads, cylinders, filaments, or rings, etc. Each flowable support element preferably nominal size of less than about 1 ⁇ 8 the column diameter (e.g., width) to prevent tunneling and ensure adequate lateral mixing.
  • a “fixed medium” is a medium that is not flowable and is meant to remain stationary during processing.
  • a “carrier gas contact pathway” is a route which a carrier gas generally follows through a solid source apparatus, the route placing the carrier gas in substantial contact with a solid source material surface.
  • an embodiment of the present invention is shown employing a substrate processing system comprising a sublimation apparatus, having a vessel 1 located inline between a carrier gas source 4 and a vapor deposition chamber 5 .
  • the illustrated deposition chamber 5 can be a chemical vapor deposition (CVD) chamber, but preferably is an atomic layer deposition (ALD) chamber, which includes a pulsing mechanism (not shown) comprising pulsing valves, tubing, gas sources, and appropriate control circuitry or software.
  • An ALD chamber is preferably configured for alternating, self-limiting pulses of process vapors that leave less than or equal to one monolayer per pulse.
  • the sublimation vessel 1 is joined to the carrier gas source 4 by a gas line connected to an inlet port 2 of the vessel 1 , while the deposition chamber 5 is joined to the sublimation vessel 1 by a gas line connected to an outlet port 3 of the vessel 1 .
  • no carrier gas is employed to carry the vapor reactant to the chamber.
  • the undiluted vapor reactant is flowed to the processing chamber by convective flow caused by the pressure differential between vapor pressure and reactor pressure.
  • references to transferring the vapor reactant via “flow” or “carrier gas flow” can be caused by the change in pressure ( ⁇ P) of the carrier gas or the chemical-reactor pressure differential.
  • the flow out of the solid source vessel is preferably substantially saturated at the pulsing conditions typical of ALD (i.e., pulse duration on the order of 0.1-1.0 seconds, cycle duration on the order of 1 sec. to 1 min).
  • additional gases are added to the carrier gas and vapor reactant mixture prior to outputting the resulting diluted mixture.
  • dilution takes place between the vessel outlet port 3 and the deposition chamber 5 .
  • reactions other than deposition e.g., etching, gettering, etc. can take place in the downstream reaction chamber, depending on the application for the vapor phase reactant.
  • the support medium is formed from “flowable” support elements comprising beads 6 which are packed into the sublimation vessel 1 .
  • these beads are configured to provide tortuous pathways for a carrier gas.
  • the inlet port 2 is configured as an entrance for carrier gas while the outlet port 3 is configured as an exit for carrier gas having passed through the beads 6 .
  • the sublimation vessel 1 also preferably has a fill port 8 in order to facilitate the replacement of the support elements.
  • the inlet port 2 and the outlet port 3 define a primary axis of the sublimation vessel 1 .
  • the sublimation vessel 1 is a cylinder with the inlet port 2 located at one end of the cylinder and outlet port 3 located at the opposite end, although the skilled artisan will appreciate that the vessel can take any suitable shape.
  • the vessel 1 also preferably has a heater 26 in order to affect and control the vaporization of the solid source coating 7 ( FIG. 2B ) on the beads 6 , such as the illustrated resistive heating elements surrounding the vessel 1 .
  • the vessel 1 also preferably includes distribution manifolds (not shown) at the inlet 2 and outlet 3 of the sublimation vessel, the manifold being configured distribute to carrier gas more evenly across the vessel for uniform contact with the coated support medium. While illustrated herein as heating elements within walls of the vessel, heating can take any of a number of forms.
  • the vessel is radiantly heated within a vacuum chamber. Greater detail of this radiant heating system can be found in U.S. application Ser. No. 09/854,706, filed May 14, 2001, the disclosure of which is incorporated by reference in its entirety.
  • FIG. 2B is a magnified view of a portion of the sublimation vessel 1 shown in FIG. 2A .
  • Beads 6 are configured and packed in order to provide a high number of potential gas contact pathways 9 for the carrier gas, ensuring that the carrier gas contacts a large surface area of solid source coating and, thus, is more likely to become saturated with vapor reactant as it traverses the bed in a pulsed flow fashion.
  • One of ordinary skill would realize that an infinitely long pulse constitutes continuous flow through the vessel.
  • each support element here bead 6
  • the width or diameter D of the bead 6 is substantially larger than the thickness C of the solid source coating 7 , in order to ensure that the shape of the coated support elements, and thus the total flow resistance of the sublimation bed, preferably does not fluctuate greatly over time as the coating 7 is eroded.
  • the volume of each support element should not be so great that the high total surface area of the support medium formed by the support element is sacrificed.
  • the ratio (C/D) of coating thickness C to bead diameter D is between 0.01 and 0.30, more preferably between 0.05 and 0.15.
  • the bead volume depends on total vessel volume. For example, when bead volume and particle volume are considered as a fraction of the total vessel volume, for a large sized vessel (12 inch diameter by 12 inches high), a preferred maximum for number of particles is 10,000, a minimum of ⁇ 100. This preferred ratio equates to 5 ⁇ 10 ⁇ 5 ⁇ Vbead/Vbed ⁇ 0.01 and more preferably between 0.0002 and 0.005.
  • the beads 6 preferably comprise a substance which is both inert, such as alumina (Al 2 O 3 ) or fused silica, and is preferably also thermally conductive, such as stainless steel, hastelloy, nickel, boron nitride (BN) and other suitable substances.
  • the support elements comprise silicon carbide (SiC), which is chemically inert and conductive.
  • FIG. 2D illustrates an alternate arrangement of the sublimation vessel shown in FIG. 2A .
  • a magnified view of a sublimation bed is shown employing cylinders 10 , rather than beads, coated with the solid source coating 7 .
  • This configuration of packed cylinders 10 also creates a multitude of potential gas contact pathways 9 in order to ensure that the carrier gas contacts a large surface area of solid source coating as it traverses the bed in a pulsed flow fashion.
  • One of ordinary skill would realize that an infinitely long pulse constitutes continuous flow through the vessel.
  • the coated flowable support elements 6 shown in FIG. 2A are in the form of pellets, spheres, filaments, or rings.
  • the chemical catalyst industry uses flowable support elements having similar geometry (beads, pellets, spheres, rings, etc), each being coated with a catalytic material, which would also provide appropriate geometric support element configurations in order to practice alternate arrangements of the preferred embodiments provided herein.
  • these support media are arranged to provide non-linear contact paths for the carrier gas.
  • These support elements can include both porous and nonporous structures. In arrangements that employ porous support elements, the pores are preferably macropores, which are less likely to become completely filled with condensed solid source material when coated.
  • FIG. 3A an embodiment of the present invention is shown employing, in a sublimation apparatus 29 , a fixed coated support medium in the form of a solid source coated filter 11 contained within a sublimation vessel 1 .
  • the filter 11 is configured to substantially fill the sublimation vessel 1 while still enabling the efficient replacement of the filter 11 when the solid source for vapor reactant coating is sufficiently exhausted.
  • FIG. 3B shows a partial cross-section of the vessel 1
  • FIG. 3 C shows a magnified cross-section of the filter 11 shown in FIG. 3B .
  • the filter 11 is preferably formed by filter fibers 12 , each filter fiber 12 being coated with a solid source for vapor reactant (or solid precursor) 7 to provide both increased surface area and a multitude of potential gas contact pathways 9 in order to ensure the saturation of the carrier gas with vapor reactant.
  • the ratio of fiber width to coating thickness preferably falls within the ranges discussed below with respect to flowable support elements.
  • the vessel 1 also preferably has a heater 26 in order to control the vaporization of the solid source coating 7 .
  • FIG. 4A shows an embodiment of the present invention employing in a sublimation apparatus 29 , a coated fixed support medium in the form of a multiple intersecting plate structure 13 , each plate 14 being coated with a solid source for vapor reactant.
  • the multiple intersecting plates structure 13 are located within the sublimation vessel 1 in such a way as to substantially fill the internal volume of sublimation vessel 1 .
  • FIG. 4B shows a partial cross-section of the layout of individual plates 14 employed in FIG. 4A .
  • the plates 14 are configured to provide parallel tunnels 24 having both substantially equal surface area and resistance relative to one another in order to decrease the likelihood of incomplete saturation of the carrier gas at the outlet 3 (see FIG. 4C and discussion).
  • the vessel 1 also preferably has a heater 26 in order to both increase and control the vaporization of the solid source coating 7 .
  • FIG. 4C is a magnified view of a portion of the multiple intersecting plate structure shown in FIG. 4B in order to better illustrate the solid source coating 7 adhered to the surface of the plates 14 .
  • the interlocking plates are preferably configured to provide discrete parallel tunnels 24 , which are sufficiently narrow to ensure the carrier gas closely contacts a surface coated with solid source for vapor reactant.
  • the plates 14 are coated with solid source material, such as by condensation from exposure to a vapor saturated with solid source, and the plates 14 are formed from quartz.
  • the potential gas flow contact pathways formed by the plates may be non-linear through such modifications as a nonparallel arrangement (e.g., offset or staggered) of the plates or through holes placed in the face of the plates.
  • the plates are constructed from generally porous materials.
  • FIG. 5A an embodiment of the present invention is shown employing in a sublimation apparatus 29 , a coated support medium in the form of a screen cartridge 15 located in a vessel 1 .
  • the screen cartridge 15 is preferably comprised of multiple screens 16 , which are preferably stacked perpendicular to the main carrier gas flow path.
  • Each individual screen 16 is preferably removable, and the vessel is provided with one or more sealable doors or hatches (not shown) for this purpose, thus allowing upstream screens on which the solid source of vapor reactant has been exhausted to be removed and replaced while downstream, unexhausted screens 16 may be left in place during maintenance or refill operations.
  • the vessel 1 also preferably has a heater 26 (shown in the walls of the vessel 1 ) in order to both increase and control the vaporization of the solid source coating 7 ( FIG. 5B ).
  • FIG. 5B illustrates a cross-section of the screen 16 shown in FIG. 5A .
  • Each screen 16 is comprised of screen fibers 17 .
  • the magnified view shown in FIG. 5C represents a portion of the screen 16 shown in FIG. 5B in order to illustrate the solid source coating 7 which is adhered to the individual screen fibers 17 .
  • the screen fibers 17 are arranged in a uniform overlapping pattern, such as weaving the screen fibers 17 together as shown in FIG. 5C .
  • FIG. 6A illustrates yet another embodiment of the present invention employing a coated fixed support medium as a gas flow guidance structure forming generally parallel discrete gas contact pathways, here formed by tubes 19 .
  • the tubes 19 are preferably arranged in a tube bundle 18 and located in a sublimation vessel 1 , as shown in FIG. 6B .
  • the vessel 1 is provided with a manifold (not shown) to direct gas from the narrow inlet 2 and evenly distribute through all of the tubes 19 , and similarly to collect and funnel gas at the outlet 3 .
  • manifolds can improve gas flow distribution across the vessel in any of the embodiments described herein.
  • the vessel 1 also preferably has a heater 26 in order to both increase and control the vaporization of the solid source coating 7 ( FIG. 6C ).
  • FIG. 6C shows a magnified perspective view of the tubes 19 forming the tube bundle 18 in both FIGS. 6A and 6B in order to illustrate the solid source coating 7 on the interior of tubes 19 .
  • FIG. 7A an embodiment of the present invention is shown employing a fixed support medium as a gas flow guidance structure, here a coiled tube 20 .
  • the coiled tube 20 is joined to both an inlet port 2 and an outlet port 3 , preferably inside a sublimation vessel 1 .
  • the coiled tube 20 is preferably configured to be tightly coiled in order to maximize the length of the unitary solid source coated contact pathway (not shown) which the carrier gas contacts as it travels through the coiled tube 20 .
  • the vessel 1 also preferably has a heater 26 in order to control the vaporization of the solid source coating 7 .
  • the coiled tube 20 is not contained within a sublimation vessel 1 as shown in FIG. 7B , but preferably still is configured to have a heater 26 .
  • FIG. 7C shows a magnified view of the coiled tube employed in FIGS. 7A and 7B .
  • the interior of the coiled tube 20 is coated with solid source coating 7 , preferably uniformly throughout the entire length of the coiled tube 20 .
  • the coiled tube 20 is preferably designed so that, as the solid is consumed from inlet to outlet over time, at carrier gas flows typical of ALD (e.g. 50-5000 sccm carrier and chemical or 5-500 sccm of pure chemical flow), the gas (with or without carrier) stream is substantially saturated (e.g., >95% of chemical saturation vapor pressure at the given temperature) after traveling less than or equal to only approximately 20% of the overall tubing length.
  • carrier gas flows e.g. 50-5000 sccm carrier and chemical or 5-500 sccm of pure chemical flow
  • the gas (with or without carrier) stream is substantially saturated (e.g., >95% of chemical saturation vapor pressure at the given temperature) after traveling less than or equal to only approximately 20% of the overall tubing length.
  • This allows the vessel to have the equivalent of 5 residence times, which, for PFRs, many dispersion model reactors or laminar flow reactors allows greater than 95% conversion/saturation.
  • the coiled tube 20 is preferably configured to precisely fit within a sublimation vessel 1 .
  • the coiled tube is coated with hafnium chloride (HfCl 4 ) through evaporation and condensation techniques.
  • the interior diameter of each coiled tube 20 is between about 0.25 inch to about 2-inches, with the coating thickness being between about 0.025 and about 0.25.
  • the overall effective length of the tube is chosen such that the residence time of the vessel flow is preferably greater 5 if continuously flowing for greater than the selected pulse time for the pulsed flow operation.
  • the absolute length is dependent on such factors as chemical sublimation rate, gas flow rate, vessel pressure, reactor pressure, vessel temperature, etc. In certain preferred embodiments sublimation vessels are designed in view of these factors to effectuate the substantial saturation of the carrier gas under ALD conditions.
  • the present invention is particularly well suited as a semiconductor processing system for the processing of semiconductor wafers, it should be understood that the present invention has applicability with regard to substrate processing systems for the processing of a wide variety of substrates, as would be understood by the skilled artisan.
  • Embodiments of the present invention employing gas flow guidance structures having a coating of solid source material may have these solid source coatings formed through a variety of processes such as, among other techniques, sublimation and condensation technology, static powder coating, solvent evaporation, CVD, ALD and other techniques known to those skilled in the art.
  • the solid source coating is zirconium chloride (ZrCl 4 ), while in an alternate arrangement the solid source coating is hafnium chloride (HfCl 4 ).
  • Tubes for use in certain preferred embodiments may also be coated through atomic layer deposition (ALD) of the solid source for vapor reactant directly on the interior walls of the tube itself as disclosed in WO 99/229924, published Jan. 17, 1999, which is hereby incorporated by reference for this purpose.
  • ALD atomic layer deposition
  • Preferred embodiments also employ a solid source coated guidance structure comprised of a substance which is inert, such as aluminum oxide (Al 2 O 3 ) or alumina, and silicon dioxide (SiO 2 ), and thermally conductive such as, among others, silicon carbide (SiC).
  • a substance which is inert such as aluminum oxide (Al 2 O 3 ) or alumina, and silicon dioxide (SiO 2 ), and thermally conductive such as, among others, silicon carbide (SiC).
  • the ratio of the coating surface exposed to carrier gas to the volume occupied by the coated support medium will typically vary with the embodiment. For example, and without limitation, this ratio is preferably greater than about 1 cm ⁇ 1 for the embodiment of FIG. 6A , more preferably greater than about 2 cm ⁇ 1 . The ratio is preferably greater than about 5 cm ⁇ 1 for the embodiment of FIG. 3A , more preferably greater than about 10 cm ⁇ 1 . The ratio is preferably greater than about 0.1 cm ⁇ 1 for the embodiment of FIG. 7A , more preferably greater than about 0.2 cm ⁇ 1 .
  • the ratio of the coating thickness and the size of the opening formed by the coated support medium are important considerations in increasing solid precursor surface area and lengthening the carrier gas contact pathway in order to substantially saturate each carrier gas pulse.
  • the ratio of the thickness of the coating and coated tube opening diameter is preferably between about 0.01 and about 0.30, more preferably between about 0.05 and about 0.15.
  • the ratio of the width of opening formed by the intersecting coated plates and the thickness of the coating is preferably between about 0.01 and about 0.30, more preferably between about 0.05 and about 0.3.
  • the important ratios are the aforementioned ratios for flowable elements.
  • Embodiments employing a fixed medium coated with solid source material are preferably designed to fit closely in a sublimation vessel, in order to prevent the potential damage to the solid source coating caused by bending the fixed medium while inserting the fixed medium into a sublimation vessel.
  • FIG. 8A illustrates an embodiment of the sublimation apparatus 29 employing a flow guide 22 as a gas flow guidance structure in a substrate fabrication system.
  • the sublimation vessel 1 has a single continuous layer, or bed, of solid source material 21 , preferably in the form of a powdered solid source compound.
  • the flow guide 22 preferably guides the carrier gas in a tortuous contact pathway in contact with the solid source material 21 , such as gas flow contact pathway 9 .
  • the flow guide 22 extends most of the way from the floor to ceiling of vessel 1 , more preferably all the way.
  • the carrier gas enters the sublimation vessel at inlet port 2 on a solid source contact pathway which is substantially greater than the direct distance between inlet port 2 and the outlet port 3 , represented by reference line E, preferably at least twice the length of the direct distance.
  • Reference line E illustrates an undesirable solid source/gas contact pathway which a carrier gas could travel absent the flow guide 22 , the undesirable contact pathway offering the carrier gas poor total solid source surface area contact.
  • the vessel 1 also preferably has a heater 26 in order to control vaporization of the powdered solid source 21 . While illustrated as within the walls of vessel 1 , the heater is more preferably a radiant heater within a low pressure chamber that also houses the vessel 1 .
  • FIG. 8B shows a cross-section of the embodiment shown in FIG. 8A .
  • the flow guide 22 preferably provides a carrier gas flow contact pathway which is both unitary and tortuous, such as the spiral contact pathway 9 shown here.
  • the flow guide 22 increases the solid source surface area that the carrier gas closely contacts by preventing the carrier gas from moving directly between the inlet port 2 and the outlet port 3 . Such direct flow would cause the carrier gas to only come into contact with vapor reactant originating from the surface of the powdered solid source material located proximate to reference line E.
  • the illustrated embodiment provides an elongated carrier gas pathway and increases residence time within the vessel 1 , while maintaining the simplicity of a single bed in order to ease the replacement of the powdered solid source 21 .
  • the illustrated flow guide 22 is removable, which allows for an existing sublimation vessel to be retrofitted with minimal effort and cost.
  • FIG. 9 shows a method of employing the structures provided herein, including saturating a carrier gas with vapor reactant originating from a solid source material.
  • a solid source for vapor reactant is vaporized 100 , preferably by continuous heating of the solid source material.
  • the solid source material is preferably vaporized by heating the solid source material to effect a vapor pressure of the solid between 0.1 and 100 Torr. For HfCl 4 , this would roughly equate to a temperature range between 140° C. to 265° C.
  • a carrier gas is flowed 110 through a support medium having a coating of a solid source for a vapor reactant (first reactant).
  • the carrier gas is substantially saturated 120 with a first vaporized reactant as it flows through the solid source coated support medium.
  • the carrier gas is guided during its transit (e.g., during continuous flow or pulsing) to contact a sufficiently high surface area of solid source material in order to saturate the carrier gas with reactant vapor from the solid source.
  • the saturated vapor is then flowed 130 into a reaction chamber where a self-limited, saturative reaction (e.g., chemisorption of no more than a monolayer) takes place.
  • the vessel may be isolated from the carrier gas flow (e.g., the flow from the vessel outlet is briefly halted) or the carrier gas can be diverted to a vessel bypass from the flow path through the vessel. Subsequently, the excess first reactant is removed 140 from the reaction chamber during Purge A.
  • a second reactant is then pulsed 150 into the reaction chamber.
  • This second reactant differs from the first reactant and preferably does not originate from the sublimation vessel from which the first reactant originated.
  • the second reactant preferably is a reactant selected for interacting with a previously adsorbed species originating from the solid source for vapor reactant, but could also result in chemisorption of no more than a monolayer.
  • the excess second reactant is removed 160 from the reaction chamber during Purge B. If additional reactions within the reaction chamber are then desired, i.e. the deposition is not sufficiently thick, Steps 110 through 160 are then repeated until the deposition is the desired thickness is deposited. If a sufficient thickness has been deposited, the process ends 170 .
  • FIG. 9 gives only the examples of a two-reactant ALD process, ALD processes involving more than (or less than) two reactants are also employed in certain preferred embodiments.
  • FIGS. 10-17 illustrate a solid source vessel 200 in accordance with another embodiment of the invention.
  • the vessel 200 can provide a greater contact area with commercially available types of solid source powder, rather than custom coated support structures.
  • the vessel 200 of FIGS. 10-17 can also be employed in conjunction with the structures described herein.
  • a winding or convoluted path is provided through a plurality of beds within a single openable structure, considerably simplifying the reloading process when recharging of the vessel 200 with fresh solid source material is required.
  • the vessel 200 comprises an external container 205 and a lid component 210 .
  • the external container 205 includes a flange 207 configured to permit bolting of the lid 210 with the external container 205 , although the skilled artisan will appreciate a variety of other methods of removably closing the lid 210 upon the container 205 .
  • the lid 210 of the illustrated embodiment is removable and includes an inlet conduit 215 and an outlet conduit 220 , as well as a plurality of manual isolation valves 222 , 224 , 226 for use when opening the vessel 200 for maintenance or recharging.
  • the lid is removed for charging the vessel, while the plurality of valves are used to direct flow through, from or around the vessel.
  • the illustrated embodiment provides a segregating, long and winding path through a plurality of solid source beds, and provides this structure within a single outer container 205 .
  • the beds are provided in a plurality of stacking trays configured to form the segregating and winding flow path simply by their interconnection.
  • four trays are stacked: three upper trays 230 and one lower tray 240 .
  • the number of trays can vary based on parameters such as the sublimation rate, carrier flow, etc.
  • each upper tray 230 includes a solid divider 231 , preventing gas flow therethrough and extending the full height of the tray 230 , and a partial divider 232 that allows gas flow therethrough.
  • the partial divider includes a screen 233 configured to retain large precursor particulates while allowing free gas flow therethrough.
  • the screen 233 extends across the top portion of the partial divider 232 , while a solid panel completes the height of the partial divider 232 .
  • An annular rim 234 also extends the height of the upper tray 230 .
  • the solid divider 231 and the partial divider 232 together define a main compartment 235 for holding solid source material (not shown) and an outer channel compartment 236 that is open at the lower surface of the tray 230 .
  • the illustrated upper tray 230 also has a central core 237 that includes a central channel 238 (to accommodate the gas inlet pipe), a plurality of pegs 239 on an upper surface thereof and a corresponding plurality of holes (not shown) on a bottom surface thereof for receiving the pegs of another tray therebelow.
  • the holes on the lower surface of the central core 237 are desirably rotationally offset relative to the pegs 239 on the upper surface, serving to properly align the plurality of trays upon one another to define the winding flow path.
  • the corners in the main compartment to which the flow is exposed are rounded to minimize flow stagnation from sharply angled corners.
  • the lowest tray 240 comprises a solid divider 241 , preventing gas flow therethrough and extending the full height of the tray 240 , and a partial divider 242 that allows gas flow thereover.
  • the partial divider 242 simply provides an opening to the central channel 238 in the middle of the overlying upper tray 230 , as will be better understood in view of the description of FIGS. 18 and 19 below.
  • An annular rim 244 also extends the height of the lower tray 240 .
  • the rim 244 , the solid divider 241 and the partial divider 242 together define a main compartment 245 for holding solid source material (not shown) and an outer channel compartment 246 .
  • the solid source material only fills the main compartment 245 up to and even with channel compartment 246 . In alternate embodiments, the solid source material fills the between one third and two thirds of the height of the main compartment.
  • the illustrated lower tray 240 also has a central core 247 into which the channel compartment 246 protrudes, a plurality of pegs 249 on an upper surface thereof and a corresponding plurality of holes (not shown) on a bottom surface thereof for receiving the pegs of the floor that protrude from the outer container 205 (see FIGS. 10-11 ).
  • the vessel 200 is assembled as shown in the exploded view of FIG. 18 and the assembled cross section of FIG. 19 .
  • the main compartments 235 , 245 for each of the upper trays 230 and the lower tray 240 are loaded with solid precursor, preferably in the form of powder.
  • the lower tray 240 and plurality of upper trays 230 are stacked upon one another and loaded into the outer or external container 205 .
  • the trays 230 , 240 are aligned by the pegs 239 , 249 and corresponding holes such that gas flows into each tray, preferably at least flowing a lap of greater than 200° (up to about 355 degrees) around the main compartment and then up into the channel compartment 236 of the overlying upper tray 230 .
  • the lid 210 is then closed and sealed over the external container 205 , and a central pipe extending from the lid extends down through the central channels 238 of the upper trays 230 to open into the channel compartment 246 of the lower tray 240 .
  • This loading and assembly operation is preferably conducted in a glove box.
  • a spring or similar device (not shown) is often placed below 240 to bias all trays together, preventing leaks from the central core to a different level.
  • inert gas is preferably provided through an inlet pipe, and experiences a long and winding flow route horizontally, preferably through at least a 200° arc and preferably at least 350° of the main compartment in each tray 230 , 240 before vertically exited that tray.
  • inert carrier gas is provided through a central inlet 215 that extends down through the aligned central channels 238 of the upper trays 230 to open into the channel compartment 246 of the lower tray 240 . The inert gas winds through the solid precursor in the main compartment 245 until encountering an opening in the lower surface of the overlying upper tray 230 .
  • This opening allows the carrier gas, and the vaporized precursor it carries with it, to pass into the channel compartment 236 of the overlying upper tray 230 , from which the gas passes through the screen 233 (see FIG. 14 ) and into the main compartment 235 .
  • the gas winds through solid precursor in that main compartment 235 , preferably through at least an arc of 200° and preferably at least 350° before encountering an opening in the lower surface of the overlying upper tray 230 , etc.
  • the gas is allowed to exit through the outlet conduit 220 . It will be understood, of course, that the flow path can be reversed if desired.
  • the pulse of the carrier gas is preferably substantially saturated with the precursor vapor by contacting the carrier gas with the solid precursor along a carrier gas contact pathway with a residence time at least 1 ⁇ greater (i.e., double) than that needed for a desired pulse duration, but preferably 5 ⁇ greater than the pulse duration.
  • the void volume height in the main compartment should be such that the diffusion time from solid surface to top of the channel is less than the gas residence time.
  • the void volume height is dependent on the flow rate of the carrier gas through the tray.
  • Minimum path length is preferably defined such that the residence time of the fluid (carrier and vapor) is greater than the desired pulse time in pulsed flow mode and preferably greater than 5 residence times during continuous flow (or very long pulses) operation.
  • the length of the flow channel is desirably at least 1.3 meters to ensure that a substantially saturated pulse is sent to the reactor in pulsed mode or more preferably 6.5 meters in length (5 ⁇ 1.3) to ensure substantial saturation. If the time between pulses is too short in pulsed flow mode, sub-saturation could result because the vapor phase has too little time to replenish itself from subliming solid.
  • the 1.3 m/sec gas velocity is dependent on a carrier flow rate of 800 sccm and channel cross sectional area. If the flow rate is reduced, the length also goes down proportionately. If only 200 sccm of substantially saturated N 2 gas is needed for the ALD reactor design, then the minimum and preferred lengths would be lowered accordingly.
  • the maximum vessel volume (no powder or trays) is preferably 12 in. diameter by 16 in. high, giving an empty vessel volume of 3 ⁇ 10 7 mm 3 .
  • the initial solid source fill would preferably be greater than 50% of this volume.
  • the tray minimum volumes and fill percentages are preferably the same for the embodiments shown in FIG. 20A-20C .
  • FIGS. 20A-20C one or more of divided trays 328 , 329 , or 330 is employed in the vessel system shown in FIG. 18 .
  • FIG. 20A shows a divided lower tray 328
  • FIG. 20B shows an upper tray 329 .
  • the divided tray 330 includes a secondary partial divider 334 partially dividing (in the horizontal direction) the main compartment into a first path 340 and a second path 342 , as compared with tray 230 ( FIG. 15 ) which has a single path in the form of main compartment 235 .
  • the secondary partial divider 334 is configured to guide the carrier gas flow 331 to travel in one direction around the tray preferably at least about a 200° arc (more preferably at least 300°) on a first tray path 340 and, then, turn 180° around and travel preferably at least about a 200° arc (more preferably at least 300°) in the opposite direction on a second tray path 342 before exiting the tray 330 .
  • the first tray path 340 is connected to the second tray path 342 by a gap 344 in the secondary partial divider 334 which serves to join the end of the first tray path 340 with the beginning of the second tray path 342 .
  • This gap 344 is where the carrier gas flow 331 is guided to performs a “U-turn” of about 180° and change directions to begin traveling the second tray path 342 .
  • the corners to which the flow is exposed at proximate to the gap 344 are rounded to minimize flow stagnation from sharply angled corners.
  • An inlet conduit feeds into at inlet 350 at the beginning of the first tray path 340 , preferably having a filter plate 355 which extends to substantially prevent powder from entering both the inlet 350 and the outlet 354 located at the end of the second tray path 342 .
  • the outlet 354 serves as an exit for the carrier gas flow 331 from the second tray path 342 to an overlying tray (not shown) via the outlet conduit (not shown).
  • the inlet conduit enters the tray in the center and channels the gas to the first path 340 .
  • the inlet conduit is curved in order to begin curving the carrier gas flow into the preferred helical path defined by the secondary partial divider 334 .
  • the outlet 354 channels the carrier gas flow 331 to an inlet conduit of an overlying upper tray 329 .
  • the inlet conduit feeds into the inlet 350 and the carrier gas flow 331 then is channeled to travel in one direction around the tray preferably at least about 200° (more preferably at least 300°) on a first tray path 340 and, then, turn around and travel preferably at least about 200° (more preferably at least 300°) in the opposite direction on a second tray path 342 .
  • the embodiment shown in FIG. 20C also has a secondary partial divider 334 configured to guide the carrier gas flow 331 to travel in one direction around the tray, preferably at least about 200° (more preferably at least 300°), on a first tray path 340 and, then, turn around and travel preferably at least about 200° (more preferably at least 300°) in the opposite direction on a second tray path 342 before exiting the tray 330 .
  • a filter 333 is located at the end of the second tray path 342 before an outlet 354 at the end of the second tray path 342 .
  • the outlet 354 serves as an exit from the second tray path 342 to an overlying tray (not shown) via the outlet conduit (not shown).
  • the trays shown in FIGS. 20A-20C are configured so that the direction of the carrier gas flow is reversed, e.g. the components are arranged so that the first tray path is the innermost path and the second tray path is the outermost path in the tray or vice versa. It should be noted that employing the trays shown in FIGS. 20A-20C increase (relative to the tray shown in FIG. 15 ) the effective length of the sublimation bed thereby increasing the average residence time.
  • Preferred arrangements of the aforementioned embodiments also employ a heater (not shown) located in the sublimation apparatus 29 .
  • the heater is used to maintain a solid source precursor in the vessel 1 at or above a temperature needed to effect a significant vapor pressure of the solid source precursor.
  • the heat source comprises a radiant heater, which is placed within an evacuated sublimation apparatus 29 .
  • Reflectors are preferably utilized in the sublimation apparatus 29 to reflect the radiant energy to the vessel 1 .
  • Further detail regarding the heater in the sublimation apparatus can be found in U.S. Provisional application Ser. No. 09/854,706 filed May 14, 2001 and entitled “Method And Apparatus For Feeding Gas Phase Reactant into a Reaction Chamber,” the disclosure of which is hereby incorporated by reference for this purpose.
  • FIG. 21 shows a method of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface.
  • a carrier gas is contacted 400 with a precursor vapor from a solid precursor source in a sublimation vessel so that the carrier gas is substantially saturated with the precursor vapor.
  • the substantially saturated carrier gas is channeled 410 from the vessel and through a conduit to a substrate processing chamber.
  • the substantially saturated carrier gas is then pulsed 420 into the substrate processing chamber.
  • the flow of substantially saturated carrier gas from the vessel is stopped 430 while vaporization continues in the closed vessel and the substantially saturated carrier gas is purged 440 from the chamber with a substantially inert gas.
  • producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises heating the solid precursor to affect a vapor pressure between 0.1 and 100 Torr.
  • Pulsing, stopping, and purging comprise a cycle and the cycle is repeated at least twice during the deposition of the layer.
  • the recharge period of the sublimation vessel is less than the time required to purge the reaction chamber or preferably 100 milliseconds to 5 seconds between stopping the flow (or isolating the sublimation vessel from the processing chamber) and a next pulsing of the substantially saturated carrier gas into the substrate processing chamber.
  • the duration of each pulsing in a cycle comprises at least 50 milliseconds, more preferably 0.1-10 seconds and, after each cycle is completed, the substrate surface is substantially saturated with adsorbed species of the precursor vapor, i.e. the adsorbed precursor occupies all available reaction sites on the substrate accounting for steric hindrance.
  • each pulse is substantially saturated for at least 100,000 pulsing cycles. More preferably, each pulse is substantially saturated for at least 500,000 cycles.
  • FIG. 20 illustrates the cycle for each single reactant.
  • the method steps shown can be easily adapted in view of FIG. 9 (employing a first and second reactant) to include alternating two or more different reactants.
  • no more than one monolayer of the precursor is deposited per cycle. More preferably, each cycle deposits a layer having a thickness of about 1-5 ⁇ .
  • a second carrier gas with a second precursor vapor from a second solid precursor source is employed so that the carrier gas is substantially saturated with the second precursor vapor. More typically, a second precursor is naturally gaseous, such as NH 3 , O 2 , etc.
  • the sublimation vessel design produces a substantially plug flow residence time distribution inside the vessel. This, in turn, is beneficial for creating a saturated carrier gas as it flows along the primary axis of the vessel, which is advantageous for ALD processing.
  • producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source along a gas contact pathway with a channel length much greater than its width.
  • producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source that coats a support medium.
  • Preferred arrangements of the aforementioned embodiments have carrier gas guidance structures configured to maximize the amount of solid source surface area which the carrier gas contacts in order that the carrier gas picks up sufficient vapor reactant to be saturated over each pulse length.
  • This repeated saturation preferably occurs even under operating conditions where the saturation of the carrier gas continues for greater than 100,000 pulses of carrier gas, each pulse lasting for greater than 0.1 seconds and more preferably up to about 30 seconds, e.g., in high aspect ratio structures where the vapor reactant diffuses down into a wafer trench.
  • a heat source preferably increases the vapor pressure over the solid source for a vapor reactant.
  • the carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a long and winding contact pathway having a length greater than about double the linear distance measured from the inlet port to the outlet port. More preferably, the carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a non-linear (e.g., winding and segregated) contact pathway having a length greater than about 2.5 times, more preferably 4 times, a linear distance measured from the inlet port to the outlet port.
  • a non-linear (e.g., winding and segregated) contact pathway having a length greater than about 2.5 times, more preferably 4 times, a linear distance measured from the inlet port to the outlet port.
  • the preferred embodiments facilitate high carrier gas conductance rate as a result of the large amount of open void volume in the sublimation bed while, at the same time, preventing the conductance of the sublimation bed from varying greatly over time as the solid source material is depleted.
  • the support medium or the guidance structure is further configured to facilitate the repeated saturation of the carrier gas with the vapor reactant when the time between successive pulses is greater than 0.400 seconds.
  • the preferred embodiments disclosed herein are capable of substantially saturating a carrier gas with vapor reactant even under relatively long duration pulses and/or after a relatively large number of pulses.
  • the preferred embodiments are capable of saturating a carrier gas with vapor reactant (from a solid source) under conditions which would quickly exhaust a conventional sublimation bed and result in an unsaturated carrier gas and poor deposition.
  • the preferred embodiments disclosed herein are not only capable of substantially saturating a carrier gas with vapor reactant under typical ALD conditions, but also even under relatively extreme ALD conditions, e.g., over a very high number of pulses of the same reactant and/or very long pulses and/or with very short periods of time between pulses.
  • the time between successive pulses is partially dependent on whether the substrate processing system is configured to process a single wafer at a time or multiple wafers at once (e.g., a batch process) and/or very large substrates (e.g., flat panel displays).
  • the time between successive pulses of the same reactant is preferably less than 30 seconds and, more preferably less than 10 seconds.
  • the pulse duration is less than between 3%-40% of the cycle length, more typically 10%-25% of the cycle length, for 2-reactant cycles.
  • a cycle can range as high as 2-5 minutes.
  • the solid source vessels described herein will facilitate substantial saturation for any design of ALD equipment and any commercially viable pulsing sequences.
  • the sublimation vessel parameters disclosed herein would be scaled to account for these longer process times while maintaining the typical pulse duration to the cycle length (e.g. 3%-40% or 10%-25%).
  • the “effective bed distance” of the sublimation bed will also be considered.
  • the “actual bed distance” is the distance between where carrier gas first contacts a solid source chemical, regardless of whether the solid source material is exhausted or unexhausted, until the point where carrier gas no longer can contact solid source material, which is generally at the point where the carrier gas is channeled out of the sublimation bed.
  • the actual bed distance remains constant and does not change over the life of the bed, as compared with the effective bed distance, which decreases in length over time as solid source material is exhausted.
  • the gas picks up vapor reactant from solid source material as it moves along the length of the bed.
  • the solid source material located closest to the inlet for the carrier gas will become depleted before the solid source material located further along the sublimation bed, while the solid source material located furthest from where the carrier gas enters will be the last to become depleted. If the gas flow rate is fixed, then at a certain effective bed position, or saturating position, the carrier gas will be saturated with the solid source. At any point in the bed beyond this position, the carrier gas will still be saturated.
  • this saturation position normally shifts closer to the carrier gas outlet over time as the solid erodes, but the distance between the beginning of the effective bed distance and the saturation point remains constant until the bed is depleted to the point where it can no longer saturate the carrier gas at a constant flow rate and temperature.
  • Preferred embodiments of the present invention are designed to allow for this pattern of bed depletion over time while maintaining saturation until depletion approaches the downstream end of the vessel.
  • Preferred embodiments also result in the carrier gas being substantially saturated with precursor vapor.
  • the carrier gas is considered substantially saturated with precursor vapor if it contains between 90% and 100% of material saturation vapor pressure under the vessel conditions (e.g., temperature and pressure) at the outlet.
  • the sublimation bed is preferably designed such that its physical length exceeds z c , thus facilitating the carrier gas reaching saturation.
  • the sublimation bed is also configured to have a safety margin.
  • the additional length accounts for uncertainties in the reaction rate expression or use of the plug flow reactor idealization and, also, accounts for material consumption over time.
  • the safety margin also allows the bed to sustain saturation of the carrier gas flow at gas flow rates higher than the design flow rate for a envisioned for the typical fabrication process.
  • preferred embodiments of the present invention employ a sublimation bed having an effective bed length which is designed to repeatedly sustain saturation of the carrier gas at 1.25 times the maximum carrier flow rate for many thousands and more preferably several hundred thousand cycles, where each cycle is on the order of about 1 second.
  • the structure will sustain a pulse for greater than 0.020 slm equivalent pure precursor (and for about 10 ⁇ that for carrier and chemical) when used in conjunction with a single wafer reactor (e.g., 300 mm wafer size), more preferably, greater than 0.040 slm pure precursor (0.4 slm with the carrier flow), and greater than 0.5 slm in pure precursor when used in conjunction with a batch wafer reactor, more preferably, greater than 1 slm.
  • the structure is selected to enable repeated saturation of the carrier gas with the solid source for a vapor reactant for greater than 100,000 successive pulses, each pulse lasting for about 0.1-10 seconds.
  • the sublimation bed is capable of producing a flow which approaches an idealized residence time distribution known as plug flow.
  • Ideal plug flow implies that there is infinite mixing of fluid particles in the radial direction and no mixing in the axial (flow) direction.
  • ideal plug flow also implies a uniform velocity profile across the channel perpendicular to flow and that the residence time is a constant. For example, in an ideal plug flow reactor tube having alternating red slices and green slices moving through the tube, the two color slices would enter and exit the tube with no exchange of color.
  • FIG. 22A shows a graph of illustrating the saturated concentration (C sat ) of precursor in the carrier gas over time in an ideal plug flow reactor (or sublimation vessel). The graph in FIG.
  • C f C sat (1 ⁇ exp( ⁇ k ⁇ )) (Equation 3) where C f is the precursor concentration at the vessel outlet, C sat is the saturation concentration of the material, k is a constant, and ⁇ is time.
  • FIG. 22B shows a graph of the precursor concentration at the vessel outlet for an ideal stirred tank.
  • RTD residence time distribution
  • Equation 5 The degree to which these embodiments approach plug flow can be determined by using a dispersion model equation, such as Equation 5 below, and fitting D/uL to the experimentally collected vessel response curve to a step change in concentration at the vessel inlet.
  • one method to determine the actual sublimation vessel residence time response of the preferred embodiments is to send in a pulse of N 2 when the sublimation vessel or reactor is filled with Helium (He) and then measure the He concentration change with a mass spectrometer.
  • He Helium
  • Preferred embodiments exhibit a substantial degree of plug flow behavior, thereby producing a substantial plug flow, which is defined for purpose of the present disclosure when their actual residence time response is substantially equal to a residence time response observed in a dispersion model reactor vessel with D/uL ⁇ 0.025 or a tubular reactor (or a sublimation bed employing trays) exhibiting a response curve similar to a laminar flow reactor, where none of the fluid has a residence time less than half the average residence time
  • model flow reactors can be found in Smith, J. M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 268-291 (1981), the disclosure of which is incorporated by reference for this purpose.
  • Preferred embodiments result in the carrier gas being substantially saturated with precursor vapor.
  • the carrier gas is considered substantially saturated if the carrier gas contains between about 90% and 100% of the maximum amount of precursor vapor possible under the conditions (e.g., temperature and pressure) within the sublimation vessel or “reactor”.
  • Certain preferred embodiments, rather than being limited to pure plug flow arrangements, are configured in accordance with other models (e.g., series of stirred tanks, laminar flow reactor, or dispersion reactors with low D l /uL values) that are close enough to the idealization to allow the production of multiple, consecutive pulses of carrier gas substantially saturated with precursor vapor.
  • a packed bed reactor of cylindrical type geometry closely approximates plug-flow because the packing (tortuous path) gives very good mixing in the radial (R) direction, but very little mixing along its length. Additional detail regarding packed bed reactors can be found are covered in Smith, J. M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 554-563 (1981), the disclosure of which is incorporated by reference for this purpose.
  • the diffusive mass transfer time from the solid source surface to the top of the channel i.e., over the channel height, is described by: ⁇ dff ⁇ 2.3 ⁇ H 2 D 12 ( Equation ⁇ ⁇ 6 ) where H is the height of the channel (gas phase), and D 12 is the diffusion coefficient.
  • ⁇ dff is the concentration of HfCl 4 at the top of the channel reaches 90% of the concentration at the solid surface.
  • the effective bed length is increased greatly without necessitating a proportionate increase in the length of the sublimation vessel.
  • This increase in effective length is facilitated by guidance structures, including support elements coated with solid source material and flow guides, each of the guidance structures being configured to channel the carrier gas through contact pathways designed to saturate the carrier gas over a relatively short distance (as measured by the direct distance between the carrier gas inlet and the outlet) and to expose the carrier gas to a large surface area of subliming solid source material.
  • the preferred embodiment features including a low coating thickness relative to the sphere diameter, relatively high bed porosity (i.e., void fraction), and large, overall solid surface area, are numerically illustrated.
  • the sublimation bed is capable of producing a substantially plug flow, i.e. approaches an ideal plug flow.
  • each pulse of flow into the vessel will preferably push a “slice” of carrier gas saturated with precursor vapor out.
  • sccm the flow rate through the vessel
  • the channel is 2.5 cm ⁇ 2.5 cm
  • k is 0.1/sec
  • a length of 80 cm gives a 30 sec. residence time.
  • the plug flow reactor gives the highest degree of conversion or approach to saturation of the reactor designs shown in Table 3 below, most notably compared to a stirred volume. It is therefore desirable for embodiments to attempt to approach the PFR and produce a substantial plug flow, which is accomplished by moving the gas unidirectionally over the material with minimized axial dispersion/mixing.
  • FIGS. 15-20B were modeled and the results are represented by the plot shown in FIG. 24 , showing the residence time distribution (RTD) curve for the vessel with shelves.
  • the plot shown in FIG. 24 is the result of a fluid mechanical modeling of packed vessel embodiments and it shows that the RTD is very close to that of an ideal PFR.
  • the individual data points are shown in Table 4 below.
  • the plotted results substantially equates to a dispersion model with a very low D L /uL. In other words, the resulting curve is similarly shaped to the plug flow curve in FIG. 23 .
  • This plotted curve shows the response of the vessel to a step function change in concentration at the inlet. This is the J(theta), or Residence Time Distribution (RTD) function. Its conventional definition is the fraction of the effluent stream having a residence time less than theta.
  • C o is the concentration of the tracer fluid step at the inlet and C is its concentration at the outlet. At time zero, there is none of the tracer fluid in the reactor, but the fluid going into the reactor is changed to have a concentration C o .
  • C/C o is a dimensionless group that will go from 0 to 1.
  • the graph shown in FIG. 23 shows how long it takes, in terms of number of average residence time (dimensionless or scaled) to achieve 1 and the shape of the curve.
  • the plot shown in FIG. 24 is a standard way of showing the mixing behavior of sublimation vessels (or any volume that carries fluid).
  • the C/C o of certain packed bed preferred embodiments does not even start deviating from 0.0 until 0.96 (theta/theta average).
  • the curve of D L /uL of 0.002 is already above C/Co of 0.5. If the scale of the above plot is changed from 0-2.0, the comparison between FIGS. 23 and 24 are even more evident.
  • a feature of the preferred embodiments is improved vapor/solid contact time and improved solid source surface area to vapor volume ratio as a result of, among other factors, the avoidance of problematic “tunneling.” Another feature of preferred embodiments is enhanced vapor/solid contact time. Yet another feature of preferred embodiments is the allowance of relatively even gas flow resistance over the life of a sublimation bed. Another feature of certain preferred embodiments is the production of a carrier gas flow substantially saturated with precursor in the sublimation vessel, which is substantially exhibiting plug flow mixing behavior. Each of these features preferably contributes to an ability to saturate carrier gas in each pulse over an extended number of pulses without recharging the solid source material. Accordingly, a predictable amount of reactant is provided in each pulse, allowing far greater control over semiconductor processing, particularly ALD.

Abstract

Preferred embodiments of the present invention provides a sublimation system employing guidance structures including certain preferred embodiments having a high surface area support medium onto which a solid source material for vapor reactant is coated. Preferably, a guidance structure is configured to facilitate the repeated saturation of the carrier gas with the solid source for a vapor reactant. Methods of saturating a carrier gas using guidance structures are also provided.

Description

    REFERENCE TO RELATED APPLICATION
  • The present application is a divisional of U.S. patent application Ser. No. 10/629,029, filed Jul. 29, 2003, which claims the priority benefit under 35 U.S.C. § 119(e) of Provisional Application No. 60/400,210, filed on Jul. 30, 2002, both of which are incorporated herein by reference in their entireties. The present application is related to U.S. patent application Ser. No. 10/463,309, filed on Jun. 16, 2003, which is incorporated herein by reference in its entirety.
  • FIELD OF THE INVENTION
  • The present invention relates generally to the field of substrate fabrication and, more specifically, to semiconductor processing apparatuses designed to effectively vaporize a solid source material.
  • BACKGROUND AND SUMMARY OF THE INVENTION
  • In the fabrication of integrated circuits on substrates, such as semiconductor wafers, the vapor deposition of chemicals, such as chemical vapor deposition (“CVD”) and more recently atomic layer deposition (ALD), is often desirable. The expansion of suitable source chemicals has increasingly led to use of precursor materials that are naturally liquid or solid at room temperature and atmospheric pressures.
  • In order to effectively deposit using precursors from a solid source material or chemical, a solid source material must, of course, first be vaporized. In pursuit of this goal, sublimation apparatuses are used to effectuate the vaporization of a solid source material. In addition, heat sources are often employed in conjunction with such an apparatus in order to increase the vapor pressure above the solid source material.
  • Unfortunately, existing semiconductor processing systems, of which a sublimation apparatus is a component, have a number of shortcomings including offering both an inadequate ratio of solid source surface area to vapor volume, and poor vapor/solid contact time. Often, current processing systems can allow carrier gas to flow from inlet to outlet without intimately contacting the solid source material, thus preventing the carrier gas from becoming saturated with solid source vapor. In addition, a conventional sublimation bed, which seeks to increase vapor/solid contact time, is often prone to “tunneling.” Tunneling results from the tendency of gas to flow preferentially along low resistance paths, rather than through the bulk of the powder, such that progressively smaller solid precursor surface area is exposed to the gas flow as the tunnel through the powder widens. It is thus progressively more difficult to saturate the carrier gas, even though the sublimation bed contains plenty of unvaporized solid source powder.
  • The present invention provides improved semiconductor processing systems. In the illustrated embodiments, the systems include a guidance structure, such as a support medium having a surface onto which a solid source for vapor reactant is coated. The illustrated guidance structures are configured to facilitate the repeated saturation of the carrier gas with the solid source for a vapor reactant.
  • In accordance with one aspect of the invention, a substrate processing system is provided with a source of a carrier gas, a support medium having a surface onto which a solid source for vapor reactant is coated and a reaction chamber located downstream of the support medium. The support medium is configured to guide the carrier gas, which originates from the carrier gas source, through the support medium.
  • In accordance with another aspect of the invention, a sublimation system is provided with a source of carrier gas and flowable support elements onto which a solid source for vapor reactant is coated. The support elements are configured to guide the carrier gas through the support medium in a generally non-linear contact path.
  • In accordance with yet another aspect of the invention, a sublimation apparatus comprises a sublimation vessel, a bed of a solid source for vapor reactant within the vessel, and a guidance structure configured to guide the carrier gas to contact the vapor reactant from the bed of the solid source material.
  • In accordance with a preferred embodiment, the guidance structure is configured to segregate and guide the carrier gas over the surface area of the solid bed by providing a winding contact pathway. Preferably, this path is also long and narrow. A vessel inlet port is located at the beginning of the contact pathway, while a vessel outlet port is located at the end of the contact pathway. The carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a substantially segregated and winding contact pathway having a length greater than about 2.5 times a linear distance measured from the inlet port to the outlet port.
  • In accordance with other preferred embodiments, methods of processing a substrate and methods of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface are provided. Preferably, these methods substantially saturate a carrier gas with precursor vapor. In certain preferred embodiments, a substantial plug flow of the carrier gas exits a sublimation vessel substantially saturated with precursor vapor by substantially exhibiting a plug flow residence time distribution by design within said vessel.
  • A feature of preferred embodiments of the present invention is that a precise and consistent quantity of reactant vapor can be delivered to a deposition chamber at high frequency. An additional feature of the preferred embodiments is an increased ratio of exposed solid source surface area to sublimation vessel volume as a result of, among other factors, the avoidance of problematic “tunneling.” Another feature of preferred embodiments is increased vapor/solid contact time. Yet another feature of preferred embodiments is the allowance of relatively even gas flow resistance over the life of a sublimation bed. Another feature of certain preferred embodiments is the production of a substantially plug flow residence time distribution of the carrier gas substantially saturated with precursor vapor.
  • These and other features are outlined in greater detail in the preferred embodiments described below.
  • For purposes of summarizing the invention and the advantages achieved over the prior art, certain objects and advantages of the invention have been described herein above. Of course, it is to be understood that not necessarily all such features, objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
  • All of these embodiments are intended to be within the scope of the invention herein disclosed. These and other embodiments of the present invention will become readily apparent to those skilled in the art from the following detailed description of the preferred embodiments having reference to the attached figures, the invention not being limited to any particular preferred embodiment(s) disclosed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic view of a substrate processing system having a vessel located between a carrier gas source and a deposition chamber.
  • FIG. 2A is a schematic cross-section of a sublimation apparatus in accordance with an embodiment of the present invention, the apparatus including a vessel packed with solid source coated beads.
  • FIG. 2B is a magnified view of the solid source coated beads from FIG. 2A.
  • FIG. 2C is magnified view of a single solid source coated bead from FIG. 2B.
  • FIG. 2D is a magnified view of solid source flowable support elements in accordance with an alternate embodiment of the present invention.
  • FIG. 3A is an isometric cutaway view of a vessel holding a filter, having filter fibers coated with a solid source for vapor reactant, in accordance with another embodiment of the present invention.
  • FIG. 3B is a cross-section taken along lines 3B-3B in FIG. 3A.
  • FIG. 3C is a magnified view of a section of the filter shown in FIG. 3B, illustrating the individual solid source coated fibers that form the filter.
  • FIG. 4A is a isometric cutaway view of a vessel holding a solid source coated, multiple intersecting plate structure in accordance with another embodiment.
  • FIG. 4B is a cross-section taken along lines 4B-4B in FIG. 4A.
  • FIG. 4C is a magnified view of a portion of the multiple intersecting plate structure shown in FIG. 4B, illustrating the solid source coated plates that form the multiple intersecting plate structure.
  • FIG. 5A is an isometric cutaway view of a vessel holding a screen cartridge having a plurality of solid source coated screens in accordance with another embodiment.
  • FIG. 5B is a cross-section taken along lines 5B-5B in FIG. 5A.
  • FIG. 5C is a magnified view of a portion of the screen shown in FIG. 5B, illustrating the solid source coated screen members that form the screen.
  • FIG. 6A is a perspective view of a bundle of solid source coated tubes, in accordance with another embodiment.
  • FIG. 6B is a perspective view of a vessel employing the bundle of solid source coated tubes of FIG. 6A.
  • FIG. 6C is a magnified perspective view of a few of the solid source coated tubes shown in FIG. 6A.
  • FIG. 7A is a perspective view of a vessel holding a coiled continuous tube having an interior solid source coating, in accordance with another embodiment.
  • FIG. 7B is a perspective view of an alternate arrangement of the embodiment shown in FIG. 7A, the alternate arrangement lacking a vessel.
  • FIG. 7C is a magnified perspective view of the coiled tube shown in FIGS. 7A and 7B, the tube having an interior solid source coating.
  • FIG. 8A is a side cross-section of a vessel employing a helical flow guide within a continuous bed of solid source powder in accordance with another embodiment.
  • FIG. 8B is a top cross-section taken along lines 8B-8B in FIG. 8A.
  • FIG. 9 is a flowchart of a method of using a solid source for vapor reactant, in accordance with preferred embodiments of the invention.
  • FIG. 10 is a perspective view of a solid source vessel constructed in accordance with another embodiment of the present invention.
  • FIG. 11 is a perspective view of an external container from the vessel of FIG. 10.
  • FIG. 12 is a perspective view of a lid from the vessel of FIG. 10, including a plurality of associated valves and gas tubes.
  • FIG. 13 is perspective view of the solid source vessel of FIG. 10, showing inner components in phantom lines.
  • FIG. 14 is a perspective view of a lower stacking tray for use within the solid source vessel of FIG. 10.
  • FIG. 15 is a top plan view of the lower stacking tray of FIG. 14.
  • FIG. 16 is a perspective view of a lower stacking tray for use within the solid source vessel of FIG. 10.
  • FIG. 17 is a top plan view of the lower stacking tray of FIG. 16.
  • FIG. 18 is an exploded perspective view of the vessel shown in FIG. 10 during assembly.
  • FIG. 19 is a partially cutaway perspective view of the vessel of FIG. 10.
  • FIG. 20A is a schematic perspective top and front view of a lower stacking tray having a secondary partial divider, in accordance with an embodiment of the present invention.
  • FIG. 20B is a perspective schematic perspective top and front view of an upper stacking tray having a secondary partial divider for use with the lower stacking tray shown in FIG. 20A.
  • FIG. 20C is a top plan view of an upper stacking tray having a secondary partial divider, in accordance with an alternate arrangement of the tray shown in FIG. 20B.
  • FIG. 21 is a flow chart of a method of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface, in accordance with an embodiment of the present invention.
  • FIG. 22A shows a graph illustrating the saturated concentration (Csat) of precursor in the carrier gas over time in an ideal plug flow reactor.
  • FIG. 22B shows a graph illustrating the saturated concentration (Csat) of precursor in the carrier gas over time in an ideal stirred tank reactor.
  • FIG. 23 shows the response curves for various dispersion models, including plug flow and stirred tank models.
  • FIG. 24 is a graph of the plotted fluid mechanical modeling results of the vessel embodiments shown in FIGS. 15-20B, the graph showing the residence time distribution (RTD).
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • There are several vapor deposition methods for growing thin films on the surface of substrates. These methods include vacuum evaporation deposition, Molecular Beam Epitaxy (MBE), variants of chemical vapor deposition (CVD) (including low-pressure and organometallic CVD and plasma-enhanced CVD), and atomic layer epitaxy (ALE), which is more recently referred to as atomic layer deposition (ALD).
  • ALE or ALD is a deposition method that is based on the sequential introduction of precursor species (e.g., a first precursor and a second precursor) to a substrate, which is located within a reaction or processing chamber. The growth mechanism relies on the adsorption of one precursor on active sites of the substrate. Conditions are such that no more than a monolayer forms in one pulse so that the process is self-terminating or saturative. For example, the first precursor can include ligands that remain on the adsorbed species, which prevents further adsorption of the first precursor. Temperatures are maintained above precursor condensation temperatures and below thermal decomposition temperatures such that the first precursor chemisorbs on the substrate(s) largely intact. This initial step of adsorption is typically followed by a first evacuation or purging stage wherein the excess first precursor and possible reaction byproducts are removed from the reaction chamber. The second precursor is then introduced into the reaction chamber. The second precursor can react with the adsorbed species, thereby producing a monolayer of the desired thin film. For example, the second precursor can replace the terminating ligands of the previously adsorbed species in a ligand exchange process. In another arrangement, an intermediate reactant can strip the ligands of the previously adsorbed species, allowing the next reactant to directly react with or absorb upon the remaining monolayer. This growth terminates once the entire amount of the adsorbed first precursor has been consumed. The excess of second precursor and possible reaction byproducts are then removed by a second evacuation or purge stage. The cycle can be repeated to grow the film to a desired thickness. Cycles can also be more complex. For example, the cycles can include three or more reactant pulses separated by purge and/or evacuation steps for producing binary, ternary, quaternary, etc. compounds.
  • ALE and ALD methods are described, for example, in Finnish patent publications 52,359 and 57,975 and in U.S. Pat. Nos. 4,058,430 and 4,389,973, which are herein incorporated by reference. Apparatuses suited to implement these methods are disclosed in, for example, U.S. Pat. No. 5,855,680, Finnish Patent No. 100,409, Material Science Report 4(7) (1989), p. 261, and Tyhjiotekniikka (Finnish publication for vacuum techniques), ISBN 951-794-422-5, pp. 253-261, which are incorporated herein by reference. ASM Microchemistry Oy, Espoo, Finland, supplies such equipment for the ALD process under the trade name ALCVD™. ASM America also supplies such ALD equipment under the trade name Pulsar®.
  • In some ALD reactors, some or all of the precursors may be initially stored in a container in a solid state. Within the container, the precursor is heated to convert the solid precursor to a gaseous or vapor state. Typically, a carrier gas is used to transport the vaporized precursor to the reactor. The carrier gas is usually an inert gas (e.g., nitrogen), which can be the same gas that is used for purging stages.
  • The aforementioned problems involving existing sublimation apparatuses, including tunneling and inadequate solid/gas contact, are exacerbated by non-steady state substrate fabrication conditions, such as those used in atomic layer deposition (“ALD”), where great importance is placed on the ability of a sublimation apparatus to repeatedly and precisely meter and deliver substantially saturated or at least predictable precursor content in each pulsed flow. In other words, ALD reactors desirably expose the substrate for a brief period of time to a vapor of known, controlled, and reproducible concentration over the life of each pulse.
  • In one particular embodiment employing ALD, carrier gas flow is pulsed at a regular frequency through the vessel, by allowing carrier flow through, then isolating the vessel volume. The non-steady state conditions inherent in the pulsed carrier gas flow result in particular problems if conventional sublimation apparatus designs are employed. For example, when the absolute gas flow through a solid source sublimation bed, is not actively controlled, but is rather dependent on the relative resistance of two or more alternative paths, the gas flow will vary with the changing shape of the sublimation bed and resulting change in flow resistance. This fluctuation in absolute gas flow through the sublimation bed can result in varying precursor content per pulse and consequent non-uniform deposition of deposited layers upon a wafer, thus resulting in a faulty wafer. For example, as noted above, sublimation beds that are formed from vessels that are simply filled with only a precursor solid material, such as a powder, can suffer from channeling or “tunneling.” Tunneling results in a decrease in carrier gas saturation through a reduction in the solid source surface area that the carrier gas contacts as the bed is consumed. The resistance of the bed can also vary with time when only a precursor solid material is used to form a bed, as the bed shrinks or individual precursor grains change shape over time.
  • A high “surface area” with respect to a support medium surface upon which solid source material is coated, refers to a support medium having a high ratio of total coated exposed surface area to vessel void volume, relative to a free liquid surface were it in the same vessel.
  • “Flowable support elements” refers to elements that can be coated with solid precursor and poured into or out of a vessel, such as beads, cylinders, filaments, or rings, etc. Each flowable support element preferably nominal size of less than about ⅛ the column diameter (e.g., width) to prevent tunneling and ensure adequate lateral mixing.
  • A “fixed medium” is a medium that is not flowable and is meant to remain stationary during processing.
  • A “carrier gas contact pathway” is a route which a carrier gas generally follows through a solid source apparatus, the route placing the carrier gas in substantial contact with a solid source material surface.
  • Referring to FIG. 1, an embodiment of the present invention is shown employing a substrate processing system comprising a sublimation apparatus, having a vessel 1 located inline between a carrier gas source 4 and a vapor deposition chamber 5. The illustrated deposition chamber 5 can be a chemical vapor deposition (CVD) chamber, but preferably is an atomic layer deposition (ALD) chamber, which includes a pulsing mechanism (not shown) comprising pulsing valves, tubing, gas sources, and appropriate control circuitry or software. An ALD chamber is preferably configured for alternating, self-limiting pulses of process vapors that leave less than or equal to one monolayer per pulse. Preferably, separate inlet paths are provided for each reactant, to minimize risk of mixing and consequent CVD-type reactions. The sublimation vessel 1 is joined to the carrier gas source 4 by a gas line connected to an inlet port 2 of the vessel 1, while the deposition chamber 5 is joined to the sublimation vessel 1 by a gas line connected to an outlet port 3 of the vessel 1.
  • In alternate embodiments, no carrier gas is employed to carry the vapor reactant to the chamber. Instead, in these embodiments, the undiluted vapor reactant is flowed to the processing chamber by convective flow caused by the pressure differential between vapor pressure and reactor pressure. The skilled artisan would understand that, in alternate embodiments described herein, references to transferring the vapor reactant via “flow” or “carrier gas flow” can be caused by the change in pressure (ΔP) of the carrier gas or the chemical-reactor pressure differential. In either case, the flow out of the solid source vessel is preferably substantially saturated at the pulsing conditions typical of ALD (i.e., pulse duration on the order of 0.1-1.0 seconds, cycle duration on the order of 1 sec. to 1 min).
  • In yet other alternate arrangements, additional gases are added to the carrier gas and vapor reactant mixture prior to outputting the resulting diluted mixture. Preferably such dilution takes place between the vessel outlet port 3 and the deposition chamber 5. Note that reactions other than deposition (e.g., etching, gettering, etc.) can take place in the downstream reaction chamber, depending on the application for the vapor phase reactant.
  • Referring now to FIG. 2A, an embodiment of a sublimation apparatus 29 of a semiconductor processing system is shown employing a coated support medium as a guidance structure. In the illustrated embodiment, the support medium is formed from “flowable” support elements comprising beads 6 which are packed into the sublimation vessel 1. Preferably, these beads are configured to provide tortuous pathways for a carrier gas. The inlet port 2 is configured as an entrance for carrier gas while the outlet port 3 is configured as an exit for carrier gas having passed through the beads 6. The sublimation vessel 1 also preferably has a fill port 8 in order to facilitate the replacement of the support elements. Preferably, the inlet port 2 and the outlet port 3 define a primary axis of the sublimation vessel 1. In the illustrated embodiment, the sublimation vessel 1 is a cylinder with the inlet port 2 located at one end of the cylinder and outlet port 3 located at the opposite end, although the skilled artisan will appreciate that the vessel can take any suitable shape. In addition, the vessel 1 also preferably has a heater 26 in order to affect and control the vaporization of the solid source coating 7 (FIG. 2B) on the beads 6, such as the illustrated resistive heating elements surrounding the vessel 1. The vessel 1 also preferably includes distribution manifolds (not shown) at the inlet 2 and outlet 3 of the sublimation vessel, the manifold being configured distribute to carrier gas more evenly across the vessel for uniform contact with the coated support medium. While illustrated herein as heating elements within walls of the vessel, heating can take any of a number of forms. In one embodiment, the vessel is radiantly heated within a vacuum chamber. Greater detail of this radiant heating system can be found in U.S. application Ser. No. 09/854,706, filed May 14, 2001, the disclosure of which is incorporated by reference in its entirety.
  • FIG. 2B is a magnified view of a portion of the sublimation vessel 1 shown in FIG. 2A. Beads 6 are configured and packed in order to provide a high number of potential gas contact pathways 9 for the carrier gas, ensuring that the carrier gas contacts a large surface area of solid source coating and, thus, is more likely to become saturated with vapor reactant as it traverses the bed in a pulsed flow fashion. One of ordinary skill would realize that an infinitely long pulse constitutes continuous flow through the vessel.
  • As shown in FIG. 2C, each support element, here bead 6, is coated with the solid source for vapor reactant coating 7. Preferably, the width or diameter D of the bead 6 is substantially larger than the thickness C of the solid source coating 7, in order to ensure that the shape of the coated support elements, and thus the total flow resistance of the sublimation bed, preferably does not fluctuate greatly over time as the coating 7 is eroded. At the same time, the volume of each support element should not be so great that the high total surface area of the support medium formed by the support element is sacrificed. Preferably, the ratio (C/D) of coating thickness C to bead diameter D is between 0.01 and 0.30, more preferably between 0.05 and 0.15. The bead volume depends on total vessel volume. For example, when bead volume and particle volume are considered as a fraction of the total vessel volume, for a large sized vessel (12 inch diameter by 12 inches high), a preferred maximum for number of particles is 10,000, a minimum of ˜100. This preferred ratio equates to 5×10−5<Vbead/Vbed<0.01 and more preferably between 0.0002 and 0.005.
  • The beads 6 preferably comprise a substance which is both inert, such as alumina (Al2O3) or fused silica, and is preferably also thermally conductive, such as stainless steel, hastelloy, nickel, boron nitride (BN) and other suitable substances. Most preferably, the support elements comprise silicon carbide (SiC), which is chemically inert and conductive.
  • FIG. 2D illustrates an alternate arrangement of the sublimation vessel shown in FIG. 2A. A magnified view of a sublimation bed is shown employing cylinders 10, rather than beads, coated with the solid source coating 7. This configuration of packed cylinders 10 also creates a multitude of potential gas contact pathways 9 in order to ensure that the carrier gas contacts a large surface area of solid source coating as it traverses the bed in a pulsed flow fashion. One of ordinary skill would realize that an infinitely long pulse constitutes continuous flow through the vessel.
  • In additional alternate arrangements, the coated flowable support elements 6 shown in FIG. 2A are in the form of pellets, spheres, filaments, or rings. The chemical catalyst industry uses flowable support elements having similar geometry (beads, pellets, spheres, rings, etc), each being coated with a catalytic material, which would also provide appropriate geometric support element configurations in order to practice alternate arrangements of the preferred embodiments provided herein. Preferably, these support media are arranged to provide non-linear contact paths for the carrier gas. These support elements can include both porous and nonporous structures. In arrangements that employ porous support elements, the pores are preferably macropores, which are less likely to become completely filled with condensed solid source material when coated.
  • Referring now to FIG. 3A, an embodiment of the present invention is shown employing, in a sublimation apparatus 29, a fixed coated support medium in the form of a solid source coated filter 11 contained within a sublimation vessel 1. Preferably, the filter 11 is configured to substantially fill the sublimation vessel 1 while still enabling the efficient replacement of the filter 11 when the solid source for vapor reactant coating is sufficiently exhausted. FIG. 3B shows a partial cross-section of the vessel 1, while FIG. 3C shows a magnified cross-section of the filter 11 shown in FIG. 3B. The filter 11 is preferably formed by filter fibers 12, each filter fiber 12 being coated with a solid source for vapor reactant (or solid precursor) 7 to provide both increased surface area and a multitude of potential gas contact pathways 9 in order to ensure the saturation of the carrier gas with vapor reactant. The ratio of fiber width to coating thickness preferably falls within the ranges discussed below with respect to flowable support elements. In addition, the vessel 1 also preferably has a heater 26 in order to control the vaporization of the solid source coating 7.
  • FIG. 4A shows an embodiment of the present invention employing in a sublimation apparatus 29, a coated fixed support medium in the form of a multiple intersecting plate structure 13, each plate 14 being coated with a solid source for vapor reactant. Preferably, the multiple intersecting plates structure 13 are located within the sublimation vessel 1 in such a way as to substantially fill the internal volume of sublimation vessel 1. FIG. 4B shows a partial cross-section of the layout of individual plates 14 employed in FIG. 4A. Preferably, the plates 14 are configured to provide parallel tunnels 24 having both substantially equal surface area and resistance relative to one another in order to decrease the likelihood of incomplete saturation of the carrier gas at the outlet 3 (see FIG. 4C and discussion). Each parallel tunnel 24 formed by the plates 14 shown in FIGS. 4A and 4B represents a discrete carrier gas contact pathway, which extends the length (see FIG. 4A) of the multiple intersecting plate structure 13. In addition, the vessel 1 also preferably has a heater 26 in order to both increase and control the vaporization of the solid source coating 7.
  • Alternate arrangements of the interlocking plate structure shown in FIG. 4A do not make use of a pattern of plates 14 which are perpendicularly intersecting but rather employ plate intersections greater or less than 90 degrees, such as triangular overlap patterns.
  • FIG. 4C is a magnified view of a portion of the multiple intersecting plate structure shown in FIG. 4B in order to better illustrate the solid source coating 7 adhered to the surface of the plates 14. As noted, the interlocking plates are preferably configured to provide discrete parallel tunnels 24, which are sufficiently narrow to ensure the carrier gas closely contacts a surface coated with solid source for vapor reactant. In preferred embodiments, the plates 14 are coated with solid source material, such as by condensation from exposure to a vapor saturated with solid source, and the plates 14 are formed from quartz.
  • In alternate arrangements, in order to provide the carrier gas with a more convoluted flow contact pathway, the potential gas flow contact pathways formed by the plates may be non-linear through such modifications as a nonparallel arrangement (e.g., offset or staggered) of the plates or through holes placed in the face of the plates. In certain arrangements, the plates are constructed from generally porous materials.
  • Referring now to FIG. 5A, an embodiment of the present invention is shown employing in a sublimation apparatus 29, a coated support medium in the form of a screen cartridge 15 located in a vessel 1. The screen cartridge 15 is preferably comprised of multiple screens 16, which are preferably stacked perpendicular to the main carrier gas flow path. Each individual screen 16 is preferably removable, and the vessel is provided with one or more sealable doors or hatches (not shown) for this purpose, thus allowing upstream screens on which the solid source of vapor reactant has been exhausted to be removed and replaced while downstream, unexhausted screens 16 may be left in place during maintenance or refill operations. In addition, the vessel 1 also preferably has a heater 26 (shown in the walls of the vessel 1) in order to both increase and control the vaporization of the solid source coating 7 (FIG. 5B).
  • FIG. 5B illustrates a cross-section of the screen 16 shown in FIG. 5A. Each screen 16 is comprised of screen fibers 17. The magnified view shown in FIG. 5C represents a portion of the screen 16 shown in FIG. 5B in order to illustrate the solid source coating 7 which is adhered to the individual screen fibers 17. Preferably, the screen fibers 17 are arranged in a uniform overlapping pattern, such as weaving the screen fibers 17 together as shown in FIG. 5C.
  • FIG. 6A illustrates yet another embodiment of the present invention employing a coated fixed support medium as a gas flow guidance structure forming generally parallel discrete gas contact pathways, here formed by tubes 19. The tubes 19 are preferably arranged in a tube bundle 18 and located in a sublimation vessel 1, as shown in FIG. 6B. Preferably, the vessel 1 is provided with a manifold (not shown) to direct gas from the narrow inlet 2 and evenly distribute through all of the tubes 19, and similarly to collect and funnel gas at the outlet 3. The skilled artisan will appreciate that such manifolds (not shown) can improve gas flow distribution across the vessel in any of the embodiments described herein. In addition, the vessel 1 also preferably has a heater 26 in order to both increase and control the vaporization of the solid source coating 7 (FIG. 6C). FIG. 6C shows a magnified perspective view of the tubes 19 forming the tube bundle 18 in both FIGS. 6A and 6B in order to illustrate the solid source coating 7 on the interior of tubes 19.
  • Referring to FIG. 7A, an embodiment of the present invention is shown employing a fixed support medium as a gas flow guidance structure, here a coiled tube 20. The coiled tube 20 is joined to both an inlet port 2 and an outlet port 3, preferably inside a sublimation vessel 1. The coiled tube 20 is preferably configured to be tightly coiled in order to maximize the length of the unitary solid source coated contact pathway (not shown) which the carrier gas contacts as it travels through the coiled tube 20. In addition, the vessel 1 also preferably has a heater 26 in order to control the vaporization of the solid source coating 7. In alternate embodiments, the coiled tube 20 is not contained within a sublimation vessel 1 as shown in FIG. 7B, but preferably still is configured to have a heater 26.
  • FIG. 7C shows a magnified view of the coiled tube employed in FIGS. 7A and 7B. The interior of the coiled tube 20 is coated with solid source coating 7, preferably uniformly throughout the entire length of the coiled tube 20.
  • The coiled tube 20 is preferably designed so that, as the solid is consumed from inlet to outlet over time, at carrier gas flows typical of ALD (e.g. 50-5000 sccm carrier and chemical or 5-500 sccm of pure chemical flow), the gas (with or without carrier) stream is substantially saturated (e.g., >95% of chemical saturation vapor pressure at the given temperature) after traveling less than or equal to only approximately 20% of the overall tubing length. This allows the vessel to have the equivalent of 5 residence times, which, for PFRs, many dispersion model reactors or laminar flow reactors allows greater than 95% conversion/saturation. In order to avoid damaging the interior solid source coating 7 as a result of bending the support medium, the coiled tube 20 is preferably configured to precisely fit within a sublimation vessel 1. In one particular embodiment, the coiled tube is coated with hafnium chloride (HfCl4) through evaporation and condensation techniques. In one example, the interior diameter of each coiled tube 20 is between about 0.25 inch to about 2-inches, with the coating thickness being between about 0.025 and about 0.25. Preferably, the overall effective length of the tube is chosen such that the residence time of the vessel flow is preferably greater 5 if continuously flowing for greater than the selected pulse time for the pulsed flow operation. The absolute length is dependent on such factors as chemical sublimation rate, gas flow rate, vessel pressure, reactor pressure, vessel temperature, etc. In certain preferred embodiments sublimation vessels are designed in view of these factors to effectuate the substantial saturation of the carrier gas under ALD conditions.
  • Although the present invention is particularly well suited as a semiconductor processing system for the processing of semiconductor wafers, it should be understood that the present invention has applicability with regard to substrate processing systems for the processing of a wide variety of substrates, as would be understood by the skilled artisan.
  • Embodiments of the present invention employing gas flow guidance structures having a coating of solid source material may have these solid source coatings formed through a variety of processes such as, among other techniques, sublimation and condensation technology, static powder coating, solvent evaporation, CVD, ALD and other techniques known to those skilled in the art. In one arrangement, the solid source coating is zirconium chloride (ZrCl4), while in an alternate arrangement the solid source coating is hafnium chloride (HfCl4). Tubes for use in certain preferred embodiments may also be coated through atomic layer deposition (ALD) of the solid source for vapor reactant directly on the interior walls of the tube itself as disclosed in WO 99/229924, published Jan. 17, 1999, which is hereby incorporated by reference for this purpose.
  • Preferred embodiments also employ a solid source coated guidance structure comprised of a substance which is inert, such as aluminum oxide (Al2O3) or alumina, and silicon dioxide (SiO2), and thermally conductive such as, among others, silicon carbide (SiC).
  • In embodiments employing a support medium having a solid source coating, the ratio of the coating surface exposed to carrier gas to the volume occupied by the coated support medium will typically vary with the embodiment. For example, and without limitation, this ratio is preferably greater than about 1 cm−1 for the embodiment of FIG. 6A, more preferably greater than about 2 cm−1. The ratio is preferably greater than about 5 cm−1 for the embodiment of FIG. 3A, more preferably greater than about 10 cm−1. The ratio is preferably greater than about 0.1 cm−1 for the embodiment of FIG. 7A, more preferably greater than about 0.2 cm−1.
  • In alternate preferred embodiments, the ratio of the coating thickness and the size of the opening formed by the coated support medium are important considerations in increasing solid precursor surface area and lengthening the carrier gas contact pathway in order to substantially saturate each carrier gas pulse. For example, in embodiments employing a coated tube or a bundle of tubes, the ratio of the thickness of the coating and coated tube opening diameter is preferably between about 0.01 and about 0.30, more preferably between about 0.05 and about 0.15. In certain preferred embodiments employing the coated plates, the ratio of the width of opening formed by the intersecting coated plates and the thickness of the coating is preferably between about 0.01 and about 0.30, more preferably between about 0.05 and about 0.3. In preferred embodiments employing intersecting coated fibers, the important ratios are the aforementioned ratios for flowable elements.
  • Embodiments employing a fixed medium coated with solid source material are preferably designed to fit closely in a sublimation vessel, in order to prevent the potential damage to the solid source coating caused by bending the fixed medium while inserting the fixed medium into a sublimation vessel.
  • FIG. 8A illustrates an embodiment of the sublimation apparatus 29 employing a flow guide 22 as a gas flow guidance structure in a substrate fabrication system. Similar to conventional arrangements, the sublimation vessel 1 has a single continuous layer, or bed, of solid source material 21, preferably in the form of a powdered solid source compound. The flow guide 22 preferably guides the carrier gas in a tortuous contact pathway in contact with the solid source material 21, such as gas flow contact pathway 9. Preferably, the flow guide 22 extends most of the way from the floor to ceiling of vessel 1, more preferably all the way. The carrier gas enters the sublimation vessel at inlet port 2 on a solid source contact pathway which is substantially greater than the direct distance between inlet port 2 and the outlet port 3, represented by reference line E, preferably at least twice the length of the direct distance. Reference line E illustrates an undesirable solid source/gas contact pathway which a carrier gas could travel absent the flow guide 22, the undesirable contact pathway offering the carrier gas poor total solid source surface area contact. In addition, the vessel 1 also preferably has a heater 26 in order to control vaporization of the powdered solid source 21. While illustrated as within the walls of vessel 1, the heater is more preferably a radiant heater within a low pressure chamber that also houses the vessel 1.
  • FIG. 8B shows a cross-section of the embodiment shown in FIG. 8A. The flow guide 22 preferably provides a carrier gas flow contact pathway which is both unitary and tortuous, such as the spiral contact pathway 9 shown here. The flow guide 22 increases the solid source surface area that the carrier gas closely contacts by preventing the carrier gas from moving directly between the inlet port 2 and the outlet port 3. Such direct flow would cause the carrier gas to only come into contact with vapor reactant originating from the surface of the powdered solid source material located proximate to reference line E. The illustrated embodiment provides an elongated carrier gas pathway and increases residence time within the vessel 1, while maintaining the simplicity of a single bed in order to ease the replacement of the powdered solid source 21. Advantageously, the illustrated flow guide 22 is removable, which allows for an existing sublimation vessel to be retrofitted with minimal effort and cost.
  • FIG. 9 shows a method of employing the structures provided herein, including saturating a carrier gas with vapor reactant originating from a solid source material. A solid source for vapor reactant is vaporized 100, preferably by continuous heating of the solid source material. The solid source material is preferably vaporized by heating the solid source material to effect a vapor pressure of the solid between 0.1 and 100 Torr. For HfCl4, this would roughly equate to a temperature range between 140° C. to 265° C. A carrier gas is flowed 110 through a support medium having a coating of a solid source for a vapor reactant (first reactant). The carrier gas is substantially saturated 120 with a first vaporized reactant as it flows through the solid source coated support medium. Preferably, the carrier gas is guided during its transit (e.g., during continuous flow or pulsing) to contact a sufficiently high surface area of solid source material in order to saturate the carrier gas with reactant vapor from the solid source. The saturated vapor is then flowed 130 into a reaction chamber where a self-limited, saturative reaction (e.g., chemisorption of no more than a monolayer) takes place. At this point, the vessel may be isolated from the carrier gas flow (e.g., the flow from the vessel outlet is briefly halted) or the carrier gas can be diverted to a vessel bypass from the flow path through the vessel. Subsequently, the excess first reactant is removed 140 from the reaction chamber during Purge A. A second reactant is then pulsed 150 into the reaction chamber. This second reactant differs from the first reactant and preferably does not originate from the sublimation vessel from which the first reactant originated. The second reactant preferably is a reactant selected for interacting with a previously adsorbed species originating from the solid source for vapor reactant, but could also result in chemisorption of no more than a monolayer. Next, the excess second reactant is removed 160 from the reaction chamber during Purge B. If additional reactions within the reaction chamber are then desired, i.e. the deposition is not sufficiently thick, Steps 110 through 160 are then repeated until the deposition is the desired thickness is deposited. If a sufficient thickness has been deposited, the process ends 170. As noted above, though FIG. 9 gives only the examples of a two-reactant ALD process, ALD processes involving more than (or less than) two reactants are also employed in certain preferred embodiments.
  • FIGS. 10-17 illustrate a solid source vessel 200 in accordance with another embodiment of the invention. Advantageously, the vessel 200 can provide a greater contact area with commercially available types of solid source powder, rather than custom coated support structures. It will be understood, of course, that the vessel 200 of FIGS. 10-17 can also be employed in conjunction with the structures described herein. A winding or convoluted path is provided through a plurality of beds within a single openable structure, considerably simplifying the reloading process when recharging of the vessel 200 with fresh solid source material is required.
  • Referring initially to FIGS. 10-12, the vessel 200 comprises an external container 205 and a lid component 210. A similar system, employing an inner container to facilitate loading an unloading of solid source material, is disclosed in co-owned Finnish application FI 20001166, filed on May 15, 2000 and corresponding U.S. publication No. 2001/0042523, published Nov. 22, 2001 (hereinafter “Kesala”), the disclosure of which is incorporated herein by reference. In the illustrated embodiment, the external container 205 includes a flange 207 configured to permit bolting of the lid 210 with the external container 205, although the skilled artisan will appreciate a variety of other methods of removably closing the lid 210 upon the container 205. The lid 210 of the illustrated embodiment is removable and includes an inlet conduit 215 and an outlet conduit 220, as well as a plurality of manual isolation valves 222, 224, 226 for use when opening the vessel 200 for maintenance or recharging. The lid is removed for charging the vessel, while the plurality of valves are used to direct flow through, from or around the vessel.
  • With reference now to FIGS. 13-18, instead of a single inner container or crucible for holding a single bed of solid source material, the illustrated embodiment provides a segregating, long and winding path through a plurality of solid source beds, and provides this structure within a single outer container 205. Rather than individually recharged chambers, the beds are provided in a plurality of stacking trays configured to form the segregating and winding flow path simply by their interconnection. In the illustrated embodiment, four trays are stacked: three upper trays 230 and one lower tray 240. The number of trays can vary based on parameters such as the sublimation rate, carrier flow, etc.
  • Referring to FIGS. 14 and 15, each upper tray 230 includes a solid divider 231, preventing gas flow therethrough and extending the full height of the tray 230, and a partial divider 232 that allows gas flow therethrough. Preferably, the partial divider includes a screen 233 configured to retain large precursor particulates while allowing free gas flow therethrough. In the illustrated embodiment, the screen 233 extends across the top portion of the partial divider 232, while a solid panel completes the height of the partial divider 232. An annular rim 234 also extends the height of the upper tray 230. The solid divider 231 and the partial divider 232 together define a main compartment 235 for holding solid source material (not shown) and an outer channel compartment 236 that is open at the lower surface of the tray 230. The illustrated upper tray 230 also has a central core 237 that includes a central channel 238 (to accommodate the gas inlet pipe), a plurality of pegs 239 on an upper surface thereof and a corresponding plurality of holes (not shown) on a bottom surface thereof for receiving the pegs of another tray therebelow. As will be better understood in view of the operation, described hereinbelow, the holes on the lower surface of the central core 237 are desirably rotationally offset relative to the pegs 239 on the upper surface, serving to properly align the plurality of trays upon one another to define the winding flow path. In certain preferred embodiments, the corners in the main compartment to which the flow is exposed are rounded to minimize flow stagnation from sharply angled corners.
  • Referring to FIGS. 16 and 17, the lowest tray 240 comprises a solid divider 241, preventing gas flow therethrough and extending the full height of the tray 240, and a partial divider 242 that allows gas flow thereover. Preferably, the partial divider 242 simply provides an opening to the central channel 238 in the middle of the overlying upper tray 230, as will be better understood in view of the description of FIGS. 18 and 19 below. An annular rim 244 also extends the height of the lower tray 240. The rim 244, the solid divider 241 and the partial divider 242 together define a main compartment 245 for holding solid source material (not shown) and an outer channel compartment 246. In preferred embodiments, the solid source material only fills the main compartment 245 up to and even with channel compartment 246. In alternate embodiments, the solid source material fills the between one third and two thirds of the height of the main compartment. The illustrated lower tray 240 also has a central core 247 into which the channel compartment 246 protrudes, a plurality of pegs 249 on an upper surface thereof and a corresponding plurality of holes (not shown) on a bottom surface thereof for receiving the pegs of the floor that protrude from the outer container 205 (see FIGS. 10-11).
  • The vessel 200 is assembled as shown in the exploded view of FIG. 18 and the assembled cross section of FIG. 19. The main compartments 235, 245 for each of the upper trays 230 and the lower tray 240 are loaded with solid precursor, preferably in the form of powder. The lower tray 240 and plurality of upper trays 230 are stacked upon one another and loaded into the outer or external container 205. The trays 230, 240 are aligned by the pegs 239, 249 and corresponding holes such that gas flows into each tray, preferably at least flowing a lap of greater than 200° (up to about 355 degrees) around the main compartment and then up into the channel compartment 236 of the overlying upper tray 230. The lid 210 is then closed and sealed over the external container 205, and a central pipe extending from the lid extends down through the central channels 238 of the upper trays 230 to open into the channel compartment 246 of the lower tray 240. This loading and assembly operation is preferably conducted in a glove box. In certain preferred embodiments, a spring or similar device (not shown) is often placed below 240 to bias all trays together, preventing leaks from the central core to a different level.
  • In operation, inert gas is preferably provided through an inlet pipe, and experiences a long and winding flow route horizontally, preferably through at least a 200° arc and preferably at least 350° of the main compartment in each tray 230, 240 before vertically exited that tray. In the illustrated embodiment, inert carrier gas is provided through a central inlet 215 that extends down through the aligned central channels 238 of the upper trays 230 to open into the channel compartment 246 of the lower tray 240. The inert gas winds through the solid precursor in the main compartment 245 until encountering an opening in the lower surface of the overlying upper tray 230. This opening allows the carrier gas, and the vaporized precursor it carries with it, to pass into the channel compartment 236 of the overlying upper tray 230, from which the gas passes through the screen 233 (see FIG. 14) and into the main compartment 235. The gas winds through solid precursor in that main compartment 235, preferably through at least an arc of 200° and preferably at least 350° before encountering an opening in the lower surface of the overlying upper tray 230, etc. At the uppermost upper tray 230, the gas is allowed to exit through the outlet conduit 220. It will be understood, of course, that the flow path can be reversed if desired.
  • In preferred embodiments described above in which the carrier gas preferably travels in only one direction in each tray (i.e. about one lap), the pulse of the carrier gas is preferably substantially saturated with the precursor vapor by contacting the carrier gas with the solid precursor along a carrier gas contact pathway with a residence time at least 1× greater (i.e., double) than that needed for a desired pulse duration, but preferably 5× greater than the pulse duration. The skilled artisan would realize that, in light of the disclosure herein, the void volume height in the main compartment should be such that the diffusion time from solid surface to top of the channel is less than the gas residence time.
  • The void volume height is dependent on the flow rate of the carrier gas through the tray. Minimum path length is preferably defined such that the residence time of the fluid (carrier and vapor) is greater than the desired pulse time in pulsed flow mode and preferably greater than 5 residence times during continuous flow (or very long pulses) operation. For example, for a gas velocity of 1.3 m/sec, the length of the flow channel is desirably at least 1.3 meters to ensure that a substantially saturated pulse is sent to the reactor in pulsed mode or more preferably 6.5 meters in length (5×1.3) to ensure substantial saturation. If the time between pulses is too short in pulsed flow mode, sub-saturation could result because the vapor phase has too little time to replenish itself from subliming solid. The 1.3 m/sec gas velocity is dependent on a carrier flow rate of 800 sccm and channel cross sectional area. If the flow rate is reduced, the length also goes down proportionately. If only 200 sccm of substantially saturated N2 gas is needed for the ALD reactor design, then the minimum and preferred lengths would be lowered accordingly.
  • The maximum vessel volume (no powder or trays) is preferably 12 in. diameter by 16 in. high, giving an empty vessel volume of 3×107 mm3. The initial solid source fill would preferably be greater than 50% of this volume. The tray minimum volumes and fill percentages are preferably the same for the embodiments shown in FIG. 20A-20C.
  • In a preferred embodiment shown in FIGS. 20A-20C, one or more of divided trays 328, 329, or 330 is employed in the vessel system shown in FIG. 18. FIG. 20A shows a divided lower tray 328, while FIG. 20B shows an upper tray 329. With reference to both FIGS. 20A and 20B, the divided tray 330 includes a secondary partial divider 334 partially dividing (in the horizontal direction) the main compartment into a first path 340 and a second path 342, as compared with tray 230 (FIG. 15) which has a single path in the form of main compartment 235. The secondary partial divider 334 is configured to guide the carrier gas flow 331 to travel in one direction around the tray preferably at least about a 200° arc (more preferably at least 300°) on a first tray path 340 and, then, turn 180° around and travel preferably at least about a 200° arc (more preferably at least 300°) in the opposite direction on a second tray path 342 before exiting the tray 330. The first tray path 340 is connected to the second tray path 342 by a gap 344 in the secondary partial divider 334 which serves to join the end of the first tray path 340 with the beginning of the second tray path 342. This gap 344 is where the carrier gas flow 331 is guided to performs a “U-turn” of about 180° and change directions to begin traveling the second tray path 342. In certain preferred embodiments, the corners to which the flow is exposed at proximate to the gap 344 are rounded to minimize flow stagnation from sharply angled corners. An inlet conduit feeds into at inlet 350 at the beginning of the first tray path 340, preferably having a filter plate 355 which extends to substantially prevent powder from entering both the inlet 350 and the outlet 354 located at the end of the second tray path 342. The outlet 354 serves as an exit for the carrier gas flow 331 from the second tray path 342 to an overlying tray (not shown) via the outlet conduit (not shown).
  • In the divided lower tray 328 shown in FIG. 20A, the inlet conduit enters the tray in the center and channels the gas to the first path 340. Although shown as a straight inlet conduit, in other preferred arrangements the inlet conduit is curved in order to begin curving the carrier gas flow into the preferred helical path defined by the secondary partial divider 334. The outlet 354 channels the carrier gas flow 331 to an inlet conduit of an overlying upper tray 329. With reference to FIG. 20B, the inlet conduit feeds into the inlet 350 and the carrier gas flow 331 then is channeled to travel in one direction around the tray preferably at least about 200° (more preferably at least 300°) on a first tray path 340 and, then, turn around and travel preferably at least about 200° (more preferably at least 300°) in the opposite direction on a second tray path 342.
  • The embodiment shown in FIG. 20C also has a secondary partial divider 334 configured to guide the carrier gas flow 331 to travel in one direction around the tray, preferably at least about 200° (more preferably at least 300°), on a first tray path 340 and, then, turn around and travel preferably at least about 200° (more preferably at least 300°) in the opposite direction on a second tray path 342 before exiting the tray 330. A filter 333 is located at the end of the second tray path 342 before an outlet 354 at the end of the second tray path 342. The outlet 354 serves as an exit from the second tray path 342 to an overlying tray (not shown) via the outlet conduit (not shown).
  • In an alternate embodiments, the trays shown in FIGS. 20A-20C are configured so that the direction of the carrier gas flow is reversed, e.g. the components are arranged so that the first tray path is the innermost path and the second tray path is the outermost path in the tray or vice versa. It should be noted that employing the trays shown in FIGS. 20A-20C increase (relative to the tray shown in FIG. 15) the effective length of the sublimation bed thereby increasing the average residence time.
  • In contrast with U.S. Pat. No. 6,270,839, the tortuous or convoluted path winds in a horizontal direction through the bulk of solid source material in each tray. The structure disclosed in the '839 patent, in contrast, contains no teachings to encourage flow horizontally along the length of each cartridge. Rather, the flow path taught by the '839 patent is assumed to be equally resistive at any point along an annulus in each cartridge, such that channeling at one or more discrete points along the annulus can still result.
  • Preferred arrangements of the aforementioned embodiments also employ a heater (not shown) located in the sublimation apparatus 29. The heater is used to maintain a solid source precursor in the vessel 1 at or above a temperature needed to effect a significant vapor pressure of the solid source precursor. As noted above, in a preferred embodiment, the heat source comprises a radiant heater, which is placed within an evacuated sublimation apparatus 29. Reflectors (not shown) are preferably utilized in the sublimation apparatus 29 to reflect the radiant energy to the vessel 1. Further detail regarding the heater in the sublimation apparatus can be found in U.S. Provisional application Ser. No. 09/854,706 filed May 14, 2001 and entitled “Method And Apparatus For Feeding Gas Phase Reactant into a Reaction Chamber,” the disclosure of which is hereby incorporated by reference for this purpose.
  • FIG. 21 shows a method of performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface. In this process, a flow of carrier gas through the vessel is interrupted such that the reactant vapor pressure intermittently builds up in the vessel. A carrier gas is contacted 400 with a precursor vapor from a solid precursor source in a sublimation vessel so that the carrier gas is substantially saturated with the precursor vapor. The substantially saturated carrier gas is channeled 410 from the vessel and through a conduit to a substrate processing chamber. The substantially saturated carrier gas is then pulsed 420 into the substrate processing chamber. The flow of substantially saturated carrier gas from the vessel is stopped 430 while vaporization continues in the closed vessel and the substantially saturated carrier gas is purged 440 from the chamber with a substantially inert gas. In a preferred embodiment of the method shown in FIG. 21 producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises heating the solid precursor to affect a vapor pressure between 0.1 and 100 Torr.
  • Pulsing, stopping, and purging comprise a cycle and the cycle is repeated at least twice during the deposition of the layer. Preferably, the recharge period of the sublimation vessel is less than the time required to purge the reaction chamber or preferably 100 milliseconds to 5 seconds between stopping the flow (or isolating the sublimation vessel from the processing chamber) and a next pulsing of the substantially saturated carrier gas into the substrate processing chamber. Preferably, the duration of each pulsing in a cycle comprises at least 50 milliseconds, more preferably 0.1-10 seconds and, after each cycle is completed, the substrate surface is substantially saturated with adsorbed species of the precursor vapor, i.e. the adsorbed precursor occupies all available reaction sites on the substrate accounting for steric hindrance. Preferably, each pulse is substantially saturated for at least 100,000 pulsing cycles. More preferably, each pulse is substantially saturated for at least 500,000 cycles.
  • It should be noted that the method shown in FIG. 20 illustrates the cycle for each single reactant. The method steps shown can be easily adapted in view of FIG. 9 (employing a first and second reactant) to include alternating two or more different reactants.
  • In one preferred embodiment, no more than one monolayer of the precursor is deposited per cycle. More preferably, each cycle deposits a layer having a thickness of about 1-5 Å. In one embodiment, a second carrier gas with a second precursor vapor from a second solid precursor source is employed so that the carrier gas is substantially saturated with the second precursor vapor. More typically, a second precursor is naturally gaseous, such as NH3, O2, etc.
  • Preferably, the sublimation vessel design produces a substantially plug flow residence time distribution inside the vessel. This, in turn, is beneficial for creating a saturated carrier gas as it flows along the primary axis of the vessel, which is advantageous for ALD processing. Preferably, producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source along a gas contact pathway with a channel length much greater than its width. In one preferred embodiment, producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source that coats a support medium.
  • Preferred arrangements of the aforementioned embodiments have carrier gas guidance structures configured to maximize the amount of solid source surface area which the carrier gas contacts in order that the carrier gas picks up sufficient vapor reactant to be saturated over each pulse length. This repeated saturation preferably occurs even under operating conditions where the saturation of the carrier gas continues for greater than 100,000 pulses of carrier gas, each pulse lasting for greater than 0.1 seconds and more preferably up to about 30 seconds, e.g., in high aspect ratio structures where the vapor reactant diffuses down into a wafer trench. A heat source preferably increases the vapor pressure over the solid source for a vapor reactant. Preferably, the carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a long and winding contact pathway having a length greater than about double the linear distance measured from the inlet port to the outlet port. More preferably, the carrier gas guidance structure is configured to ensure contact of the carrier gas with the vapor reactant along a non-linear (e.g., winding and segregated) contact pathway having a length greater than about 2.5 times, more preferably 4 times, a linear distance measured from the inlet port to the outlet port.
  • The preferred embodiments facilitate high carrier gas conductance rate as a result of the large amount of open void volume in the sublimation bed while, at the same time, preventing the conductance of the sublimation bed from varying greatly over time as the solid source material is depleted. In certain preferred embodiments, under atomic layer deposition (ALD) conditions, the support medium or the guidance structure is further configured to facilitate the repeated saturation of the carrier gas with the vapor reactant when the time between successive pulses is greater than 0.400 seconds.
  • In considering the configuration of sublimation vessels described herein, it should be noted that increasing the duration of each pulse has a tendency to decrease the ability of the vessel to repeatedly saturate a carrier gas, if all other variables are held constant. Furthermore, increasing the number of cycles also tends to decrease the ability of the vessel to repeatedly saturate a carrier gas. Nevertheless, the preferred embodiments disclosed herein are capable of substantially saturating a carrier gas with vapor reactant even under relatively long duration pulses and/or after a relatively large number of pulses. In other words, the preferred embodiments are capable of saturating a carrier gas with vapor reactant (from a solid source) under conditions which would quickly exhaust a conventional sublimation bed and result in an unsaturated carrier gas and poor deposition. In comparison, the preferred embodiments disclosed herein are not only capable of substantially saturating a carrier gas with vapor reactant under typical ALD conditions, but also even under relatively extreme ALD conditions, e.g., over a very high number of pulses of the same reactant and/or very long pulses and/or with very short periods of time between pulses.
  • It should be noted the time between successive pulses is partially dependent on whether the substrate processing system is configured to process a single wafer at a time or multiple wafers at once (e.g., a batch process) and/or very large substrates (e.g., flat panel displays). In embodiments employing single wafer reaction chambers, the time between successive pulses of the same reactant is preferably less than 30 seconds and, more preferably less than 10 seconds. Typically, the pulse duration is less than between 3%-40% of the cycle length, more typically 10%-25% of the cycle length, for 2-reactant cycles. In the case of batch processes and/or very large substrates are processed, a cycle can range as high as 2-5 minutes. Nevertheless, the skilled artisan will appreciate that the solid source vessels described herein will facilitate substantial saturation for any design of ALD equipment and any commercially viable pulsing sequences. As a result of these longer cycles, the sublimation vessel parameters disclosed herein would be scaled to account for these longer process times while maintaining the typical pulse duration to the cycle length (e.g. 3%-40% or 10%-25%).
  • In designing and optimizing the performance of preferred embodiments of the present invention, the “effective bed distance” of the sublimation bed will also be considered. The “actual bed distance” is the distance between where carrier gas first contacts a solid source chemical, regardless of whether the solid source material is exhausted or unexhausted, until the point where carrier gas no longer can contact solid source material, which is generally at the point where the carrier gas is channeled out of the sublimation bed. The actual bed distance remains constant and does not change over the life of the bed, as compared with the effective bed distance, which decreases in length over time as solid source material is exhausted. In operation, as carrier gas is introduced at one end of a sublimation bed, the gas picks up vapor reactant from solid source material as it moves along the length of the bed. Assuming steady flow conditions, the solid source material located closest to the inlet for the carrier gas will become depleted before the solid source material located further along the sublimation bed, while the solid source material located furthest from where the carrier gas enters will be the last to become depleted. If the gas flow rate is fixed, then at a certain effective bed position, or saturating position, the carrier gas will be saturated with the solid source. At any point in the bed beyond this position, the carrier gas will still be saturated. As a bed is depleted under steady state conditions, this saturation position normally shifts closer to the carrier gas outlet over time as the solid erodes, but the distance between the beginning of the effective bed distance and the saturation point remains constant until the bed is depleted to the point where it can no longer saturate the carrier gas at a constant flow rate and temperature. Preferred embodiments of the present invention are designed to allow for this pattern of bed depletion over time while maintaining saturation until depletion approaches the downstream end of the vessel. Preferred embodiments also result in the carrier gas being substantially saturated with precursor vapor. The carrier gas is considered substantially saturated with precursor vapor if it contains between 90% and 100% of material saturation vapor pressure under the vessel conditions (e.g., temperature and pressure) at the outlet.
  • In designing and optimizing preferred embodiments to reasonably approach a plug flow mixing behavior, i.e., to produce a substantial plug flow, the following equations are useful. At steady state the concentration [C(z)] as a function of bed position is given by the following equations:
    z c =Q/A*k,  (Equation 1)
    where Q is volumetric flow rate of gas, A is cross-sectional area to flow, and k is the rate constant for solid material sublimation (assumed to be first order) and, after zc is determined using equation (1), the carrier concentration at any position can be found or the physical length (z) for a desired concentration can be found. C ( z ) = C sat [ 1 - - z z c ] ( Equation 2 )
  • The sublimation bed is preferably designed such that its physical length exceeds zc, thus facilitating the carrier gas reaching saturation.
  • Preferably, the sublimation bed is also configured to have a safety margin. The additional length accounts for uncertainties in the reaction rate expression or use of the plug flow reactor idealization and, also, accounts for material consumption over time. The safety margin also allows the bed to sustain saturation of the carrier gas flow at gas flow rates higher than the design flow rate for a envisioned for the typical fabrication process. For example, preferred embodiments of the present invention employ a sublimation bed having an effective bed length which is designed to repeatedly sustain saturation of the carrier gas at 1.25 times the maximum carrier flow rate for many thousands and more preferably several hundred thousand cycles, where each cycle is on the order of about 1 second. Preferably, the structure will sustain a pulse for greater than 0.020 slm equivalent pure precursor (and for about 10× that for carrier and chemical) when used in conjunction with a single wafer reactor (e.g., 300 mm wafer size), more preferably, greater than 0.040 slm pure precursor (0.4 slm with the carrier flow), and greater than 0.5 slm in pure precursor when used in conjunction with a batch wafer reactor, more preferably, greater than 1 slm. Desirably, the structure is selected to enable repeated saturation of the carrier gas with the solid source for a vapor reactant for greater than 100,000 successive pulses, each pulse lasting for about 0.1-10 seconds.
  • In certain preferred embodiments, the sublimation bed is capable of producing a flow which approaches an idealized residence time distribution known as plug flow. Ideal plug flow implies that there is infinite mixing of fluid particles in the radial direction and no mixing in the axial (flow) direction. In addition, ideal plug flow also implies a uniform velocity profile across the channel perpendicular to flow and that the residence time is a constant. For example, in an ideal plug flow reactor tube having alternating red slices and green slices moving through the tube, the two color slices would enter and exit the tube with no exchange of color. FIG. 22A shows a graph of illustrating the saturated concentration (Csat) of precursor in the carrier gas over time in an ideal plug flow reactor (or sublimation vessel). The graph in FIG. 22A represent the following equation for conversion (i.e., conversion of pure carrier gas to saturated carrier gas) in a plug flow reactor (first order sublimation rate assumed):
    C f =C sat(1−exp(−kτ))  (Equation 3)
    where Cf is the precursor concentration at the vessel outlet, Csat is the saturation concentration of the material, k is a constant, and τ is time.
  • At the other extreme of fluid mixing models is a stirred tank model in which infinite diffusivity or complete mixing is obtained. FIG. 22B shows a graph of the precursor concentration at the vessel outlet for an ideal stirred tank. The graph in FIG. 22B represents the following equation,
    Cf=(kτC sat)/(1+kτ)  (Equation 4)
  • The two extreme idealizations of fluid mixing (i.e. plug flow and stirred tank) can be compared. For equal flow rates τ is proportional to the reactor volume. Choosing a value of kτ of 4.0 it can readily be seen that the degree of saturation will be much higher in a reactor with PFR mixing vs. the stirred tank idealization. In essence, a PFR allows for the highest known conversion of pure carrier into saturated carrier.
  • Of course, this is an idealization and there is no such thing as perfect plug flow or an ideal stirred tank in reality. However, certain preferred embodiments disclosed herein have a residence time distribution (RTD) that approaches plug flow. An ideal plug flow is characterized by the following equation:
    D L /uL=0,
    where Dl=effective diffusivity of the reactor, u=the axial velocity of the flow, and L=vessel or reactor length.
  • In comparison, an ideal stirred tank model is characterized by the following equation:
    D L /uL=∞.
  • The degree to which these embodiments approach plug flow can be determined by using a dispersion model equation, such as Equation 5 below, and fitting D/uL to the experimentally collected vessel response curve to a step change in concentration at the vessel inlet. ( C C o ) step = 1 2 [ 1 - erf ( 1 2 uL D L 1 - θ / θ _ θ / θ _ ) ] ( Equation 5 )
  • The response curves for various dispersion models, including plug flow and stirred tank models, are shown in FIG. 22. For example, one method to determine the actual sublimation vessel residence time response of the preferred embodiments is to send in a pulse of N2 when the sublimation vessel or reactor is filled with Helium (He) and then measure the He concentration change with a mass spectrometer. Preferred embodiments exhibit a substantial degree of plug flow behavior, thereby producing a substantial plug flow, which is defined for purpose of the present disclosure when their actual residence time response is substantially equal to a residence time response observed in a dispersion model reactor vessel with D/uL<0.025 or a tubular reactor (or a sublimation bed employing trays) exhibiting a response curve similar to a laminar flow reactor, where none of the fluid has a residence time less than half the average residence time
  • Additional detail regarding model flow reactors can be found in Smith, J. M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 268-291 (1981), the disclosure of which is incorporated by reference for this purpose.
  • Preferred embodiments result in the carrier gas being substantially saturated with precursor vapor. The carrier gas is considered substantially saturated if the carrier gas contains between about 90% and 100% of the maximum amount of precursor vapor possible under the conditions (e.g., temperature and pressure) within the sublimation vessel or “reactor”. Certain preferred embodiments, rather than being limited to pure plug flow arrangements, are configured in accordance with other models (e.g., series of stirred tanks, laminar flow reactor, or dispersion reactors with low Dl/uL values) that are close enough to the idealization to allow the production of multiple, consecutive pulses of carrier gas substantially saturated with precursor vapor.
  • In certain preferred embodiments employing packed flowable support members coated with solid source, performance approximating a plug flow reactor PFR or a dispersion reactor with low DL/uL is achieved. Preferably, a packed bed reactor of cylindrical type geometry closely approximates plug-flow because the packing (tortuous path) gives very good mixing in the radial (R) direction, but very little mixing along its length. Additional detail regarding packed bed reactors can be found are covered in Smith, J. M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 554-563 (1981), the disclosure of which is incorporated by reference for this purpose.
  • The following calculations are useful when considering the parameters of the guided powder bed shown in FIGS. 10-20C. The following equations are also useful for comparing diffusive mass transfer time over channel height and residence time.
  • The diffusive mass transfer time from the solid source surface to the top of the channel, i.e., over the channel height, is described by: τ dff 2.3 H 2 D 12 ( Equation 6 )
    where H is the height of the channel (gas phase), and D12 is the diffusion coefficient. τdff is the concentration of HfCl4 at the top of the channel reaches 90% of the concentration at the solid surface.
  • The residence time of the gas along the channel is given by the following: τ rsd = L v _ ( Equation 7 )
  • For following conditions: 150 Torr, 200° C., N2 800 sccm and HfCl4 species, with the channel dimensions: W˜13 mm, H˜7 mm, L˜2000 mm (channel center line), the average velocity at the cross section is about 1.3 m/s and the diffusion coefficient D12 is estimated as 8.44×10−5 m2/s, the following can be obtained: τ dff = 2.3 × 7 2 × 10 - 6 8.44 × 10 - 5 1.3 ( sec ) ( Equation 8 ) τ rsd = 2000 × 10 - 3 1.3 1.5 ( sec ) ( Equation 9 )
  • If the temperature is changed to 160° C., and the flow rate is changed to 300 sccm N2, time for diffusion does not change, but the residence time is increased to 4.4 sec.
  • EXAMPLE 1
  • In preferred embodiments of the present invention, the effective bed length is increased greatly without necessitating a proportionate increase in the length of the sublimation vessel. This increase in effective length is facilitated by guidance structures, including support elements coated with solid source material and flow guides, each of the guidance structures being configured to channel the carrier gas through contact pathways designed to saturate the carrier gas over a relatively short distance (as measured by the direct distance between the carrier gas inlet and the outlet) and to expose the carrier gas to a large surface area of subliming solid source material.
  • A non-limiting example of potential sublimation bed parameters made possible by solid source coated beads, or spheres, as determined by the Alcoa CSS computer program, follows in Table 1:
    TABLE 1
    Potential Sublimation Bed Parameters Using Non-Porous Spheres
    and an HfCl4 Coating
    Sphere Diameter (mm) 4
    Bed Diameter (mm) 40
    Bed Length (mm) 300
    Coating Thickness (mm) 0.25
    Bed Volume (mm3) 376991.1
    Bed Porosity (void fraction) 0.33
    Sphere Volume (mm3) 33.51032
    Number of Spheres 7537.5
    Total Volume of Packing (L) 0.252584
    HfCl4 volume (mm3) 107052.2
    Mass of HfCl4 (g) 299.7462
    Surface Area (cm2) 3788.761
  • With reference to Table 1, the preferred embodiment features, including a low coating thickness relative to the sphere diameter, relatively high bed porosity (i.e., void fraction), and large, overall solid surface area, are numerically illustrated.
  • EXAMPLE 2
  • In certain preferred embodiments, the sublimation bed is capable of producing a substantially plug flow, i.e. approaches an ideal plug flow. One advantage of configuring preferred embodiments to flow a substantial plug flow is that ideal plugged flow residence time distribution (or plug flow mixing behavior) effectuates a concentration at the vessel outlet which stays constant with time up to the vessel residence time (V/Q, where V=−vessel volume and Q is the volumetric flow rate). If you make the residence time of the reactor much longer than the pulse time, the entire pulse length will remain at Csat. Therefore, if reactor or vessel (holding the powder or precursor coated support medium) is long and/or convoluted (e.g., coiled path, helically guided path, tortuous path through coated beads, etc), then the residence time is high. Advantageously, in preferred embodiments employing ALD, each pulse of flow into the vessel will preferably push a “slice” of carrier gas saturated with precursor vapor out. For example, if the flow rate through the vessel is 1000 cm3/min (sccm), the channel is 2.5 cm×2.5 cm, and k is 0.1/sec, then a length of 80 cm gives a 30 sec. residence time. The saturation percentages achieved by other sublimation reactor lengths under the same conditions are shown in Table 2 below. Even if the 80 cm reactor is flowed longer than 30 sec., the plug flow distribution still gives the highest degree of saturation. Assuming that sublimation is a first order process, the plug flow reactor (PFR) gives the highest degree of conversion or approach to saturation of the reactor designs shown in Table 3 below, most notably compared to a stirred volume. It is therefore desirable for embodiments to attempt to approach the PFR and produce a substantial plug flow, which is accomplished by moving the gas unidirectionally over the material with minimized axial dispersion/mixing.
  • It is likely in reality that the order of the sublimation rate will change from 1st order at some time and allow 100% saturation to be achieved, even under steady flow (i.e., constant pulse with no vessel isolation), if the carrier gas contact pathway is long enough. However, even assuming the kinetics do not change order, a critical tube length or carrier gas contact pathway (and resulting V/Q) can still be defined such that the conversion (or saturation) is greater than 90%, or even greater than 99%, with the plug flow idealization of the embodiments disclosed herein.
    TABLE 2
    Saturation Percentages for Various Reactor Lengths
    Reactor Length Average residence time
    (cm) (theta) % Saturation
    10 3.74251497 0.312196091
    20 7.48502994 0.526925782
    30 11.22754491 0.674617703
    40 14.97005988 0.776200784
    50 18.71257485 0.846070025
    60 22.45508982 0.894126361
    70 26.19760479 0.927179697
    80 29.94011976 0.949913911
    90 33.68263473 0.965550592
    100  37.4251497 0.976305563
  • TABLE 3
    Conversion vs. Residence Time Distribution for First Order Kinetics
    Residence Time Distribution
    Type of Reactor Conversion (RTD)
    Plug-flow reactor 0.63 FIG. 21, vertical line
    Actual tubular reactor 0.61
    Stirred-tank reactor 0.50 FIG. 21, dashed curve
    Dispersion model 0.60 DL/μL = 0.117
    Series STR model 0.60 n = 5
  • EXAMPLE 3
  • The preferred embodiments shown in FIGS. 15-20B were modeled and the results are represented by the plot shown in FIG. 24, showing the residence time distribution (RTD) curve for the vessel with shelves. The plot shown in FIG. 24 is the result of a fluid mechanical modeling of packed vessel embodiments and it shows that the RTD is very close to that of an ideal PFR. The individual data points are shown in Table 4 below. The plotted results substantially equates to a dispersion model with a very low DL/uL. In other words, the resulting curve is similarly shaped to the plug flow curve in FIG. 23. This plotted curve shows the response of the vessel to a step function change in concentration at the inlet. This is the J(theta), or Residence Time Distribution (RTD) function. Its conventional definition is the fraction of the effluent stream having a residence time less than theta.
  • Co is the concentration of the tracer fluid step at the inlet and C is its concentration at the outlet. At time zero, there is none of the tracer fluid in the reactor, but the fluid going into the reactor is changed to have a concentration Co. C/Co is a dimensionless group that will go from 0 to 1. The graph shown in FIG. 23 shows how long it takes, in terms of number of average residence time (dimensionless or scaled) to achieve 1 and the shape of the curve. The plot shown in FIG. 24 is a standard way of showing the mixing behavior of sublimation vessels (or any volume that carries fluid).
    TABLE 4
    Results Of Fluid Mechanical Modeling Of
    Packed Vessel Embodiments
    fraction > 1 fraction < 1
    theta/theta_average C/C_0 theta/theta_average C/C_0
    0.999996 0.499998 0.942862 1E − 05
    1.000377 0.511278 0.946671 4E − 05
    1.000758 0.522553 0.95048 0.00012
    1.001139 0.533808 0.954289 0.000345
    1.001519 0.545002 0.958098 0.00093
    1.0019 0.556227 0.961907 0.00234
    1.002281 0.567377 0.963811 0.003605
    1.002662 0.578472 0.965716 0.005455
    1.003043 0.589502 0.96762 0.008105
    1.003424 0.600467 0.969525 0.011825
    1.003805 0.611347 0.971429 0.016945
    1.004186 0.622147 0.973333 0.02386
    1.004567 0.632847 0.975238 0.032995
    1.004947 0.643447 0.977142 0.044845
    1.005328 0.653937 0.977904 0.05045
    1.005709 0.664312 0.978666 0.056605
    1.00609 0.674562 0.979428 0.063335
    1.006471 0.684677 0.980189 0.070675
    1.006852 0.694662 0.980951 0.07865
    1.007233 0.704502 0.981713 0.08729
    1.007614 0.714192 0.982475 0.096615
    1.008375 0.733107 0.983237 0.106655
    1.009137 0.751372 0.983998 0.117292
    1.009899 0.768947 0.98476 0.128949
    1.010661 0.785806 0.985522 0.141229
    1.011423 0.801926 0.986284 0.154284
    1.012184 0.817291 0.987046 0.168109
    1.012946 0.831886 0.987807 0.182704
    1.013708 0.845711 0.988569 0.198069
    1.01447 0.858766 0.989331 0.214189
    1.015232 0.871046 0.990093 0.231049
    1.015993 0.882703 0.990854 0.248624
    1.016755 0.893341 0.991616 0.266889
    1.017517 0.903381 0.992378 0.285804
    1.018279 0.912706 0.992759 0.295494
    1.01904 0.921346 0.99314 0.305334
    1.019802 0.929321 0.993521 0.315319
    1.020564 0.936661 0.993902 0.325434
    1.021326 0.943391 0.994282 0.335683
    1.022088 0.949546 0.994663 0.346058
    1.022849 0.955151 0.995044 0.356548
    1.024754 0.967001 0.995425 0.367148
    1.026658 0.976136 0.995806 0.377848
    1.028563 0.983051 0.996187 0.388648
    1.030467 0.988171 0.996568 0.399528
    1.032372 0.991891 0.996949 0.410493
    1.034276 0.994541 0.99733 0.421523
    1.036181 0.996391 0.997711 0.432618
    1.038085 0.997656 0.998091 0.443768
    1.041894 0.999066 0.998472 0.454994
    1.045703 0.999651 0.998853 0.466188
    1.049512 0.999876 0.999234 0.477443
    1.053321 0.999955 0.999615 0.488718
    1.05713 0.999985 0.999996 0.499998
  • The plot shown in FIG. 24 is the result of a fluid mechanical modeling of packed vessel embodiments and it shows that the RTD is very close to that of an ideal PFR. Comparing to RTDs in FIG. 23, it is very close to PFR and has a DL/uL=8.4×10−5/(1.3*2)≈3.2×10−5. Advantageously, the C/Co of certain packed bed preferred embodiments does not even start deviating from 0.0 until 0.96 (theta/theta average). The curve of DL/uL of 0.002 is already above C/Co of 0.5. If the scale of the above plot is changed from 0-2.0, the comparison between FIGS. 23 and 24 are even more evident.
  • A feature of the preferred embodiments is improved vapor/solid contact time and improved solid source surface area to vapor volume ratio as a result of, among other factors, the avoidance of problematic “tunneling.” Another feature of preferred embodiments is enhanced vapor/solid contact time. Yet another feature of preferred embodiments is the allowance of relatively even gas flow resistance over the life of a sublimation bed. Another feature of certain preferred embodiments is the production of a carrier gas flow substantially saturated with precursor in the sublimation vessel, which is substantially exhibiting plug flow mixing behavior. Each of these features preferably contributes to an ability to saturate carrier gas in each pulse over an extended number of pulses without recharging the solid source material. Accordingly, a predictable amount of reactant is provided in each pulse, allowing far greater control over semiconductor processing, particularly ALD.
  • Although the invention has been disclosed in the context of certain preferred embodiments and examples, it will be understood by those skilled in the art that the present invention extends beyond the specifically disclosed embodiments to other alternative embodiments and/or uses of the invention and obvious modifications thereof. Thus, it is intended that the scope of the present invention herein disclosed should not be limited by the particular disclosed embodiments described above, but should be determined only by a fair reading of the claims that follow.

Claims (30)

1. A method of employing a vapor reactant for substrate processing comprising:
introducing a carrier gas into a vessel through an inlet port;
guiding the carrier gas to contact sufficient vapor reactant from a solid source material in order to repeatedly saturate the carrier gas with the vapor reactant, the saturation of the carrier gas continuing for greater than 100,000 pulses of carrier gas, each pulse lasting for greater than about 0.1 seconds; and
pulsing the carrier gas out of the vessel through an outlet port, wherein time between successive pulses is no more than about 30 seconds.
2. The method according to claim 1, wherein guiding comprises flowing the carrier gas through a support medium coated with the solid source material.
3. The method according to claim 2, further comprising inserting the support media into a substantially stationary position within the sublimation vessel.
4. The method according to claim 1, wherein guiding the carrier gas comprises guiding the carrier gas in a generally helical contact path as defined by a flow guide.
5. The method according to claim 4, wherein the solid source material is in the form of a solid powder.
6. The method according to claim 4, wherein a plurality of stacked trays partially define levels of the helical contact path, the plurality of stacked trays being configured to ensure contact of the carrier gas with the vapor reactant along the helical contact path.
7. The method according to claim 1, further comprising channeling the carrier gas carrying the solid source vapor to a chemical vapor deposition (CVD) reactor.
8. The method according to claim 1, further comprising:
pulsing the carrier gas carrying the vapor reactant to an atomic layer deposition (ALD) reaction chamber;
removing any excess vapor reactant from the reaction chamber;
pulsing a second reactant into the reaction chamber; and
removing any excess second reactant from the reaction chamber.
9. The method according to claim 1, further comprising pouring a plurality of support elements through a fill port in the sublimation vessel, the support elements being coated with the solid source material.
10. A method for processing a substrate comprising:
producing a pulse of a carrier gas substantially saturated with a precursor vapor by contacting the carrier gas with a solid precursor source;
injecting the pulse of the carrier gas substantially saturated with precursor vapor into a reaction chamber;
purging the pulse from the chamber with an inert gas; and
depositing the precursor onto the substrate so that the substrate is substantially saturated with the precursor,
wherein injecting, purging, and depositing comprise a cycle which is repeated for at least 5 cycles with no greater than 30 seconds between the successive injecting of the pulses of the carrier gas substantially saturated with the precursor vapor.
11. The method of claim 10, wherein producing the pulse of the carrier gas substantially saturated with precursor comprises affecting a vapor pressure between 0.1 and 100 Torr.
12. The method of claim 10, wherein producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor along a carrier gas contact pathway which is at least twice as long as the distance between a carrier gas inlet and a carrier gas outlet of a vessel containing the solid precursor source.
13. The method of claim 10, wherein producing the pulse of carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor along a segregated and winding gas contact pathway.
14. The method of claim 10, wherein producing the pulse of carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with a solid precursor coating of a support medium.
15. The method of claim 10, wherein producing the pulse comprises isolating the solid source after each injection by temporarily preventing the carrier gas substantially saturated with precursor vapor from exiting a vessel containing the solid precursor source.
16. A method for performing an atomic layer deposition (ALD) process to deposit a layer on a substrate surface comprising:
contacting a carrier gas with a precursor vapor from a solid precursor source in a sublimation vessel so that the carrier gas is substantially saturated with the precursor vapor;
channeling the substantially saturated carrier gas from the vessel and through a conduit to a substrate processing chamber;
pulsing the substantially saturated carrier gas into the substrate processing chamber;
stopping the flow of substantially saturated carrier gas from the vessel; and
purging the substantially saturated carrier gas from the chamber with a substantially inert gas,
wherein pulsing, stopping, and purging comprise a cycle, the cycle being repeated at least twice during the deposition of the layer.
17. The method of claim 16, further comprising contacting a second carrier gas with a second precursor vapor from a second solid precursor source so that the carrier gas is substantially saturated with the second precursor vapor.
18. The method of claim 16, wherein a recharge period of the sublimation vessel is greater than 0.400 seconds and less than 30 seconds between stopping the flow and a next pulsing of the substantially saturated carrier gas into the substrate processing chamber.
19. The method of claim 16, wherein stopping the flow comprises isolating the sublimation vessel from the processing chamber for greater than 0.400 and less than 10 seconds between pulses.
20. The method of claim 19, wherein a duration of each pulsing in a cycle comprises at least 0.1-10 seconds.
21. The method of claim 19, wherein each pulse is substantially saturated for at least 100,000 cycles.
22. The method of claim 21, wherein each pulse is substantially saturated for at least 500,000 cycles.
23. The method of claim 19, wherein after no more than each cycle is completed, the substrate surface is substantially saturated with adsorbed species of the precursor vapor.
24. The method of claim 16, wherein about one monolayer of the precursor is deposited per cycle.
25. The method of claim 16, wherein each cycle deposits about 1-5 Å.
26. The method of claim 16, wherein channeling the substantially saturated carrier gas from the vessel comprises flowing a substantial plug flow through the vessel to a vessel outlet.
27. The method of claim 16, wherein contacting a carrier gas with a precursor vapor from a solid precursor source in a sublimation vessel comprises producing a substantial plug flow residence time distribution of flow in the vessel.
28. The method of claim 27, wherein pulsing the substantially saturated carrier gas into the substrate processing chamber further comprises flowing the substantial plug flow through the chamber
29. The method of claim 27, wherein producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source along a convoluted carrier gas contact pathway.
30. The method of claim 29, wherein producing the pulse of the carrier gas substantially saturated with the precursor vapor comprises contacting the carrier gas with the solid precursor source that coats a support medium.
US11/442,364 2002-07-30 2006-05-25 Sublimation bed employing carrier gas guidance structures Abandoned US20060216419A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/442,364 US20060216419A1 (en) 2002-07-30 2006-05-25 Sublimation bed employing carrier gas guidance structures

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40021002P 2002-07-30 2002-07-30
US10/629,029 US7122085B2 (en) 2002-07-30 2003-07-29 Sublimation bed employing carrier gas guidance structures
US11/442,364 US20060216419A1 (en) 2002-07-30 2006-05-25 Sublimation bed employing carrier gas guidance structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/629,029 Division US7122085B2 (en) 2002-07-30 2003-07-29 Sublimation bed employing carrier gas guidance structures

Publications (1)

Publication Number Publication Date
US20060216419A1 true US20060216419A1 (en) 2006-09-28

Family

ID=31188666

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/629,029 Expired - Lifetime US7122085B2 (en) 2002-07-30 2003-07-29 Sublimation bed employing carrier gas guidance structures
US11/442,364 Abandoned US20060216419A1 (en) 2002-07-30 2006-05-25 Sublimation bed employing carrier gas guidance structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/629,029 Expired - Lifetime US7122085B2 (en) 2002-07-30 2003-07-29 Sublimation bed employing carrier gas guidance structures

Country Status (7)

Country Link
US (2) US7122085B2 (en)
EP (1) EP1525337A2 (en)
JP (1) JP4585852B2 (en)
KR (1) KR101183109B1 (en)
AU (1) AU2003254266A1 (en)
TW (2) TW200952073A (en)
WO (1) WO2004011695A2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030200917A1 (en) * 2002-04-25 2003-10-30 Vaartstra Brian A. Atomic layer deposition methods and chemical vapor deposition methods
US20050042373A1 (en) * 2003-08-18 2005-02-24 Kraus Brenda D. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
US20110076402A1 (en) * 2002-06-17 2011-03-31 Asm International N.V. System for controlling the sublimation of reactants
US20110151599A1 (en) * 2009-12-23 2011-06-23 Heung-Yeol Na Vapor deposition apparatus having improved carrier gas supplying structure and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
US20110189498A1 (en) * 2008-10-08 2011-08-04 Ulvac, Inc. Evaporating material and method of manufacturing the same
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
DE102012215708A1 (en) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh STORAGE CONTAINER FOR A COATING SYSTEM AND COATING SYSTEM
US20190244790A1 (en) * 2018-02-06 2019-08-08 Kokusai Electric Corporation Method of manufacturing semiconductor device
WO2023278721A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
EP4058619A4 (en) * 2019-11-14 2023-11-29 Entegris, Inc. Densified solid preforms for sublimation
EP4311866A1 (en) 2022-07-28 2024-01-31 ASM IP Holding B.V. Semiconductor manufacturing monitoring process

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118805B (en) * 2000-05-15 2008-03-31 Asm Int A method and configuration for introducing a gas phase reactant into a reaction chamber
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
WO2004105095A2 (en) * 2003-05-16 2004-12-02 Svt Associates Inc. Thin-film deposition evaporator
KR20050004379A (en) * 2003-07-02 2005-01-12 삼성전자주식회사 Gas supplying apparatus for atomic layer deposition
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20060102079A1 (en) * 2004-11-15 2006-05-18 Glassman Timothy E Reducing variability in delivery rates of solid state precursors
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
DE102004062552A1 (en) * 2004-12-24 2006-07-06 Aixtron Ag Apparatus for vaporizing condensed substances
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
FI121341B (en) 2006-02-02 2010-10-15 Beneq Oy Silver protective coating
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
JP5045062B2 (en) * 2006-10-30 2012-10-10 住友化学株式会社 Method for supplying solid organometallic compound
TWI535874B (en) * 2006-12-13 2016-06-01 環球展覽公司 Improved evaporation process for solid phase materials
US7678458B2 (en) * 2007-01-24 2010-03-16 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP2009044023A (en) * 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing device
JP5141141B2 (en) * 2007-08-23 2013-02-13 東京エレクトロン株式会社 Vaporizer, source gas supply system using vaporizer, and film forming apparatus using the same
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090317547A1 (en) * 2008-06-18 2009-12-24 Honeywell International Inc. Chemical vapor deposition systems and methods for coating a substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR101015277B1 (en) * 2008-12-10 2011-02-15 삼성모바일디스플레이주식회사 evaporation source
JP5361467B2 (en) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 Vaporizer
JP5501807B2 (en) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 Processing equipment
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
KR101084275B1 (en) * 2009-09-22 2011-11-16 삼성모바일디스플레이주식회사 Source gas supplying unit, deposition device having the same and method thereof
CN102597310B (en) * 2009-11-02 2015-02-04 西格玛-奥吉奇有限责任公司 Solid precursor delivery assembly and correlation method
TWI557261B (en) * 2010-04-19 2016-11-11 Asm美國公司 Precursor delivery system
EP2590756B1 (en) * 2010-07-07 2020-11-04 Directed Vapor Technologies International, Inc. Method and apparatus for applying a coating at a high rate onto non-line-of-sight regions of a substrate
JP2012248803A (en) * 2011-05-31 2012-12-13 Hitachi Cable Ltd Metal chloride gas generator and metal chloride gas generation method, and hydride vapor phase epitaxial growth apparatus, nitride semiconductor wafer, nitride semiconductor device, wafer for nitride semiconductor light-emitting diode, manufacturing method of nitride semiconductor self-supporting substrate, and nitride semiconductor crystal
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20120139387A (en) * 2011-06-17 2012-12-27 삼성디스플레이 주식회사 Apparatus and method for thin layer deposition
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP2015519478A (en) 2012-05-31 2015-07-09 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Fluid delivery based on source reagent with high material flux for batch deposition
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101599286B1 (en) * 2014-01-17 2016-03-03 (주) 엠에이케이 The apparatus for changing the surface character of material
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR101777777B1 (en) * 2015-12-23 2017-09-26 주식회사 포스코 Vacuum evaporation apparatus for high rate coating
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10483498B2 (en) 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180047692A1 (en) * 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP7028955B2 (en) * 2017-04-10 2022-03-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Aerosol-free vessel for bubbling chemical precursors in the deposition process
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (en) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 Raw material container
KR20190072266A (en) * 2017-12-15 2019-06-25 삼성전자주식회사 Apparatus for supplying source gas and deposition device having the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) * 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
KR102072924B1 (en) * 2018-11-05 2020-02-03 (주)에이텍솔루션 High efficiency semiconductor vaporizer
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP7240881B2 (en) * 2019-01-18 2023-03-16 Jx金属株式会社 metal chloride sublimation vessel
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP6887688B2 (en) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 A container for evaporative raw materials and a solid vaporization supply system using the container for evaporative raw materials
JP6901153B2 (en) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 Solid vaporization supply system for metal halogen compounds for thin film formation.
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
JP7240993B2 (en) * 2019-08-27 2023-03-16 東京エレクトロン株式会社 Source gas supply system and source gas supply method
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
DE102020212606A1 (en) 2020-10-06 2022-04-07 Karlsruher Institut für Technologie Structure, heat exchanger comprising a structure, heat exchanger system comprising a heat exchanger, method for temperature control of a particle flow, use of a structure for temperature control of a particle flow, method for coating a substrate and method for separating a liquid sample
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
CN112354797A (en) * 2020-11-04 2021-02-12 中国电子科技集团公司第五十五研究所 Water glue pouring and gluing device and method capable of achieving streamlined operation for display panel
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4548138A (en) * 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US4947790A (en) * 1988-01-16 1990-08-14 U.S. Philips Corporation Arrangement for producing a gas flow which is enriched with the vapor of a low-volatile substance
US5551278A (en) * 1987-07-08 1996-09-03 Thermedics Inc. Vapor collector/desorber with non-conductive tube bundle
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5674574A (en) * 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US5698037A (en) * 1991-05-31 1997-12-16 Stauffer; Craig M. Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US5904771A (en) * 1996-04-05 1999-05-18 Dowa Mining Co., Ltd. Method of subliming material in CVD film preparation method
US6033493A (en) * 1994-12-01 2000-03-07 Framatome Process for coating a passivatable metal or alloy substrate with an oxide layer, and fuel assembly cladding and guide tubes and spacer grid coated with an oxide layer
US6132492A (en) * 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US6270839B1 (en) * 1999-08-20 2001-08-07 Pioneer Corporation Device for feeding raw material for chemical vapor phase deposition and method therefor
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3339625A1 (en) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg DEVICE FOR ENRICHING A CARRIER GAS WITH THE VAPOR OF A LITTLE VOLATILE FABRIC
JPS6464314A (en) * 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
JP2651530B2 (en) * 1988-04-15 1997-09-10 住友化学工業株式会社 Organometallic compound supply equipment for vapor phase growth
JPH0940489A (en) * 1995-03-30 1997-02-10 Pioneer Electron Corp Method for supplying solid raw material of mocvd and supplying device therefor
JP3684797B2 (en) * 1997-12-04 2005-08-17 株式会社デンソー Vapor phase growth method and vapor phase growth apparatus
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
EP1326271A4 (en) * 2000-09-18 2005-08-24 Tokyo Electron Ltd Method for film formation of gate insulator, apparatus for film formation of gate insulator, and cluster tool

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4548138A (en) * 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4883362A (en) * 1987-01-31 1989-11-28 U.S. Philips Corp. Device for enriching a carrier gas with the vapor of a sparingly volatile substance
US5551278A (en) * 1987-07-08 1996-09-03 Thermedics Inc. Vapor collector/desorber with non-conductive tube bundle
US4947790A (en) * 1988-01-16 1990-08-14 U.S. Philips Corporation Arrangement for producing a gas flow which is enriched with the vapor of a low-volatile substance
US5698037A (en) * 1991-05-31 1997-12-16 Stauffer; Craig M. Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US6132492A (en) * 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
US6033493A (en) * 1994-12-01 2000-03-07 Framatome Process for coating a passivatable metal or alloy substrate with an oxide layer, and fuel assembly cladding and guide tubes and spacer grid coated with an oxide layer
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5904771A (en) * 1996-04-05 1999-05-18 Dowa Mining Co., Ltd. Method of subliming material in CVD film preparation method
US5674574A (en) * 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6325017B1 (en) * 1997-02-27 2001-12-04 Micron Technology, Inc. Apparatus for forming a high dielectric film
US6270839B1 (en) * 1999-08-20 2001-08-07 Pioneer Corporation Device for feeding raw material for chemical vapor phase deposition and method therefor
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20030200917A1 (en) * 2002-04-25 2003-10-30 Vaartstra Brian A. Atomic layer deposition methods and chemical vapor deposition methods
US7488386B2 (en) 2002-04-25 2009-02-10 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US20110076402A1 (en) * 2002-06-17 2011-03-31 Asm International N.V. System for controlling the sublimation of reactants
US8309173B2 (en) 2002-06-17 2012-11-13 Asm International N.V. System for controlling the sublimation of reactants
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20060263523A1 (en) * 2003-08-18 2006-11-23 Kraus Brenda D Atomic layer deposition methods of forming conductive metal nitride-comprising layers
US7923070B2 (en) 2003-08-18 2011-04-12 Micron Technology, Inc. Atomic layer deposition method of forming conductive metal nitride-comprising layers
US20050042373A1 (en) * 2003-08-18 2005-02-24 Kraus Brenda D. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20070026540A1 (en) * 2005-03-15 2007-02-01 Nooten Sebastian E V Method of forming non-conformal layers
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20100022099A1 (en) * 2005-03-15 2010-01-28 Asm America, Inc. Method of forming non-conformal layers
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US20110189498A1 (en) * 2008-10-08 2011-08-04 Ulvac, Inc. Evaporating material and method of manufacturing the same
CN102108500A (en) * 2009-12-23 2011-06-29 三星移动显示器株式会社 Vapor deposition apparatus and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
US20110151599A1 (en) * 2009-12-23 2011-06-23 Heung-Yeol Na Vapor deposition apparatus having improved carrier gas supplying structure and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
TWI596817B (en) * 2009-12-23 2017-08-21 三星顯示器有限公司 Vapor deposition apparatus having improved carrier gas supplying structure and method of manufacturing an organic light emitting display apparatus by using the vapor deposition apparatus
DE102012215708A1 (en) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh STORAGE CONTAINER FOR A COATING SYSTEM AND COATING SYSTEM
US20190244790A1 (en) * 2018-02-06 2019-08-08 Kokusai Electric Corporation Method of manufacturing semiconductor device
US10714316B2 (en) * 2018-02-06 2020-07-14 Kokusai Electric Corporation Method of manufacturing semiconductor device
EP4058619A4 (en) * 2019-11-14 2023-11-29 Entegris, Inc. Densified solid preforms for sublimation
WO2023278721A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
US11773485B2 (en) 2021-07-02 2023-10-03 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
EP4311866A1 (en) 2022-07-28 2024-01-31 ASM IP Holding B.V. Semiconductor manufacturing monitoring process

Also Published As

Publication number Publication date
JP2005535112A (en) 2005-11-17
WO2004011695A3 (en) 2004-11-04
EP1525337A2 (en) 2005-04-27
KR101183109B1 (en) 2012-09-24
JP4585852B2 (en) 2010-11-24
US20050072357A1 (en) 2005-04-07
AU2003254266A8 (en) 2004-02-16
TW200952073A (en) 2009-12-16
US7122085B2 (en) 2006-10-17
AU2003254266A1 (en) 2004-02-16
KR20050030963A (en) 2005-03-31
WO2004011695A2 (en) 2004-02-05
TW200403721A (en) 2004-03-01
TWI319593B (en) 2010-01-11

Similar Documents

Publication Publication Date Title
US7122085B2 (en) Sublimation bed employing carrier gas guidance structures
USRE48871E1 (en) Method and apparatus for depositing thin films on a surface
US11926894B2 (en) Reactant vaporizer and related systems and methods
US7156380B2 (en) Safe liquid source containers
JP4399452B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
CA2566944C (en) Bubbler for constant vapor delivery of a solid chemical
KR100589053B1 (en) Source supply apparatus, method of supplying source, and atomic layer deposition method using the same
US7892983B2 (en) Substrate processing apparatus and producing method of semiconductor device
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
US20060198955A1 (en) Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
CN104681467B (en) Support structure and processing unit
KR20060096445A (en) Reaction system for growing a thin film
US20220403512A1 (en) Bottom Fed Sublimation Bed for High Saturation Efficiency in Semiconductor Applications
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050249873A1 (en) Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US20010000160A1 (en) Method for treatment of semiconductor substrates
JP2022544165A (en) Gas inflow device and substrate processing apparatus using the same
KR20210031391A (en) Fill vessels and connectors for chemical sublimators
JP3909022B2 (en) Filling container for solid organometallic compounds
TW202315967A (en) Bottom fed sublimation bed for high saturation efficiency in semiconductor applications

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION