US20070018199A1 - Nitride-based transistors and fabrication methods with an etch stop layer - Google Patents

Nitride-based transistors and fabrication methods with an etch stop layer Download PDF

Info

Publication number
US20070018199A1
US20070018199A1 US11/185,398 US18539805A US2007018199A1 US 20070018199 A1 US20070018199 A1 US 20070018199A1 US 18539805 A US18539805 A US 18539805A US 2007018199 A1 US2007018199 A1 US 2007018199A1
Authority
US
United States
Prior art keywords
layer
etch stop
nitride
gate
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/185,398
Inventor
Scott Sheppard
Andrew Mackenzie
Scott Allen
Richard Smith
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wolfspeed Inc
Original Assignee
Cree Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cree Inc filed Critical Cree Inc
Priority to US11/185,398 priority Critical patent/US20070018199A1/en
Assigned to CREE, INC. reassignment CREE, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MACKENZIE, ANDREW K., SHEPPARD, SCOTT T., SMITH, RICHARD P., ALLEN, SCOTT T.
Priority to JP2008522820A priority patent/JP2009503815A/en
Priority to EP12164835.6A priority patent/EP2479790B1/en
Priority to PCT/US2006/026952 priority patent/WO2007018918A2/en
Priority to EP06786931.3A priority patent/EP1905097B1/en
Publication of US20070018199A1 publication Critical patent/US20070018199A1/en
Priority to US13/892,530 priority patent/US9142636B2/en
Priority to JP2013147350A priority patent/JP2014003301A/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/432Heterojunction gate for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/802Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]

Definitions

  • the present invention relates to semiconductor devices and in particular relates to transistors, such as high electron mobility transistors (HEMT), that incorporate nitride-based active layers and a recessed gate structure, and methods of fabricating same.
  • transistors such as high electron mobility transistors (HEMT)
  • HEMT high electron mobility transistors
  • Si silicon
  • GaAs gallium arsenide
  • MESFET metal-semiconductor field effect transistor
  • a MESFET is formed on a high-resistivity or semi-insulating substrate by placing an epitaxial layer of conductive p or n-doped material on the substrate. Source, gate, and drain contacts are then made to the epitaxial layer, and when a potential (voltage) is applied to the gate, it creates a depletion region that pinches off the channel between the source and drain thereby turning the device off.
  • HEMT High Electron Mobility Transistor
  • MODFET modulation doped field effect transistor
  • This combination of high carrier concentration and high carrier mobility can give the HEMT a very large transconductance and may provide a strong performance advantage over MESFETs for high-frequency applications.
  • High electron mobility transistors fabricated in the gallium nitride/aluminum gallium nitride (GaN/AlGaN) material system can generate large amounts of RF power because of the combination of material characteristics that includes the aforementioned high breakdown fields, their wide bandgaps, large conduction band offset, and/or high saturated electron drift velocity.
  • a major portion of the electrons in the 2DEG is attributed to polarization in the AlGaN.
  • HEMTs in the GaN/AlGaN system have already been demonstrated.
  • U.S. Pat. Nos. 5,192,987 and 5,296,395 describe AlGaN/GaN HEMT structures and methods of manufacture.
  • U.S. Pat. No. 6,316,793, to Sheppard et al. which is commonly assigned and is incorporated herein by reference, describes a HEMT device having a semi-insulating silicon carbide substrate, an aluminum nitride buffer layer on the substrate, an insulating gallium nitride layer on the buffer layer, an aluminum gallium nitride barrier layer on the gallium nitride layer, and a passivation layer on the aluminum gallium nitride active structure.
  • One step in the fabrication of some nitride-based transistors is the formation of a gate contact in a recess.
  • a thick cap structure of the transistor may be desirable in achieving high current capability and low dispersion.
  • a gate recess through the cap layer may be desirable to achieve high breakdown voltage, low RF dispersion and/or high transconductance with concomitant high-frequency performance.
  • an etching process used to form a recessed gate structure may damage the underlying barrier layer(s). Damage to the barrier layer may result in the formation of a high density of surface states or traps that adversely affect device operation.
  • Some embodiments of the present invention provide III-nitride based transistors and methods of making the same that utilize an etch stop layer to protect underlying layers from etch damage associated with the formation of recesses.
  • the recess may be used for a recessed gate contact.
  • a III-nitride based transistor comprises a silicon carbide substrate, one or more nitride-based surface layers, an etch stop layer and a gate contact formed in a recess. Some embodiments provide methods of fabricating the gate contact recess that comprise etching the recess to the etch stop layer and subsequently removing a part of the etch stop layer in the recess to expose the portion of the surface layer intended for the gate contact.
  • the III-nitride based transistor may be a MESFET, HEMT, JFET, MOSFET, IGBT, MISHFET or any other transistor with a recessed gate contact.
  • a high electron mobility transistor comprises a nitride-based channel layer, a nitride-based barrier layer on the channel layer, an etch stop layer on the channel layer, a dielectric layer on the etch stop layer, and a gate contact recess in the dielectric and etch stop layers that extends to the barrier layer.
  • the transistor comprises a nitride-based channel layer, a nitride-based barrier layer on the channel layer, a cap layer on the barrier layer, and a dielectric layer on the cap layer.
  • the gate contact recess may extend through the dielectric layer and cap layer to the barrier layer.
  • the gate contact recess extends through the dielectric layer and partially into the cap layer, but not to the barrier layer.
  • a cap layer, an etch stop layer and a dielectric layer are all present.
  • Some embodiments of the present invention provide methods of fabricating HEMTs, including forming a nitride-based channel layer on a substrate, forming a nitride-based barrier layer on the channel layer, forming an etch stop layer on the channel layer, forming a dielectric layer on the etch stop layer and forming a gate recess in the dielectric and etch stop layers that extends to the barrier layer.
  • the gate contact which may be a Schottky contact, is formed in the gate recess.
  • the method further includes forming ohmic contacts to the barrier layer of the device on opposite sides of the gate recess.
  • the ohmic contacts are formed on the surface or partially into the barrier layer prior to the formation of the etch stop layer, dielectric layer and/or the gate contact recess.
  • the ohmic contacts are formed after the formation of the etch stop layer, dielectric layer, and gate contact recess. Ohmic contact recesses are then provided through the dielectric layer and etch stop layer to expose portions of the barrier layer.
  • forming a gate recess includes patterning a mask layer on the dielectric layer to have an opening corresponding to the gate recess and etching the dielectric layer to the etch stop using the patterned mask layer as an etch mask.
  • the etch stop layer may reduce damage in the gate recess by isolating and protecting the surface of the barrier layer during the dielectric layer etch.
  • Forming the gate recess further includes removing the etch stop layer in the gate recess thereby extending the gate recess to the barrier layer.
  • another insulating layer is formed on the dielectric layer and in the gate recess.
  • the insulating layer may be the same material as the dielectric layer.
  • the gate contact may be formed on the insulating layer in the gate recess. The gate contact may also extend onto the insulating layer on the dielectric layer.
  • the methods further include forming a cap layer.
  • the cap layer is formed on the barrier layer before forming the dielectric layer.
  • Gate recess formation then comprises etching the dielectric layer to the cap layer and then removing the some or all the remaining cap layer in the gate recess.
  • forming a cap layer includes forming a GaN layer on the barrier layer.
  • the GaN layer may be an undoped GaN layer, an AlGaN layer graded to GaN, an AlGaN layer graded to GaN and a doped GaN layer and/or a doped GaN layer.
  • Forming a cap layer may also include forming a GaN layer on the barrier layer and forming a SiN layer on the GaN layer. Furthermore, forming a gate recess may include forming a gate recess that extends through the cap layer and into but not through the barrier layer.
  • the cap layer includes a GaN based semiconductor material. In still other embodiments, the cap layer, the etch stop layer and the dielectric layer are all sequentially formed on the barrier layer.
  • methods of fabricating high electron mobility transistors include forming a first layer of GaN based semiconductor material on a substrate and forming a second layer of AlGaN based semiconductor material on the first layer, the second layer being configured to induce a two-dimensional electron gas in a region proximate an interface between the first layer and the second.
  • a third layer that may be AlN, SiO2, GaN or AlGaN is formed on the third to be used as an etch stop layer.
  • a fourth layer that may be a dielectric such as SiN is formed on the third layer.
  • the methods further include forming a gate recess in the third and fourth layers that extends to the second layer, and then forming a gate contact in the gate recess.
  • the gate contact may be a Schottky gate contact.
  • forming a gate recess includes patterning a mask layer on the fourth layer of GaN based semiconductor material to have an opening corresponding to the gate recess and etching the fourth layer to the third layer using the patterned mask layer as an etch mask. Forming the gate recess further includes removing the third layer in the gate recess and thereby extending the gate recess to the second layer. This may include etching the fourth layer using the same patterned mask layer as the etch mask used for the fifth layer etch.
  • FIGS. 1A-1H are cross-sectional drawings illustrating fabrication of transistors according to some embodiments of the present invention.
  • FIG. 2 is a cross-sectional drawing illustrating fabrication of transistors according to further embodiments of the present invention.
  • FIG. 3 is a cross-sectional drawing illustrating fabrication of transistors according to further embodiments of the present invention.
  • FIG. 4 is a cross-sectional drawing illustrating fabrication of transistors according to still further embodiments of the present invention.
  • first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.
  • relative terms such as “lower” or “bottom” and “upper” or “top,” may be used herein to describe one element's relationship to another elements as illustrated in the Figures. It will be understood that relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the Figures. For example, if the device in the Figures is turned over, elements described as being on the “lower” side of other elements would then be oriented on “upper” sides of the other elements. The exemplary term “lower”, can therefore, encompasses both an orientation of “lower” and “upper,” depending of the particular orientation of the figure.
  • Embodiments of the present invention are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments of the present invention. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments of the present invention should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etched region illustrated as a rectangle will, typically, have tapered, rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the precise shape of a region of a device and are not intended to limit the scope of the present invention.
  • references to a structure or feature that is disposed “adjacent” another feature may have portions that overlap or underlie the adjacent feature.
  • Some embodiments of the present invention utilize an etch stop layer that may prevent etch damage associated with the formation of a device gate recess in a semiconductor device.
  • the etch stop layer which may subsequently be removed from the gate recess, protects the underlying layer during recess formation.
  • portions of the remaining etch stop layer that are not in the gate recess provide passivation at the interface of the protected underlying layer.
  • Embodiments of the present invention may be particularly well suited for use in Group III-nitride based devices such as HEMTs, MESFETs, JFETs, MOSFETs, IGBTs, MISHFETs or other transistors with recessed gate contacts.
  • Group III nitride refers to those semiconducting compounds formed between nitrogen and the elements in Group III of the periodic table, usually aluminum (Al), gallium (Ga), and/or indium (In).
  • Al aluminum
  • Ga gallium
  • In indium
  • the term also refers to ternary and quaternary compounds such as AlGaN and AlInGaN.
  • the Group III elements can combine with nitrogen to form binary (e.g., GaN), ternary (e.g., AlGaN, AlInN), and quaternary (e.g., AlInGaN) compounds. These compounds all have empirical formulas in which one mole of nitrogen is combined with a total of one mole of the Group III elements. Accordingly, formulas such as Al x Ga 1-x N where 0 ⁇ x ⁇ 1 are often used to describe them.
  • Suitable structures for GaN-based HEMTs that may utilize embodiments of the present invention are described, for example, in commonly assigned U.S. Pat. No. 6,316,793 and U.S. Patent Publication No. 2002/0066908A1 filed Jul. 12, 2001 and published Jun. 6, 2002, for “ALUMINUM GALLIUM NITRIDE/GALLIUM NITRIDE HIGH ELECTRON MOBILITY TRANSISTORS HAVING A GATE CONTACT ON A GALLIUM NITRIDE BASED CAP SEGMENT AND METHODS OF FABRICATING SAME,” U.S. Provisional Patent Application Ser. No.
  • Suitable structures for GaN-based MESFETs that may utilize embodiments of the present invention are described, for example, in commonly assigned U.S. Pat. No. 6,686,616 filed May 10, 2000, for “SILICON CARBIDE METAL-SEMICONDUCTOR FIELD EFFECT TRANSISTORS,” and commonly assigned U.S. Pat. No. 5,270,554 filed Jun. 14, 1991, for “HIGH POWER HIGH FREQUENCY METAL-SEMICONDUCTOR FIELD-EFFECT TRANSISTOR FORMED IN SILICON CARBIDE,” the disclosures of which are hereby incorporated herein by reference in their entirety.
  • FIGS. 1A-1H Methods of fabrication according to some embodiments of the present invention are illustrated in FIGS. 1A-1H .
  • a substrate 10 is provided on which nitride based devices may be formed.
  • the substrate 10 may be a semi-insulating silicon carbide (SiC) substrate that may be, for example, 4H polytype of silicon carbide.
  • SiC silicon carbide
  • Other silicon carbide candidate polytypes include the 3C, 6H, and 15R polytypes.
  • the term “semi-insulating” is used descriptively rather than in an absolute sense.
  • the silicon carbide bulk crystal has a resistivity equal to or higher than about 1 ⁇ 10 5 ⁇ -cm at room temperature.
  • Optional buffer, nucleation and/or transition layers may be provided on the substrate 10 .
  • an AlN buffer layer may be provided to provide an appropriate crystal structure transition between the silicon carbide substrate and the remainder of the device.
  • strain balancing transition layer(s) may also be provided as described, for example, in commonly assigned U.S. Patent Publication No. 2003/0102482A1, filed Jul. 19, 2002 and published Jun. 5, 2003, and entitled “STRAIN BALANCED NITRIDE HETROJUNCTION TRANSISTORS AND METHODS OF FABRICATING STRAIN BALANCED NITRIDE HETEROJUNCTION TRANSISTORS, and U.S. Provisional Patent Application Ser. No. 60/337,687, filed Dec. 3, 2001 and entitled “STRAIN BALANCED NITRIDE HETEROJUNCTION TRANSISTOR,” the disclosures of which are incorporated herein by reference as if set forth fully herein.
  • SiC substrates are manufactured by, for example, Cree, Inc., of Durham, N.C., the assignee of the present invention, and methods for producing are described, for example, in U. S. Pat. Nos. Re. 34,861; 4,946,547; 5,200,022; and 6,218,680, the contents of which are incorporated herein by reference in their entirety.
  • techniques for epitaxial growth of Group III nitrides have been described in, for example, U. S. Pat. Nos. 5,210,051; 5,393,993; 5,523,589; and 5,292,501, the contents of which are also incorporated herein by reference in their entirety.
  • silicon carbide may be used as a substrate material
  • embodiments of the present invention may utilize any suitable substrate, such as sapphire, aluminum nitride, aluminum gallium nitride, gallium nitride, silicon, GaAs, LGO, ZnO, LAO, InP and the like.
  • an appropriate buffer layer also may be formed.
  • a nitride-based channel layer 20 is provided on the substrate 10 .
  • the channel layer 20 may be deposited on the substrate 10 using buffer layers, transition layers, and/or nucleation layers as described above.
  • the channel layer 20 may be under compressive strain.
  • the channel layer and/or buffer nucleation and/or transition layers may be deposited by MOCVD or by other techniques known to those of skill in the art, such as MBE or HVPE.
  • the channel layer 20 is a Group III-nitride, such as Al x Ga 1-x N where 0 ⁇ x ⁇ 1, provided that the energy of the conduction band edge of the channel layer 20 is less than the energy of the conduction band edge of the barrier layer 22 at the interface between the channel and barrier layers.
  • the channel layer 20 may also be other Group III-nitrides such as InGaN, AlInGaN or the like.
  • the channel layer 20 may be undoped (“unintentionally doped”) and may be grown to a thickness of greater than about 20 ⁇ .
  • the channel layer 20 may also be a multi-layer structure, such as a superlattice or combinations of GaN, AlGaN or the like.
  • a nitride-based barrier layer 22 is provided on the channel layer 20 .
  • the channel layer 20 may have a bandgap that is less than the bandgap of the barrier layer 22 and the channel layer 20 may also have a larger electron affinity than the barrier layer 22 .
  • the barrier layer 22 may be deposited on the channel layer 20 .
  • the barrier layer 22 is AlN, AlInN, AlGaN or AlInGaN with a thickness of between about 0.1 nm and about 40 nm.
  • the barrier layer 22 comprises multiple layers that may include GaN, AlN, and AlGaN. Examples of layers according to certain embodiments of the present invention are described in U.S. Patent Publication No.
  • the barrier layer 22 is thick enough and has a high enough Al composition and doping to induce a significant carrier concentration at the interface between the channel layer 20 and the barrier layer 22 through polarization effects. Also, the barrier layer 22 should be thick enough to reduce or minimize scattering of electrons in the channel due to ionized impurities or imperfections deposited at the interface between the barrier layer 22 and any additional overlying layers.
  • the barrier layer 22 may be a Group III-nitride and has a bandgap larger than that of the channel layer 20 and a smaller electron affinity than the channel layer 20 . Accordingly, in certain embodiments of the present invention, the barrier layer 22 is AlGaN, AlInGaN and/or AlN or combinations of layers thereof. The barrier layer 22 may, for example, be from about 0.1 nm to about 40 nm thick, but is not so thick as to cause cracking or substantial defect formation therein. In certain embodiments of the present invention, the barrier layer 22 is undoped or doped with an n-type dopant to a concentration less than about 10 19 cm ⁇ 3 .
  • the barrier layer 22 is Al x Ga 1-x N where 0 ⁇ x ⁇ 1.
  • the aluminum concentration is about 25%.
  • the barrier layer 22 comprises AlGaN with an aluminum concentration of between about 5% and about 100%.
  • the aluminum concentration is greater than about 10%.
  • a mask layer 40 is patterned on the barrier layer to provide openings 46 for ohmic contacts.
  • the mask layer may be a conventional photolithography mask material.
  • the mask material may be SiN, SiO 2 or the like.
  • ohmic metal is patterned to provide ohmic contact material patterns that when annealed provide the ohmic contacts 30 .
  • FIG. 1D illustrates the formation of the etch stop layer 26 and the dielectric layer 28 .
  • the etch stop layer 26 may be formed on the barrier layer 22 and ohmic contacts 30 and may be epitaxially grown and/or formed by deposition.
  • the etch stop layer 26 may be sputtered AlN.
  • the etch stop layer 24 may be SiO2 formed by ex-situ plasma-enhanced chemical vapor deposition (PECVD) of SiO 2 .
  • PECVD ex-situ plasma-enhanced chemical vapor deposition
  • the etch stop layer 26 may have a thickness of from about 50 A -300 A.
  • the dielectric layer 28 may be formed on the etch stop layer 26 and may be formed by deposition.
  • the dielectric layer 28 material may include SiN, SiO2, or SiON formed by ex-situ PECVD on top of etch stop layer 26 .
  • the dielectric layer 28 is different in composition than the etch stop layer 26 .
  • the dielectric layer 28 may have a thickness of from about 500 A - 2000 A.
  • FIGS. 1E-1G illustrate the formation of a gate recess 36 .
  • a second mask pattern 42 is formed on the dielectric layer 28 and patterned to form windows that expose a portion of the dielectric layer 28 .
  • the second mask pattern 42 may be a conventional photolithography mask material.
  • the mask material may be silicon nitride, silicon dioxide or the like.
  • exposed portions of the dielectric layer 28 including at least a portion in the gate recess 36 are removed such that the underlying etch stop layer 26 is exposed.
  • the recess 36 may be formed utilizing a patterned mask and an etch process through the dielectric layer 28 to expose the underlying etch stop layer 26 .
  • the specific etch process is chosen such that the etch rate of the dielectric layer 28 is higher than the etch rate of the etch stop layer 26 .
  • the etch process may be a low damage etch.
  • Examples of low damage etch methods for dielectric layer 28 materials such as SiN, SiO2, and SiON include etching techniques such as inductively coupled plasma using SF 6 , SF 6 /O 2 , CF 4 , CF 4 /O 2 and/or other fluorinated species or electron cyclotron resonance (ECR) and/or downstream plasma etching with no or a small DC component to the plasma.
  • etching techniques such as inductively coupled plasma using SF 6 , SF 6 /O 2 , CF 4 , CF 4 /O 2 and/or other fluorinated species or electron cyclotron resonance (ECR) and/or downstream plasma etching with no or a small DC component to the plasma.
  • etch stop layer 26 in the gate recess 36 are removed to expose a portion of the barrier layer 22 in the gate recess 36 .
  • Wet etching techniques may be used to remove the portions of etch stop layer 26 .
  • Wet etching techniques may be less damaging in that they typically comprise dissolving the layer in liquid chemicals.
  • dry etching techniques typically convert the layer to a gaseous compound with chemical or physical bombardment.
  • a hydroxide-based developer such as NH4O H may be used.
  • the wet etch may include BOE or BHF.
  • Suitable combinations of the dielectric layer 28 , dry etch species, etch stop layer 26 , and wet etch are summarized in Table 1 below.
  • Table 1 Dielectric Etch Stop Wet Etch of Layer 28 Dry Etch Species
  • the recess 36 is formed to extend into the barrier layer 22 .
  • the recess 36 may extend into the barrier layer 22 to, for example, adjust performance characteristics of the device such as threshold voltage, frequency performance, etc.
  • the recess may be formed using the mask 42 and an etch process as described above.
  • the recess may be offset between the source and/or drain contacts such that the recess, and subsequently the gate contact 32 , is closer to the source contact than the drain contact.
  • the gate contact 32 is formed in the recess and contacts the exposed portion of the barrier layer 22 .
  • the gate contact may be a “T” gate and may be fabricated using conventional fabrication techniques.
  • the gate contact may include a field plate extension 32 a over a portion of the dielectric layer 28 on the drain side 33 of the gate contact 32 .
  • a portion of the gate contact 32 b may overlap the dielectric layer 28 on the source side 34 to potentially allow higher class operation, as described in U.S. patent application Ser. No.11/078,265 filed Mar. 3, 2005 and entitled “WIDE BANDGAP TRANSISTORS WITH GATE-SOURCE FIELD PLATES,” the disclosure of which is incorporated herein by reference as if set forth fully herein.
  • Suitable gate materials may depend on the composition of the barrier layer 22 , however, in certain embodiments, conventional materials capable of making a Schottky contact to a nitride based semiconductor material may be used, such as Ni, Pt, NiSi x , Cu, Pd, Cr, W and/or WSiN. It is possible that a small gap between the gate contact 32 and one or both of the etch stop layer 26 or dielectric layer 28 may arise as a result of, for example, anisotropy of the gate recess etch, resulting in an exposed surface of the barrier layer 22 between the gate contact 32 and one or both of the etch stop layer 26 or dielectric layer 38 . This gap may be formed intentionally.
  • portions of the etch stop layer 26 and the dielectric layer 28 that were formed on the ohmic contacts 30 are removed to provide access to the ohmic contacts 30 . This may occur at any time during or after the formation of the gate recess.
  • the interface between the etch stop layer 26 and barrier layer 22 may have a low surface-state density and provide a high barrier to prevent injection of electrons from the barrier layer 22 to the etch stop layer 26 . In other words, the etch stop layer 26 may provide good passivation.
  • a passivation layer may also be provided on the structure of FIG. 1H .
  • the passivation layer may be blanket deposited on the structure of FIG. 1H .
  • the passivation layer may be silicon nitride, aluminum nitride, silicon dioxide, an ONO structure and/or an oxynitride.
  • the passivation layer may be a single or multiple layers of uniform and/or non-uniform composition.
  • FIG. 2 illustrates formation of transistors according to further embodiments of the present invention.
  • the structure of FIG. 1H may have an insulating layer 130 formed on the structure including in the gate recess.
  • the gate contact 32 may then be formed on the insulating layer 130 .
  • the insulating layer 130 may be one or more layers and may include, for example, SiN, AlN, SiO 2 , and/or an ONO structure.
  • an insulating gate HEMT may be provided, for example, as described in U.S. Patent Publication No. 2003/0020092 entitled “INSULATING GATE ALGAN/GAN HEMT”, to Parikh et al., the disclosure of which is incorporated herein by reference as if set forth fully herein.
  • FIG. 3 illustrates formation of transistors according to further embodiments of the present invention.
  • the structure may have a cap layer 24 formed on the barrier layer that protects the barrier layer 22 from dry etching.
  • Gate contact 32 formation may then comprise dry etching the dielectric layer 28 to the cap layer 24 and then removing the portion of the cap layer 24 damaged by the dry etch.
  • the gate contact 32 may be formed on the cap layer 24 .
  • a portion of the cap layer 24 is removed to expose the barrier layer 22 and the gate contact 32 may be formed directly on the barrier layer 22 .
  • the dry etching of the dielectric layer 28 and wet etching of the cap layer 24 may be performed according to previously discussed embodiments.
  • the cap layer 24 may be a Group III-nitride, and, in some embodiments, a GaN based semiconductor material, such as GaN, AlGaN and/or InGaN.
  • the cap layer is GaN.
  • the cap layer 24 has a lower mole fraction of Al.
  • the wet etching may be a heated hydroxide-based solution and/or photo-enhanced electrochemical wet etching.
  • the cap layer 24 may be a single layer or multiple layers of uniform and/or non-uniform composition and/or thickness.
  • the cap layer 24 may be a graded AlGaN layer and a GaN layer as described in Shen et al., “High-Power Polarization-Engineered GaN/AlGaN/GaN HEMTs Without Surface Passivation,” IEEE Electron Device Letters, Vol. 25, No. 1, pp. 7-9, January 2004, the disclosure of which is incorporated herein by reference as if set forth in its entirety.
  • the cap layer 24 may be a GaN layer with a SiN layer on the GaN layer. The cap layer 24 moves the top surface of the device physically away from the channel, which may reduce the effect of the surface on the operation of the device.
  • the cap layer 24 may be blanket formed on the barrier layer 22 and may be epitaxially grown and/or formed by deposition.
  • the cap layer may be formed by in-situ growth of SiN on a GaN cap layer or ex-situ PECVD of SiN or SiO 2 on top of the GaN cap layer.
  • the cap layer 24 may have a thickness of from about 2 nm to about 500 nm.
  • a cap layer 24 of SiN and GaN may have a thickness of about 300 nm.
  • the cap layer 24 may be SiN formed by in-situ growth on the barrier layer 22 . Examples of cap layers according to some embodiments of the present invention are described in U.S. Patent Publication No. 2002/0167023A1, to Smorchkova et al., entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER.”
  • FIG. 4 illustrates formation of transistors according to further embodiments of the present invention.
  • the structure may have a cap layer 24 formed on the barrier layer 22 , an etch stop layer 26 formed on the cap layer 24 , and a dielectric layer 28 formed on the etch stop layer 26 .
  • Gate contact 32 formation may then comprise dry etching the dielectric layer 28 to the etch stop layer 26 and then removing a portion of the etch stop layer 26 to expose the cap layer 24 .
  • the etch stop layer 26 thereby protects the cap layer 24 from dry etching.
  • the gate contact 32 may be formed on the cap layer 24 .
  • the dry etching of the dielectric layer 28 and wet etching of the etch stop layer 26 may be performed according to previously discussed embodiments.
  • the cap layer 24 may be SiN formed by in-situ growth.
  • the ohmic contacts 30 are spaced apart from the layers with SiN and/or SiO 2 portions a distance sufficiently large to allow for misalignment tolerances in the formation and patterning of the ohmic contact metal. If the ohmic contact metal contacts the SiN and/or SiO 2 layers, the metal may diffuse into the SiN and/or SiO 2 layers during subsequent heating steps that may result in a short between a gate contact and the ohmic contact(s) 30 .
  • HEMT devices according to some embodiments of the present invention were subjected to high temperature, reverse bias (HTRB) testing similar to those described in U.S. patent application Ser. No. 11/080,905, filed Mar. 15, 2005 and entitled “GROUP III NITRIDE FIELD EFFECT TRANSISTORS (FETs) CAPABLE OF WITHSTANDING HIGH TEMPERATURE REVERSE BIAS TEST CONDITIONS,” the disclosure of which is incorporated herein by reference as if set forth fully herein.
  • HTRB high temperature, reverse bias
  • the HEMT device for testing contained a buffer layer of AlN nucleation followed by about 6 ⁇ m of GaN, the last 100A or so of GaN being the channel layer, a barrier layer of about 0.6 nm AlN and about 27 nm AlGaN, an etch stop layer of sputtered AlN, and a dielectric layer of about 110 nm SiN.
  • the gate contact was formed to the barrier layer as previously described.
  • the data represents median data of 5 or more devices on each of wafer A and wafer B.
  • P1 0 represents P out @1 dB compression point
  • P3 represents P out @3 dB compression point
  • I init represents gate leakage before HTRB testing
  • I end represents gate leakage after HTRB testing.
  • results in Table 2 indicate that embodiments of the present invention may not fail catastrophically during HTRB stress, because the resulting change in power after HTRB stress can be satisfactorily small to one skilled in the art.
  • less than about 1 dB of power change may be obtained after HTRB stress, according to some embodiments of the present invention.
  • less than about 0.3 dB of power change may be obtained after HTRB stress. It will understood that, for wafer B, the results of 0.150 would appear to indicate a lack of any measurable power loss after HTRB stress, but would not appear to indicate an actual increase.
  • additional layers may be included in the HEMT device while still benefiting from the teachings of the present invention.
  • additional layers may include GaN cap layers, as for example, described in Yu et al., “Schottky barrier engineering in III-V nitrides via the piezoelectric effect,” Applied Physics Letters, Vol. 73, No. 13, 1998, or in U.S. Patent Publication No. 2002/0066908A1 filed Jul. 12, 2001 and published Jun.
  • insulating layers such as SiN, an ONO structure or relatively high quality AlN may be deposited for making a MISHEMT and/or passivating the surface.
  • the additional layers may also include a compositionally graded transition layer or layers.
  • the barrier layer 22 may also be provided with multiple layers as described in U.S. Patent Publication No. 2002/0167023A1, to Smorchkova et al., entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER” the disclosure of which is incorporated herein by reference as if set forth fully herein.
  • embodiments of the present invention should not be construed as limiting the barrier layer to a single layer but may include, for example, barrier layers having combinations of GaN, AlGaN and/or AlN layers.
  • a GaN, AlN structure may be utilized to reduce or prevent alloy scattering.
  • embodiments of the present invention may include nitride based barrier layers, such nitride based barrier layers may include AlGaN based barrier layers, AlN based barrier layers and combinations thereof.

Abstract

A III-Nitride field-effect transistor, specifically a HEMT, comprises a channel layer, a barrier layer on the channel layer, an etch stop layer on the cap layer, a dielectric layer on the etch stop layer, a gate recess that extends to the barrier layer, and a gate contact in the gate recess. The etch stop layer may reduce damage associated with forming the recessed gate by not exposing the barrier layer to dry etching. The etch stop layer in the recess is removed and the remaining etch stop layer serves as a passivation layer.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor devices and in particular relates to transistors, such as high electron mobility transistors (HEMT), that incorporate nitride-based active layers and a recessed gate structure, and methods of fabricating same.
  • BACKGROUND
  • Materials such as silicon (Si) and gallium arsenide (GaAs) have found wide application in semiconductor devices for lower power and (in the case of Si) lower frequency applications. These, more familiar, semiconductor materials may not be well suited for higher power and/or high frequency applications, however, because of their relatively small bandgaps (e.g., 1.12 eV for Si and 1.42 for GaAs at room temperature) and/or relatively small breakdown voltages.
  • In light of the difficulties presented by Si and GaAs, interest in high power, high temperature and/or high frequency applications and devices has turned to wide bandgap semiconductor materials such as silicon carbide (2.996 eV for alpha SiC at room temperature) and the Group III nitrides (e.g., 3.36 eV for GaN at room temperature). These materials, typically, have higher electric field breakdown strengths and higher electron saturation velocities as compared to gallium arsenide and silicon.
  • An example of a device developed for high frequency applications is the metal-semiconductor field effect transistor (MESFET). A MESFET is formed on a high-resistivity or semi-insulating substrate by placing an epitaxial layer of conductive p or n-doped material on the substrate. Source, gate, and drain contacts are then made to the epitaxial layer, and when a potential (voltage) is applied to the gate, it creates a depletion region that pinches off the channel between the source and drain thereby turning the device off.
  • Another device of particular interest for high power and/or high frequency applications is the High Electron Mobility Transistor (HEMT), which, in certain cases, is also known as a modulation doped field effect transistor (MODFET). These devices may offer operational advantages under a number of circumstances because a two-dimensional electron gas (2DEG) is formed at the heterojunction of two semiconductor materials with different bandgap energies, and where the smaller bandgap material has a higher electron affinity. The 2DEG is an accumulation layer in the undoped (“unintentionally doped”), smaller bandgap material and can contain a very high sheet electron concentration in excess of, for example, 1013 carriers/cm2. Additionally, electrons that originate in the wider-bandgap semiconductor transfer to the 2DEG, allowing a high electron mobility due to reduced ionized impurity scattering.
  • This combination of high carrier concentration and high carrier mobility can give the HEMT a very large transconductance and may provide a strong performance advantage over MESFETs for high-frequency applications.
  • High electron mobility transistors fabricated in the gallium nitride/aluminum gallium nitride (GaN/AlGaN) material system can generate large amounts of RF power because of the combination of material characteristics that includes the aforementioned high breakdown fields, their wide bandgaps, large conduction band offset, and/or high saturated electron drift velocity. A major portion of the electrons in the 2DEG is attributed to polarization in the AlGaN.
  • HEMTs in the GaN/AlGaN system have already been demonstrated. U.S. Pat. Nos. 5,192,987 and 5,296,395 describe AlGaN/GaN HEMT structures and methods of manufacture. U.S. Pat. No. 6,316,793, to Sheppard et al., which is commonly assigned and is incorporated herein by reference, describes a HEMT device having a semi-insulating silicon carbide substrate, an aluminum nitride buffer layer on the substrate, an insulating gallium nitride layer on the buffer layer, an aluminum gallium nitride barrier layer on the gallium nitride layer, and a passivation layer on the aluminum gallium nitride active structure.
  • One step in the fabrication of some nitride-based transistors is the formation of a gate contact in a recess. A thick cap structure of the transistor may be desirable in achieving high current capability and low dispersion. However, a gate recess through the cap layer may be desirable to achieve high breakdown voltage, low RF dispersion and/or high transconductance with concomitant high-frequency performance. On the other hand, an etching process used to form a recessed gate structure may damage the underlying barrier layer(s). Damage to the barrier layer may result in the formation of a high density of surface states or traps that adversely affect device operation. U.S. patent application Ser. No. 10/758,871, filed Jan. 16, 2004 and entitled “NITRIDE-BASED TRANSISTORS WITH A PROTECTIVE LAYER AND A LOW-DAMAGE RECESS AND METHODS OF FABRICATION THEREOF”, the disclosure of which is incorporated herein as if set forth fully herein, describes techniques to provide a low-damage recess through SiN passivation and resulting devices. U.S. patent application Ser. No. 10/897,726, filed Jul. 23, 2004 and entitled “METHODS OF FABRICATING NITRIDE-BASED TRANSISTORS WITH A CAP LAYER AND A RECESSED GATE”, the disclosure of which is incorporated herein as if set forth fully herein, describes techniques to provide a low-damage recess through the annealing of the recessed gate prior to formation of the gate contact and resulting devices.
  • SUMMARY OF THE INVENTION
  • Some embodiments of the present invention provide III-nitride based transistors and methods of making the same that utilize an etch stop layer to protect underlying layers from etch damage associated with the formation of recesses. In some embodiments, the recess may be used for a recessed gate contact.
  • In some embodiments, a III-nitride based transistor comprises a silicon carbide substrate, one or more nitride-based surface layers, an etch stop layer and a gate contact formed in a recess. Some embodiments provide methods of fabricating the gate contact recess that comprise etching the recess to the etch stop layer and subsequently removing a part of the etch stop layer in the recess to expose the portion of the surface layer intended for the gate contact. The III-nitride based transistor may be a MESFET, HEMT, JFET, MOSFET, IGBT, MISHFET or any other transistor with a recessed gate contact.
  • In some embodiments of the present invention, a high electron mobility transistor (HEMT) comprises a nitride-based channel layer, a nitride-based barrier layer on the channel layer, an etch stop layer on the channel layer, a dielectric layer on the etch stop layer, and a gate contact recess in the dielectric and etch stop layers that extends to the barrier layer. In other embodiments of the present invention, the transistor comprises a nitride-based channel layer, a nitride-based barrier layer on the channel layer, a cap layer on the barrier layer, and a dielectric layer on the cap layer. The gate contact recess may extend through the dielectric layer and cap layer to the barrier layer. In other embodiments, the gate contact recess extends through the dielectric layer and partially into the cap layer, but not to the barrier layer. In still other embodiments, a cap layer, an etch stop layer and a dielectric layer are all present.
  • Some embodiments of the present invention provide methods of fabricating HEMTs, including forming a nitride-based channel layer on a substrate, forming a nitride-based barrier layer on the channel layer, forming an etch stop layer on the channel layer, forming a dielectric layer on the etch stop layer and forming a gate recess in the dielectric and etch stop layers that extends to the barrier layer. The gate contact, which may be a Schottky contact, is formed in the gate recess.
  • In additional embodiments of the present invention, the method further includes forming ohmic contacts to the barrier layer of the device on opposite sides of the gate recess. In some embodiments, the ohmic contacts are formed on the surface or partially into the barrier layer prior to the formation of the etch stop layer, dielectric layer and/or the gate contact recess. In other embodiments, the ohmic contacts are formed after the formation of the etch stop layer, dielectric layer, and gate contact recess. Ohmic contact recesses are then provided through the dielectric layer and etch stop layer to expose portions of the barrier layer.
  • In still further embodiments of the present invention, forming a gate recess includes patterning a mask layer on the dielectric layer to have an opening corresponding to the gate recess and etching the dielectric layer to the etch stop using the patterned mask layer as an etch mask. The etch stop layer may reduce damage in the gate recess by isolating and protecting the surface of the barrier layer during the dielectric layer etch. Forming the gate recess further includes removing the etch stop layer in the gate recess thereby extending the gate recess to the barrier layer.
  • In some embodiments of the present invention, another insulating layer is formed on the dielectric layer and in the gate recess. The insulating layer may be the same material as the dielectric layer. The gate contact may be formed on the insulating layer in the gate recess. The gate contact may also extend onto the insulating layer on the dielectric layer.
  • In additional embodiments of the present invention, the methods further include forming a cap layer. The cap layer is formed on the barrier layer before forming the dielectric layer. Gate recess formation then comprises etching the dielectric layer to the cap layer and then removing the some or all the remaining cap layer in the gate recess. In some embodiments of the present invention, forming a cap layer includes forming a GaN layer on the barrier layer. For example, the GaN layer may be an undoped GaN layer, an AlGaN layer graded to GaN, an AlGaN layer graded to GaN and a doped GaN layer and/or a doped GaN layer. Forming a cap layer may also include forming a GaN layer on the barrier layer and forming a SiN layer on the GaN layer. Furthermore, forming a gate recess may include forming a gate recess that extends through the cap layer and into but not through the barrier layer. In particular embodiments of the present invention, the cap layer includes a GaN based semiconductor material. In still other embodiments, the cap layer, the etch stop layer and the dielectric layer are all sequentially formed on the barrier layer.
  • In additional embodiments of the present invention, methods of fabricating high electron mobility transistors include forming a first layer of GaN based semiconductor material on a substrate and forming a second layer of AlGaN based semiconductor material on the first layer, the second layer being configured to induce a two-dimensional electron gas in a region proximate an interface between the first layer and the second. A third layer that may be AlN, SiO2, GaN or AlGaN is formed on the third to be used as an etch stop layer. A fourth layer that may be a dielectric such as SiN is formed on the third layer. The methods further include forming a gate recess in the third and fourth layers that extends to the second layer, and then forming a gate contact in the gate recess. The gate contact may be a Schottky gate contact.
  • In additional embodiments of the present invention, forming a gate recess includes patterning a mask layer on the fourth layer of GaN based semiconductor material to have an opening corresponding to the gate recess and etching the fourth layer to the third layer using the patterned mask layer as an etch mask. Forming the gate recess further includes removing the third layer in the gate recess and thereby extending the gate recess to the second layer. This may include etching the fourth layer using the same patterned mask layer as the etch mask used for the fifth layer etch.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1H are cross-sectional drawings illustrating fabrication of transistors according to some embodiments of the present invention.
  • FIG. 2 is a cross-sectional drawing illustrating fabrication of transistors according to further embodiments of the present invention.
  • FIG. 3 is a cross-sectional drawing illustrating fabrication of transistors according to further embodiments of the present invention.
  • FIG. 4 is a cross-sectional drawing illustrating fabrication of transistors according to still further embodiments of the present invention.
  • DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION
  • The present invention now will be described more fully hereinafter with reference to the accompanying drawings, in which embodiments of the invention are shown. However, this invention should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the thickness of layers and regions are exaggerated for clarity. Like numbers refer to like elements throughout. As used herein the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • It will be understood that when an element such as a layer, region or substrate is referred to as being “on” or extending “onto” another element, it can be directly on or extend directly onto the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or extending “directly onto” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Like numbers refer to like elements throughout the specification.
  • It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.
  • Furthermore, relative terms, such as “lower” or “bottom” and “upper” or “top,” may be used herein to describe one element's relationship to another elements as illustrated in the Figures. It will be understood that relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the Figures. For example, if the device in the Figures is turned over, elements described as being on the “lower” side of other elements would then be oriented on “upper” sides of the other elements. The exemplary term “lower”, can therefore, encompasses both an orientation of “lower” and “upper,” depending of the particular orientation of the figure. Similarly, if the device in one of the figures is turned over, elements described as “below” or “beneath” other elements would then be oriented “above” the other elements. The exemplary terms “below” or “beneath” can, therefore, encompass both an orientation of above and below.
  • Embodiments of the present invention are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments of the present invention. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments of the present invention should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etched region illustrated as a rectangle will, typically, have tapered, rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the precise shape of a region of a device and are not intended to limit the scope of the present invention.
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and the present specification and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • It will also be appreciated by those of skill in the art that references to a structure or feature that is disposed “adjacent” another feature may have portions that overlap or underlie the adjacent feature.
  • Some embodiments of the present invention utilize an etch stop layer that may prevent etch damage associated with the formation of a device gate recess in a semiconductor device. The etch stop layer, which may subsequently be removed from the gate recess, protects the underlying layer during recess formation. In some embodiments, portions of the remaining etch stop layer that are not in the gate recess provide passivation at the interface of the protected underlying layer.
  • Embodiments of the present invention may be particularly well suited for use in Group III-nitride based devices such as HEMTs, MESFETs, JFETs, MOSFETs, IGBTs, MISHFETs or other transistors with recessed gate contacts. As used herein, the term “Group III nitride” refers to those semiconducting compounds formed between nitrogen and the elements in Group III of the periodic table, usually aluminum (Al), gallium (Ga), and/or indium (In). The term also refers to ternary and quaternary compounds such as AlGaN and AlInGaN. As is well understood by those in this art, the Group III elements can combine with nitrogen to form binary (e.g., GaN), ternary (e.g., AlGaN, AlInN), and quaternary (e.g., AlInGaN) compounds. These compounds all have empirical formulas in which one mole of nitrogen is combined with a total of one mole of the Group III elements. Accordingly, formulas such as AlxGa1-xN where 0≦x≦1 are often used to describe them.
  • Suitable structures for GaN-based HEMTs that may utilize embodiments of the present invention are described, for example, in commonly assigned U.S. Pat. No. 6,316,793 and U.S. Patent Publication No. 2002/0066908A1 filed Jul. 12, 2001 and published Jun. 6, 2002, for “ALUMINUM GALLIUM NITRIDE/GALLIUM NITRIDE HIGH ELECTRON MOBILITY TRANSISTORS HAVING A GATE CONTACT ON A GALLIUM NITRIDE BASED CAP SEGMENT AND METHODS OF FABRICATING SAME,” U.S. Provisional Patent Application Ser. No. 60/290,195 filed May 11, 2001 for “GROUP III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER,” U.S. Patent Publication No. 2002/0167023A1 to Smorchkova et al., published Nov. 14, 2002, entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER”, U.S. Patent Publication No. 2004/0061129 filed Jul. 11, 2003 and published Apr. 1, 2004 for “NITRIDE-BASED TRANSISTORS AND METHODS OF FABRICATION THEREOF USING NON-ETCHED CONTACT RECESSES,” and U.S. Patent Publication No. 2003/0020092 filed Jul. 23, 2002 and published Jan. 30, 2003 for “INSULATING GATE ALGAN/GAN HEMT”, the disclosures of which are hereby incorporated herein by reference in their entirety.
  • Suitable structures for GaN-based MESFETs that may utilize embodiments of the present invention are described, for example, in commonly assigned U.S. Pat. No. 6,686,616 filed May 10, 2000, for “SILICON CARBIDE METAL-SEMICONDUCTOR FIELD EFFECT TRANSISTORS,” and commonly assigned U.S. Pat. No. 5,270,554 filed Jun. 14, 1991, for “HIGH POWER HIGH FREQUENCY METAL-SEMICONDUCTOR FIELD-EFFECT TRANSISTOR FORMED IN SILICON CARBIDE,” the disclosures of which are hereby incorporated herein by reference in their entirety.
  • Methods of fabrication according to some embodiments of the present invention are illustrated in FIGS. 1A-1H. As seen in FIG. 1A, a substrate 10 is provided on which nitride based devices may be formed. In particular embodiments of the present invention, the substrate 10 may be a semi-insulating silicon carbide (SiC) substrate that may be, for example, 4H polytype of silicon carbide. Other silicon carbide candidate polytypes include the 3C, 6H, and 15R polytypes. The term “semi-insulating” is used descriptively rather than in an absolute sense. In particular embodiments of the present invention, the silicon carbide bulk crystal has a resistivity equal to or higher than about 1×105 Ω-cm at room temperature.
  • Optional buffer, nucleation and/or transition layers (not shown) may be provided on the substrate 10. For example, an AlN buffer layer may be provided to provide an appropriate crystal structure transition between the silicon carbide substrate and the remainder of the device. Additionally, strain balancing transition layer(s) may also be provided as described, for example, in commonly assigned U.S. Patent Publication No. 2003/0102482A1, filed Jul. 19, 2002 and published Jun. 5, 2003, and entitled “STRAIN BALANCED NITRIDE HETROJUNCTION TRANSISTORS AND METHODS OF FABRICATING STRAIN BALANCED NITRIDE HETEROJUNCTION TRANSISTORS, and U.S. Provisional Patent Application Ser. No. 60/337,687, filed Dec. 3, 2001 and entitled “STRAIN BALANCED NITRIDE HETEROJUNCTION TRANSISTOR,” the disclosures of which are incorporated herein by reference as if set forth fully herein.
  • Appropriate SiC substrates are manufactured by, for example, Cree, Inc., of Durham, N.C., the assignee of the present invention, and methods for producing are described, for example, in U. S. Pat. Nos. Re. 34,861; 4,946,547; 5,200,022; and 6,218,680, the contents of which are incorporated herein by reference in their entirety. Similarly, techniques for epitaxial growth of Group III nitrides have been described in, for example, U. S. Pat. Nos. 5,210,051; 5,393,993; 5,523,589; and 5,292,501, the contents of which are also incorporated herein by reference in their entirety.
  • Although silicon carbide may be used as a substrate material, embodiments of the present invention may utilize any suitable substrate, such as sapphire, aluminum nitride, aluminum gallium nitride, gallium nitride, silicon, GaAs, LGO, ZnO, LAO, InP and the like. In some embodiments, an appropriate buffer layer also may be formed.
  • Returning to FIG. 1A, a nitride-based channel layer 20 is provided on the substrate 10. The channel layer 20 may be deposited on the substrate 10 using buffer layers, transition layers, and/or nucleation layers as described above. The channel layer 20 may be under compressive strain. Furthermore, the channel layer and/or buffer nucleation and/or transition layers may be deposited by MOCVD or by other techniques known to those of skill in the art, such as MBE or HVPE.
  • In some embodiments of the present invention, the channel layer 20 is a Group III-nitride, such as AlxGa1-xN where 0≦x≦1, provided that the energy of the conduction band edge of the channel layer 20 is less than the energy of the conduction band edge of the barrier layer 22 at the interface between the channel and barrier layers. In certain embodiments of the present invention, x=0, indicating that the channel layer 20 is GaN. The channel layer 20 may also be other Group III-nitrides such as InGaN, AlInGaN or the like. The channel layer 20 may be undoped (“unintentionally doped”) and may be grown to a thickness of greater than about 20 Å. The channel layer 20 may also be a multi-layer structure, such as a superlattice or combinations of GaN, AlGaN or the like.
  • A nitride-based barrier layer 22 is provided on the channel layer 20. The channel layer 20 may have a bandgap that is less than the bandgap of the barrier layer 22 and the channel layer 20 may also have a larger electron affinity than the barrier layer 22. The barrier layer 22 may be deposited on the channel layer 20. In certain embodiments of the present invention, the barrier layer 22 is AlN, AlInN, AlGaN or AlInGaN with a thickness of between about 0.1 nm and about 40 nm. In other embodiments of the present invention, the barrier layer 22 comprises multiple layers that may include GaN, AlN, and AlGaN. Examples of layers according to certain embodiments of the present invention are described in U.S. Patent Publication No. 2002/0167023A1, to Smorchkova et al., entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER” the disclosure of which is incorporated herein by reference as if set forth fully herein. In particular embodiments of the present invention, the barrier layer 22 is thick enough and has a high enough Al composition and doping to induce a significant carrier concentration at the interface between the channel layer 20 and the barrier layer 22 through polarization effects. Also, the barrier layer 22 should be thick enough to reduce or minimize scattering of electrons in the channel due to ionized impurities or imperfections deposited at the interface between the barrier layer 22 and any additional overlying layers.
  • The barrier layer 22 may be a Group III-nitride and has a bandgap larger than that of the channel layer 20 and a smaller electron affinity than the channel layer 20. Accordingly, in certain embodiments of the present invention, the barrier layer 22 is AlGaN, AlInGaN and/or AlN or combinations of layers thereof. The barrier layer 22 may, for example, be from about 0.1 nm to about 40 nm thick, but is not so thick as to cause cracking or substantial defect formation therein. In certain embodiments of the present invention, the barrier layer 22 is undoped or doped with an n-type dopant to a concentration less than about 1019 cm−3. In some embodiments of the present invention, the barrier layer 22 is AlxGa1-xN where 0≦x≦1. In particular embodiments, the aluminum concentration is about 25%. However, in other embodiments of the present invention, the barrier layer 22 comprises AlGaN with an aluminum concentration of between about 5% and about 100%. In specific embodiments of the present invention, the aluminum concentration is greater than about 10%.
  • As illustrated in FIG. 1B, a mask layer 40 is patterned on the barrier layer to provide openings 46 for ohmic contacts. In some embodiments of the present invention, the mask layer may be a conventional photolithography mask material. In some embodiments of the present invention, the mask material may be SiN, SiO2 or the like. As is further illustrated in FIG. 1C, for example, with a subsequent photolithography step and evaporation, ohmic metal is patterned to provide ohmic contact material patterns that when annealed provide the ohmic contacts 30.
  • FIG. 1D illustrates the formation of the etch stop layer 26 and the dielectric layer 28. The etch stop layer 26 may be formed on the barrier layer 22 and ohmic contacts 30 and may be epitaxially grown and/or formed by deposition. The etch stop layer 26 may be sputtered AlN. In other embodiments, the etch stop layer 24 may be SiO2 formed by ex-situ plasma-enhanced chemical vapor deposition (PECVD) of SiO2. Typically, the etch stop layer 26 may have a thickness of from about 50 A -300 A. The dielectric layer 28 may be formed on the etch stop layer 26 and may be formed by deposition. The dielectric layer 28 material may include SiN, SiO2, or SiON formed by ex-situ PECVD on top of etch stop layer 26. The dielectric layer 28 is different in composition than the etch stop layer 26. Typically, the dielectric layer 28 may have a thickness of from about 500 A - 2000 A.
  • FIGS. 1E-1G illustrate the formation of a gate recess 36. In FIG. 1E, a second mask pattern 42 is formed on the dielectric layer 28 and patterned to form windows that expose a portion of the dielectric layer 28. In some embodiments of the present invention, the second mask pattern 42 may be a conventional photolithography mask material. In some embodiments of the present invention, the mask material may be silicon nitride, silicon dioxide or the like. As illustrated in FIG. 1F, exposed portions of the dielectric layer 28 including at least a portion in the gate recess 36 are removed such that the underlying etch stop layer 26 is exposed. The recess 36 may be formed utilizing a patterned mask and an etch process through the dielectric layer 28 to expose the underlying etch stop layer 26. The specific etch process is chosen such that the etch rate of the dielectric layer 28 is higher than the etch rate of the etch stop layer 26. In some embodiments of the present invention, the etch process may be a low damage etch. Examples of low damage etch methods for dielectric layer 28 materials such as SiN, SiO2, and SiON include etching techniques such as inductively coupled plasma using SF6, SF6/O2, CF4, CF4/O2 and/or other fluorinated species or electron cyclotron resonance (ECR) and/or downstream plasma etching with no or a small DC component to the plasma.
  • As illustrated in FIG. 1G, exposed portions of the etch stop layer 26 in the gate recess 36 are removed to expose a portion of the barrier layer 22 in the gate recess 36. Wet etching techniques may be used to remove the portions of etch stop layer 26. Wet etching techniques may be less damaging in that they typically comprise dissolving the layer in liquid chemicals. In contrast, dry etching techniques typically convert the layer to a gaseous compound with chemical or physical bombardment. In embodiments where the etch stop layer 26 is sputtered AlN, a hydroxide-based developer such as NH4O H may be used. In other embodiments where the etch stop layer 26 is SiO2, the wet etch may include BOE or BHF.
  • Suitable combinations of the dielectric layer 28, dry etch species, etch stop layer 26, and wet etch are summarized in Table 1 below.
    TABLE 1
    Dielectric Etch Stop Wet Etch of
    Layer 28 Dry Etch Species Layer 26 Etch Stop
    SiN, SiO2, or SF6, SF6/O2, CF4 AlN Hydroxide-based
    SiON or CF4/O2 developer,
    Dilute NH4OH
    SiN or SiON SF6, SF6/O2, CF4 SiO2 BOE, BHF
    or CF4/O2
  • In some embodiments of the present invention, the recess 36 is formed to extend into the barrier layer 22. The recess 36 may extend into the barrier layer 22 to, for example, adjust performance characteristics of the device such as threshold voltage, frequency performance, etc. The recess may be formed using the mask 42 and an etch process as described above. In particular embodiments where the ohmic contacts 30 provide source and drain contacts, the recess may be offset between the source and/or drain contacts such that the recess, and subsequently the gate contact 32, is closer to the source contact than the drain contact.
  • As seen in FIG. 1H, the gate contact 32 is formed in the recess and contacts the exposed portion of the barrier layer 22. The gate contact may be a “T” gate and may be fabricated using conventional fabrication techniques. The gate contact may include a field plate extension 32 a over a portion of the dielectric layer 28 on the drain side 33 of the gate contact 32. A portion of the gate contact 32 b may overlap the dielectric layer 28 on the source side 34 to potentially allow higher class operation, as described in U.S. patent application Ser. No.11/078,265 filed Mar. 3, 2005 and entitled “WIDE BANDGAP TRANSISTORS WITH GATE-SOURCE FIELD PLATES,” the disclosure of which is incorporated herein by reference as if set forth fully herein. Suitable gate materials may depend on the composition of the barrier layer 22, however, in certain embodiments, conventional materials capable of making a Schottky contact to a nitride based semiconductor material may be used, such as Ni, Pt, NiSix, Cu, Pd, Cr, W and/or WSiN. It is possible that a small gap between the gate contact 32 and one or both of the etch stop layer 26 or dielectric layer 28 may arise as a result of, for example, anisotropy of the gate recess etch, resulting in an exposed surface of the barrier layer 22 between the gate contact 32 and one or both of the etch stop layer 26 or dielectric layer 38. This gap may be formed intentionally.
  • As also seen in FIG. 1H, portions of the etch stop layer 26 and the dielectric layer 28 that were formed on the ohmic contacts 30 are removed to provide access to the ohmic contacts 30. This may occur at any time during or after the formation of the gate recess. The interface between the etch stop layer 26 and barrier layer 22 may have a low surface-state density and provide a high barrier to prevent injection of electrons from the barrier layer 22 to the etch stop layer 26. In other words, the etch stop layer 26 may provide good passivation.
  • A passivation layer may also be provided on the structure of FIG. 1H. The passivation layer may be blanket deposited on the structure of FIG. 1H. In certain embodiments of the present invention, the passivation layer may be silicon nitride, aluminum nitride, silicon dioxide, an ONO structure and/or an oxynitride. Furthermore, the passivation layer may be a single or multiple layers of uniform and/or non-uniform composition.
  • FIG. 2 illustrates formation of transistors according to further embodiments of the present invention. As seen in FIG. 2, the structure of FIG. 1H may have an insulating layer 130 formed on the structure including in the gate recess. The gate contact 32 may then be formed on the insulating layer 130. The insulating layer 130 may be one or more layers and may include, for example, SiN, AlN, SiO2, and/or an ONO structure. Thus, in some embodiments of the present invention, an insulating gate HEMT may be provided, for example, as described in U.S. Patent Publication No. 2003/0020092 entitled “INSULATING GATE ALGAN/GAN HEMT”, to Parikh et al., the disclosure of which is incorporated herein by reference as if set forth fully herein.
  • FIG. 3 illustrates formation of transistors according to further embodiments of the present invention. As seen in FIG. 3, the structure may have a cap layer 24 formed on the barrier layer that protects the barrier layer 22 from dry etching. Gate contact 32 formation may then comprise dry etching the dielectric layer 28 to the cap layer 24 and then removing the portion of the cap layer 24 damaged by the dry etch. The gate contact 32 may be formed on the cap layer 24. In other embodiments, a portion of the cap layer 24 is removed to expose the barrier layer 22 and the gate contact 32 may be formed directly on the barrier layer 22. The dry etching of the dielectric layer 28 and wet etching of the cap layer 24 may be performed according to previously discussed embodiments.
  • The cap layer 24, different in composition than the barrier layer 22, may be a Group III-nitride, and, in some embodiments, a GaN based semiconductor material, such as GaN, AlGaN and/or InGaN. In particular embodiments of the present invention, the cap layer is GaN. In other embodiments where both the cap layer 24 and the barrier layer 22 are AlGaN, the cap layer 24 has a lower mole fraction of Al. In embodiments where the cap layer 24 is GaN, AlGaN, or InGaN, the wet etching may be a heated hydroxide-based solution and/or photo-enhanced electrochemical wet etching. Furthermore, the cap layer 24 may be a single layer or multiple layers of uniform and/or non-uniform composition and/or thickness. In some embodiments of the present invention, the cap layer 24 may be a graded AlGaN layer and a GaN layer as described in Shen et al., “High-Power Polarization-Engineered GaN/AlGaN/GaN HEMTs Without Surface Passivation,” IEEE Electron Device Letters, Vol. 25, No. 1, pp. 7-9, January 2004, the disclosure of which is incorporated herein by reference as if set forth in its entirety. For example, in some embodiments of the present invention, the cap layer 24 may be a GaN layer with a SiN layer on the GaN layer. The cap layer 24 moves the top surface of the device physically away from the channel, which may reduce the effect of the surface on the operation of the device.
  • The cap layer 24 may be blanket formed on the barrier layer 22 and may be epitaxially grown and/or formed by deposition. For example, the cap layer may be formed by in-situ growth of SiN on a GaN cap layer or ex-situ PECVD of SiN or SiO2 on top of the GaN cap layer. Typically, the cap layer 24 may have a thickness of from about 2 nm to about 500 nm. For example, a cap layer 24 of SiN and GaN may have a thickness of about 300 nm. In other embodiments, the cap layer 24 may be SiN formed by in-situ growth on the barrier layer 22. Examples of cap layers according to some embodiments of the present invention are described in U.S. Patent Publication No. 2002/0167023A1, to Smorchkova et al., entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER.”
  • FIG. 4 illustrates formation of transistors according to further embodiments of the present invention. As seen in FIG. 4, the structure may have a cap layer 24 formed on the barrier layer 22, an etch stop layer 26 formed on the cap layer 24, and a dielectric layer 28 formed on the etch stop layer 26. Gate contact 32 formation may then comprise dry etching the dielectric layer 28 to the etch stop layer 26 and then removing a portion of the etch stop layer 26 to expose the cap layer 24. The etch stop layer 26 thereby protects the cap layer 24 from dry etching. The gate contact 32 may be formed on the cap layer 24. The dry etching of the dielectric layer 28 and wet etching of the etch stop layer 26 may be performed according to previously discussed embodiments. The cap layer 24 may be SiN formed by in-situ growth.
  • In some embodiments of the present invention utilizing SiN and/or SiO2 in the cap layer 24, etch stop layer 22, and/or dielectric layer 28, the ohmic contacts 30 are spaced apart from the layers with SiN and/or SiO2 portions a distance sufficiently large to allow for misalignment tolerances in the formation and patterning of the ohmic contact metal. If the ohmic contact metal contacts the SiN and/or SiO2 layers, the metal may diffuse into the SiN and/or SiO2 layers during subsequent heating steps that may result in a short between a gate contact and the ohmic contact(s) 30.
  • HEMT devices according to some embodiments of the present invention were subjected to high temperature, reverse bias (HTRB) testing similar to those described in U.S. patent application Ser. No. 11/080,905, filed Mar. 15, 2005 and entitled “GROUP III NITRIDE FIELD EFFECT TRANSISTORS (FETs) CAPABLE OF WITHSTANDING HIGH TEMPERATURE REVERSE BIAS TEST CONDITIONS,” the disclosure of which is incorporated herein by reference as if set forth fully herein. The HEMT device for testing contained a buffer layer of AlN nucleation followed by about 6 μm of GaN, the last 100A or so of GaN being the channel layer, a barrier layer of about 0.6 nm AlN and about 27 nm AlGaN, an etch stop layer of sputtered AlN, and a dielectric layer of about 110 nm SiN. The gate contact was formed to the barrier layer as previously described.
  • HRTB tests were run on two different wafer lots of two-finger, 0.5 mm-periphery devices. For a HEMT having a pinch off voltage of from about −3.0 to about −4.0 volts, a dc drain bias of about 28.0 volts and a corresponding maximum drain swing to as much as 56 volts was predicted. The gate voltage may swing from about 2.0 to about 3.0V to from about −8 to about −10 volts. Thus, tests were performed using a drain-to-source voltage (VDS) of 56 volts, a gate to source voltage (Vgs) of −8 volts at a normal operating temperature of 140° C. for eight hours. The results are listed in the Table 2 below. The data represents median data of 5 or more devices on each of wafer A and wafer B. P1 0 represents Pout@1 dB compression point, P3 represents Pout@3 dB compression point, Iinit represents gate leakage before HTRB testing, and Iend represents gate leakage after HTRB testing. The final column of Table 2 indicates the resulting change (Delta) in P3 output power after HTRB stress.
    TABLE 2
    P1 @ P3 @
    28 V 28 V Iinit @ Delta HTRB
    Units = Units = 25 C. Iend @25 C. P3 @28 V
    Wafer dBm dBm Units = A Units = A Units = dB
    A 28.89 32.90 −1.51e−05 −7.48e−06 −0.332
    B 28.18 31.45 −1.69e−05 −1.25e−05 0.150
  • The results in Table 2 indicate that embodiments of the present invention may not fail catastrophically during HTRB stress, because the resulting change in power after HTRB stress can be satisfactorily small to one skilled in the art. In particular, as shown in the last column of Table 2, less than about 1 dB of power change may be obtained after HTRB stress, according to some embodiments of the present invention. In other embodiments, less than about 0.3 dB of power change may be obtained after HTRB stress. It will understood that, for wafer B, the results of 0.150 would appear to indicate a lack of any measurable power loss after HTRB stress, but would not appear to indicate an actual increase.
  • While embodiments of the present invention have been described with reference to a particular sequence of operations, some modification in the sequence may be made or other operations may be included while still benefiting from the teachings of the present invention. Operations described above as being provided in a single step may be provided in multiple steps and, likewise, operations described as multiple steps could be combined into a single step.
  • While embodiments of the present invention have been described herein with reference to particular HEMT structures, the present invention should not be construed as limited to such structures. For example, additional layers may be included in the HEMT device while still benefiting from the teachings of the present invention. Such additional layers may include GaN cap layers, as for example, described in Yu et al., “Schottky barrier engineering in III-V nitrides via the piezoelectric effect,” Applied Physics Letters, Vol. 73, No. 13, 1998, or in U.S. Patent Publication No. 2002/0066908A1 filed Jul. 12, 2001 and published Jun. 6, 2002, for “ALUMINUM GALLIUM NITRIDE/GALLIUM NITRIDE HIGH ELECTRON MOBILITY TRANSISTORS HAVING A GATE CONTACT ON A GALLIUM NITRIDE BASED CAP SEGMENT AND METHODS OF FABRICATING SAME,” the disclosures of which are incorporated herein by reference as if set forth fully herein. In some embodiments, insulating layers such as SiN, an ONO structure or relatively high quality AlN may be deposited for making a MISHEMT and/or passivating the surface. The additional layers may also include a compositionally graded transition layer or layers.
  • Furthermore, the barrier layer 22 may also be provided with multiple layers as described in U.S. Patent Publication No. 2002/0167023A1, to Smorchkova et al., entitled “GROUP-III NITRIDE BASED HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) WITH BARRIER/SPACER LAYER” the disclosure of which is incorporated herein by reference as if set forth fully herein. Thus, embodiments of the present invention should not be construed as limiting the barrier layer to a single layer but may include, for example, barrier layers having combinations of GaN, AlGaN and/or AlN layers. For example, a GaN, AlN structure may be utilized to reduce or prevent alloy scattering. Thus, embodiments of the present invention may include nitride based barrier layers, such nitride based barrier layers may include AlGaN based barrier layers, AlN based barrier layers and combinations thereof.
  • In the drawings and specification, there have been disclosed typical embodiments of the invention, and, although specific terms have been employed, they have been used in a generic and descriptive sense only and not for purposes of limitation.

Claims (33)

1. A III-nitride based transistor comprising:
a substrate;
a first nitride-based layer on the substrate;
an etch stop layer on the first nitride-based layer;
a dielectric layer on the etch stop layer;
a gate recess that extends through the dielectric layer; and
a gate contact in the gate recess.
2. The device of claim 1, wherein the gate recess extends through the etch stop layer to the first nitride-based layer and the gate contact in the gate recess electrically contacts the first nitride-based layer through the etch stop layer.
3. The device of claim 1, wherein the etch stop layer comprises AlN, GaN, AlGaN, and/or SiO2.
4. The device of claim 1, wherein the etch stop layer comprises sputtered AlN.
5. The device of claim 1 wherein the substrate comprises silicon carbide or sapphire.
6. The device of claim 1 wherein the transistor comprises a MESFET, JFET, MOSFET, MISHFET or an IGBT.
7. The device of claim 1, wherein the dielectric layer comprises SiN, SiO2, and/or SiON.
8. The device of claim 1, wherein the gate contact comprises a T gate structure.
9. The device of claim 1 further comprising:
a source/drain contact;
the gate contact further comprising a field plate extension that extends over at least a portion of the dielectric layer between the gate contact and the source/drain contact.
10. The device of claim 1, further comprising an insulating layer between the first nitride-based layer and the gate contact.
11. The device of claim 10, wherein the insulating layer comprises SiN, AlN, SiO2, and/or an ONO structure.
12. The device according to claim 1 that is configured to not fail catastrophically during high temperature, reverse bias stress testing.
13. The device of claim 1 that is configured to change less than about 1 dB in power output after high temperature, reverse bias stress testing.
14. A device of claim 1 that is configured to change less than about 0.3 db in power output after high temperature, reverse bias stress testing.
15. The device of claim 1 wherein the gate recess extends only partially through the etch stop layer and wherein the etch stop layer comprises a cap layer.
16. The device of claim 1 further comprising a cap layer between the etch stop layer and the first nitride-based layer.
17. The device of claim 16 wherein the gate recess extends through the dielectric layer and the etch stop layer to the cap layer.
18. The device of claim 16 wherein the cap layer comprises in-situ grown SiN.
19. A III-nitride based high electron mobility transistor (HEMT) comprising:
a substrate;
a nitride-based first layer on the substrate wherein the first layer comprises GaN, AlGaN, InGaN, and/or AlInGaN;
a nitride-based second layer on the first layer wherein the second layer comprises GaN, AlN, AlGaN, AlInN, and/or AlInGaN and is different than the first layer;
a third layer on the second layer wherein the third layer comprises SiO2, GaN, AlGaN, and/or sputtered AlN, and is different than the second layer;
a fourth layer on the third layer wherein the fourth layer comprises SiN, SiO2, and/or SiON and is different than the third layer;
a gate recess that extends through the fourth layer; and
a gate contact in the gate recess.
20. The device of claim 19, wherein the gate recess extends through the third layer to the second layer and the gate contact in the gate recess electrically contacts the second layer through the third layer.
21. The device of claim 19, wherein the gate recess extends partially through the third layer and the gate contact in the gate recess does not electrically contact the second layer.
22. The device of claim 19 further comprising a fifth layer between the nitride-based second layer and the third layer, wherein the third layer comprises GaN, AlGaN, InGaN and/or SiN, and is different from the second and third layers.
23. The device of claim 22 wherein the gate recess extends through the third and fourth layers to the fifth layer.
24. The device of claim 23 wherein the SiN comprises in-situ grown SiN.
25. A method of fabricating a III-nitride based transistor comprising:
forming a first nitride-based layer on a substrate;
forming an etch stop layer on the first nitride-based layer;
forming a dielectric layer on the etch stop layer wherein the dielectric layer is
different than the etch stop layer;
selectively etching the dielectric layer up to the etch stop layer to form a gate
recess that extends through the dielectric layer to the etch stop layer; and
forming a gate contact in the gate recess.
26. The method of claim 25, further comprising
after selectively etching the dielectric layer to the etch stop layer, selectively etching the etch stop layer in the gate recess up to the first nitride-based layer.
27. The method of claim 25, wherein selectively etching the dielectric layer comprises dry etching the dielectric layer with an etchant to which the etch stop layer is resistant.
28. The method of claim 27, wherein dry etching the dielectric layer comprises etching with an etch species comprising SF6, SF6/O2, CF4, or CF4/O2
29. The method of claim 27, wherein after dry etching the dielectric layer, selectively etching the etch stop layer comprises wet etching the etch stop layer
30. The method of claim 29 wherein wet etching the etch stop layer comprises etching with a hydroxide-based developer, a heated hydroxide-based solution, BOE, or BHF.
31. The method of claim 29 wherein wet etching the etch stop layer comprises photo-enhanced electrochemical wet etching.
32. The method of claim 25 wherein the following is performed between forming the first nitride-based layer and forming the etch stop layer:
forming a cap layer on the first nitride-based layer, wherein the cap layer is different from the etch stop layer; and
wherein forming an etch stop layer comprises forming an etch stop layer on the cap layer, wherein the etch stop layer is different from the cap layer.
33. The method of claim 32 wherein forming the cap layer is performed by in-situ growth of SiN.
US11/185,398 2005-07-20 2005-07-20 Nitride-based transistors and fabrication methods with an etch stop layer Abandoned US20070018199A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US11/185,398 US20070018199A1 (en) 2005-07-20 2005-07-20 Nitride-based transistors and fabrication methods with an etch stop layer
JP2008522820A JP2009503815A (en) 2005-07-20 2006-07-12 Nitride-based transistor and manufacturing method using etch stop layer
EP12164835.6A EP2479790B1 (en) 2005-07-20 2006-07-12 Nitride-based transistors with an etch stop layer
PCT/US2006/026952 WO2007018918A2 (en) 2005-07-20 2006-07-12 Nitride-based transistors and fabrication methods with an etch stop layer
EP06786931.3A EP1905097B1 (en) 2005-07-20 2006-07-12 Nitride-based transistors and fabrication methods with an etch stop layer
US13/892,530 US9142636B2 (en) 2005-07-20 2013-05-13 Methods of fabricating nitride-based transistors with an ETCH stop layer
JP2013147350A JP2014003301A (en) 2005-07-20 2013-07-16 Nitride-based transistor and manufacturing method using etch stop layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/185,398 US20070018199A1 (en) 2005-07-20 2005-07-20 Nitride-based transistors and fabrication methods with an etch stop layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/892,530 Division US9142636B2 (en) 2005-07-20 2013-05-13 Methods of fabricating nitride-based transistors with an ETCH stop layer

Publications (1)

Publication Number Publication Date
US20070018199A1 true US20070018199A1 (en) 2007-01-25

Family

ID=37114428

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/185,398 Abandoned US20070018199A1 (en) 2005-07-20 2005-07-20 Nitride-based transistors and fabrication methods with an etch stop layer
US13/892,530 Active US9142636B2 (en) 2005-07-20 2013-05-13 Methods of fabricating nitride-based transistors with an ETCH stop layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/892,530 Active US9142636B2 (en) 2005-07-20 2013-05-13 Methods of fabricating nitride-based transistors with an ETCH stop layer

Country Status (4)

Country Link
US (2) US20070018199A1 (en)
EP (2) EP2479790B1 (en)
JP (2) JP2009503815A (en)
WO (1) WO2007018918A2 (en)

Cited By (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060289901A1 (en) * 2003-03-03 2006-12-28 Cree, Inc. Integrated nitride and silicon carbide-based devices and methods of fabricating integrated nitride-based devices
US20070000433A1 (en) * 2005-06-15 2007-01-04 Mike Briere III-nitride semiconductor device fabrication
US20070026587A1 (en) * 2005-07-29 2007-02-01 Briere Michael A Normally off iii-nitride semiconductor device having a programmable gate
US20070164322A1 (en) * 2006-01-17 2007-07-19 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes and related devices
US20070267655A1 (en) * 2005-01-25 2007-11-22 Fujitsu Limited Semiconductor device having MIS structure and its manufacture method
US20070272957A1 (en) * 2005-12-02 2007-11-29 Nitronex Corporation Gallium nitride material devices and associated methods
US20080169474A1 (en) * 2003-03-03 2008-07-17 Cree, Inc. Integrated Nitride and Silicon Carbide-Based Devices and Methods of Fabricating Integrated Nitride-Based Devices
US20080185613A1 (en) * 2007-02-06 2008-08-07 International Rectifier Corporation III-Nitride semiconductor device
US20090057718A1 (en) * 2007-08-29 2009-03-05 Alexander Suvorov High Temperature Ion Implantation of Nitride Based HEMTS
US20090072269A1 (en) * 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
WO2009036181A2 (en) * 2007-09-14 2009-03-19 Transphorm Inc. Iii-nitride devices with recessed gates
FR2922045A1 (en) * 2007-10-05 2009-04-10 Thales Sa High electronic mobility transistor for optoelectronic application, has interface loaded with electrons at level of nucleation and barrier layers, and passivation layer made of aluminum oxide formed at surface of barrier layer
US20090146224A1 (en) * 2007-12-07 2009-06-11 Northrop Grumman Space & Mission Systems Corp. Composite Passivation Process for Nitride FET
US20090159930A1 (en) * 2007-12-20 2009-06-25 Northrop Grumman Space And Mission System Corp. High electron mobility transistor having self-aligned miniature field mitigating plate and protective dielectric layer and fabrication method thereof
US20090170327A1 (en) * 2007-12-28 2009-07-02 Sumitomo Electric Industries, Ltd. Method of manufacturing a semiconductor device
US20090189187A1 (en) * 2007-01-10 2009-07-30 Briere Michael A Active area shaping for Ill-nitride device and process for its manufacture
US20090189228A1 (en) * 2008-01-25 2009-07-30 Qingchun Zhang Semiconductor transistor with p type re-grown channel layer
US20090224289A1 (en) * 2006-01-17 2009-09-10 Cree, Inc. Transistors including supported gate electrodes
US20090267078A1 (en) * 2008-04-23 2009-10-29 Transphorm Inc. Enhancement Mode III-N HEMTs
US20100090225A1 (en) * 2008-10-15 2010-04-15 Sanken Electric Co., Ltd. Nitride semiconductor device
US7709859B2 (en) 2004-11-23 2010-05-04 Cree, Inc. Cap layers including aluminum nitride for nitride-based transistors
US20100289067A1 (en) * 2009-05-14 2010-11-18 Transphorm Inc. High Voltage III-Nitride Semiconductor Devices
US20110049526A1 (en) * 2009-08-28 2011-03-03 Transphorm Inc. Semiconductor Devices with Field Plates
WO2011023607A1 (en) * 2009-08-26 2011-03-03 Fraunhofer Gesellschaft Zur Förderung Der Angwandten Forschung E.V. Method for determining the structure of a transistor
US7928013B1 (en) * 2009-10-15 2011-04-19 Au Optronics Corp. Display panel and rework method of gate insulating layer of thin film transistor
US20110121314A1 (en) * 2007-09-17 2011-05-26 Transphorm Inc. Enhancement mode gallium nitride power devices
US20110127541A1 (en) * 2008-12-10 2011-06-02 Transphorm Inc. Semiconductor heterostructure diodes
US20110127604A1 (en) * 2009-11-30 2011-06-02 Ken Sato Semiconductor device
US20110132527A1 (en) * 2009-12-07 2011-06-09 Kook Yun-Ho Method for fabricating cliché and method for forming thin film pattern by using the same
US20110140172A1 (en) * 2009-12-10 2011-06-16 Transphorm Inc. Reverse side engineered iii-nitride devices
US20110140169A1 (en) * 2009-12-10 2011-06-16 International Rectifier Corporation Highly conductive source/drain contacts in III-nitride transistors
US20110186855A1 (en) * 2010-01-30 2011-08-04 Jamal Ramdani Enhancement-Mode GaN MOSFET with Low Leakage Current and Improved Reliability
CN102201334A (en) * 2011-05-23 2011-09-28 中国科学院微电子研究所 Method for manufacturing T-shaped grid structure with U-shaped grid feet
CN102290439A (en) * 2011-08-29 2011-12-21 中国电子科技集团公司第十三研究所 InAIN/ GaN HEM device with etch stop layer
CN102299175A (en) * 2011-08-29 2011-12-28 中国电子科技集团公司第十三研究所 Buried layer structure of InAIN/GaN heterogenous-junction active-area and activation method thereof
US20120119219A1 (en) * 2010-11-16 2012-05-17 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US20120119260A1 (en) * 2010-09-10 2012-05-17 Fabian Radulescu Methods of Forming Semiconductor Contacts and Related Semiconductor Devices
US20120142148A1 (en) * 2010-12-06 2012-06-07 Electronics And Telecommunications Research Institute Method of manufacturing high frequency device structure
US20120153390A1 (en) * 2010-12-15 2012-06-21 Transphorm Inc. Transistors with isolation regions
US20120161153A1 (en) * 2009-09-29 2012-06-28 Kabushiki Kaisha Toshiba Semiconductor device
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
CN103022136A (en) * 2012-12-26 2013-04-03 电子科技大学 MOS (metal-oxide-semiconductor) transistor with T-shaped gate structure
US20130087804A1 (en) * 2011-10-11 2013-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
CN103050399A (en) * 2012-12-28 2013-04-17 杭州士兰集成电路有限公司 Diode with three-medium-layer passivation structure and manufacturing method thereof
US20130112986A1 (en) * 2011-11-09 2013-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium Nitride Semiconductor Devices and Method Making Thereof
US20130161709A1 (en) * 2011-12-21 2013-06-27 Fujitsu Limited Semiconductor device and method of manufacturing the same
CN103187451A (en) * 2012-01-03 2013-07-03 鸿富锦精密工业(深圳)有限公司 Thin film transistor
CN103311291A (en) * 2012-03-06 2013-09-18 英飞凌科技奥地利有限公司 Semiconductor device and method
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US20130320350A1 (en) * 2012-06-04 2013-12-05 Infineon Technologies Austria Ag Compound Semiconductor Transistor with Self Aligned Gate
WO2013185088A1 (en) * 2012-06-07 2013-12-12 Iqe Kc, Llc Enhancement-mode high electron mobility transistor structure and method of making same
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US20140045345A1 (en) * 2007-02-22 2014-02-13 Fujitsu Limited Semiconductor device and manufacturing method of the same
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
WO2014078699A1 (en) * 2012-11-16 2014-05-22 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
US8748269B2 (en) * 2009-12-07 2014-06-10 Intel Corporation Quantum-well-based semiconductor devices
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US20140197889A1 (en) * 2013-01-17 2014-07-17 Fujitsu Limited Semiconductor device, method for manufacturing the same, power supply device, and high-frequency amplifier
US20140264381A1 (en) * 2013-03-13 2014-09-18 Cree, Inc. Semiconductor device with self-aligned ohmic contacts
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US20140361310A1 (en) * 2012-02-23 2014-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
CN104241400A (en) * 2014-09-05 2014-12-24 苏州捷芯威半导体有限公司 Field effect diode and manufacturing method thereof
US8946779B2 (en) 2013-02-26 2015-02-03 Freescale Semiconductor, Inc. MISHFET and Schottky device integration
US8946776B2 (en) 2012-06-26 2015-02-03 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US8946778B2 (en) 2007-01-10 2015-02-03 International Rectifier Corporation Active area shaping of III-nitride devices utilizing steps of source-side and drain-side field plates
US20150035021A1 (en) * 2013-08-05 2015-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. MISFET Device and Method of Forming the Same
US20150034957A1 (en) * 2013-08-01 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Normally-off enhancement-mode misfet
CN104347700A (en) * 2014-08-20 2015-02-11 佛山芯光半导体有限公司 GaN(gallium nitride)-based concave grating enhanced HEMT (high electron mobility transistor) device
US8969927B2 (en) 2013-03-13 2015-03-03 Cree, Inc. Gate contact for a semiconductor device and methods of fabrication thereof
US8987784B2 (en) * 2007-01-10 2015-03-24 International Rectifier Corporation Active area shaping of III-nitride devices utilizing multiple dielectric materials
CN104465746A (en) * 2014-09-28 2015-03-25 苏州能讯高能半导体有限公司 HEMT device and manufacturing method of HEMT device
US8994073B2 (en) 2012-10-04 2015-03-31 Cree, Inc. Hydrogen mitigation schemes in the passivation of advanced devices
US9024357B2 (en) 2011-04-15 2015-05-05 Stmicroelectronics S.R.L. Method for manufacturing a HEMT transistor and corresponding HEMT transistor
US9070758B2 (en) 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
CN104810264A (en) * 2014-01-26 2015-07-29 国家电网公司 SiC terminal structure preparation method based on ONO structure
US9111868B2 (en) 2012-06-26 2015-08-18 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US20150295051A1 (en) * 2014-04-11 2015-10-15 Nxp B.V. Semiconductor device
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
CN105185841A (en) * 2015-04-07 2015-12-23 苏州捷芯威半导体有限公司 Field effect diode and manufacturing method therefor
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9318592B2 (en) * 2007-01-10 2016-04-19 Infineon Technologies Americas Corp. Active area shaping of III-nitride devices utilizing a source-side field plate and a wider drain-side field plate
US20160141385A1 (en) * 2014-11-17 2016-05-19 Mitsubishi Electric Corporation Method of manufacturing nitride semiconductor device
US20160190294A1 (en) * 2014-12-26 2016-06-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US20160268389A1 (en) * 2015-03-12 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device
WO2016176104A1 (en) * 2015-04-30 2016-11-03 M/A-Com Technology Solutions Holdings, Inc. Transistor with hole barrier layer
US9525054B2 (en) * 2013-01-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9525052B2 (en) * 2007-01-10 2016-12-20 Infineon Technologies Americas Corp. Active area shaping of III-nitride devices utilizing a field plate defined by a dielectric body
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US20170062581A1 (en) * 2015-08-29 2017-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9614069B1 (en) 2015-04-10 2017-04-04 Cambridge Electronics, Inc. III-Nitride semiconductors with recess regions and methods of manufacture
US9755059B2 (en) 2013-06-09 2017-09-05 Cree, Inc. Cascode structures with GaN cap layers
CN107170809A (en) * 2017-06-16 2017-09-15 北京华进创威电子有限公司 A kind of GaNHEMT devices and its manufacture method based on self-registered technology
US9812338B2 (en) 2013-03-14 2017-11-07 Cree, Inc. Encapsulation of advanced devices using novel PECVD and ALD schemes
WO2017200827A1 (en) * 2016-05-17 2017-11-23 The Government Of The United States Of America, As Represented By The Secretary Of The Navy DAMEGE-FREE PLASMA-ENHANCED CVD PASSIVATION OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS
CN107424919A (en) * 2017-05-12 2017-12-01 中国电子科技集团公司第十三研究所 A kind of low Damage Medium grid and preparation method thereof
WO2017181121A3 (en) * 2016-04-15 2018-01-18 Macom Technology Solutions Holdings, Inc. High-voltage gan high electron mobility transistors
US9991399B2 (en) 2012-10-04 2018-06-05 Cree, Inc. Passivation structure for semiconductor devices
US10036774B2 (en) * 2014-12-04 2018-07-31 Arm Limited Integrated circuit device comprising environment-hardened die and less-environment-hardened die
WO2019005081A1 (en) * 2017-06-29 2019-01-03 Intel Corporation Group iii-nitride transistor structure with embedded diode
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
CN110289310A (en) * 2019-06-29 2019-09-27 厦门市三安集成电路有限公司 Transistor, gate structure and preparation method thereof
US10522670B2 (en) 2012-06-26 2019-12-31 Nxp Usa, Inc. Semiconductor device with selectively etched surface passivation
CN110808211A (en) * 2019-11-08 2020-02-18 中国电子科技集团公司第十三研究所 Gallium oxide field effect transistor with inclined gate structure and preparation method thereof
CN110942990A (en) * 2019-12-16 2020-03-31 成都大学 AlGaN/GaN HEMT heat management method
CN111009580A (en) * 2018-10-04 2020-04-14 新唐科技股份有限公司 High electron mobility transistor device and method of manufacturing the same
US10651317B2 (en) 2016-04-15 2020-05-12 Macom Technology Solutions Holdings, Inc. High-voltage lateral GaN-on-silicon Schottky diode
US20200161461A1 (en) * 2018-11-19 2020-05-21 Texas Instruments Incorporated Gallium nitride transistor with a doped region
US20200219987A1 (en) * 2019-01-03 2020-07-09 Cree, Inc. High electron mobility transistors having improved drain current drift and/or leakage current performance
US10825924B2 (en) 2012-06-26 2020-11-03 Nxp Usa, Inc. Semiconductor device with selectively etched surface passivation
CN112038227A (en) * 2020-08-12 2020-12-04 深圳市汇芯通信技术有限公司 Grid nondestructive preparation method and HEMT based on preparation method
CN112470289A (en) * 2020-10-28 2021-03-09 英诺赛科(苏州)科技有限公司 Semiconductor device and method for manufacturing the same
US10950598B2 (en) 2018-01-19 2021-03-16 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices formed on highly doped semiconductor
US11056483B2 (en) 2018-01-19 2021-07-06 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor
CN113314604A (en) * 2016-03-17 2021-08-27 台湾积体电路制造股份有限公司 Semiconductor structure and manufacturing method thereof
US20210336015A1 (en) * 2020-04-28 2021-10-28 Infineon Technologies Ag Group iii nitride-based transistor device
US11233047B2 (en) 2018-01-19 2022-01-25 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices on highly doped regions of intrinsic silicon
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
WO2022110149A1 (en) * 2020-11-30 2022-06-02 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device and manufacturing method thereof
US11373995B2 (en) 2017-09-29 2022-06-28 Intel Corporation Group III-nitride antenna diode
US11476288B2 (en) * 2015-11-17 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Infrared image sensor component manufacturing method
US11545586B2 (en) 2017-09-29 2023-01-03 Intel Corporation Group III-nitride Schottky diode
US20230013358A1 (en) * 2020-12-01 2023-01-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US11600614B2 (en) 2020-03-26 2023-03-07 Macom Technology Solutions Holdings, Inc. Microwave integrated circuits including gallium-nitride devices on silicon
US20230078017A1 (en) * 2021-09-16 2023-03-16 Wolfspeed, Inc. Semiconductor device incorporating a substrate recess
US11658233B2 (en) 2019-11-19 2023-05-23 Wolfspeed, Inc. Semiconductors with improved thermal budget and process of making semiconductors with improved thermal budget
JP7386956B2 (en) 2019-12-16 2023-11-27 株式会社東芝 semiconductor equipment
US11961889B2 (en) * 2020-12-01 2024-04-16 United Microelectronics Corp. Semiconductor device and method of fabricating the same

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7855401B2 (en) * 2005-06-29 2010-12-21 Cree, Inc. Passivation of wide band-gap based semiconductor devices with hydrogen-free sputtered nitrides
JP5276849B2 (en) * 2008-01-09 2013-08-28 新日本無線株式会社 Manufacturing method of nitride semiconductor device
JP2010118556A (en) * 2008-11-13 2010-05-27 Furukawa Electric Co Ltd:The Semiconductor device and its manufacturing method
JP5487613B2 (en) * 2008-12-19 2014-05-07 富士通株式会社 Compound semiconductor device and manufacturing method thereof
JP5691138B2 (en) * 2009-04-28 2015-04-01 日亜化学工業株式会社 Field effect transistor and manufacturing method thereof
JP2011082216A (en) * 2009-10-02 2011-04-21 Fujitsu Ltd Compound semiconductor device and method for manufacturing the same
JP2011124246A (en) * 2009-12-08 2011-06-23 Mitsubishi Electric Corp Heterojunction field effect transistor and method of manufacturing the same
US8936976B2 (en) * 2009-12-23 2015-01-20 Intel Corporation Conductivity improvements for III-V semiconductor devices
JP5724339B2 (en) * 2010-12-03 2015-05-27 富士通株式会社 Compound semiconductor device and manufacturing method thereof
KR20120120828A (en) * 2011-04-25 2012-11-02 삼성전기주식회사 Nitride semiconductor device and manufacturing method thereof
CN103548127B (en) * 2011-06-13 2016-12-07 松下知识产权经营株式会社 Semiconductor device and manufacture method thereof
GB201112330D0 (en) 2011-07-18 2011-08-31 Epigan Nv Method for growing III-V epitaxial layers and semiconductor structure
US8723226B2 (en) * 2011-11-22 2014-05-13 Texas Instruments Incorporated Manufacturable enhancement-mode group III-N HEMT with a reverse polarization cap
JP2013125918A (en) * 2011-12-16 2013-06-24 Sumitomo Electric Ind Ltd Semiconductor device
JP2013258251A (en) * 2012-06-12 2013-12-26 Sumitomo Electric Ind Ltd Schottky barrier diode and method for manufacturing the same
US9419083B2 (en) 2014-11-21 2016-08-16 Raytheon Company Semiconductor structures having a gate field plate and methods for forming such structure
KR101672396B1 (en) * 2014-11-25 2016-11-04 (재)한국나노기술원 Quaternary nitride semiconductor power device and manufacturing method thereof
CN105977147B (en) * 2016-07-29 2020-03-31 中国电子科技集团公司第十三研究所 Damage-free self-termination etching method for nano-gate preparation
RU2624600C1 (en) * 2016-10-07 2017-07-04 Федеральное государственное бюджетное учреждение науки Институт сверхвысокочастотной полупроводниковой электроники Российской академии наук (ИСВЧПЭ РАН) Manufacturing method of t-shaped gate
US10332840B2 (en) 2017-03-21 2019-06-25 Macronix International Co., Ltd. Semiconductor device with physically unclonable function (PUF) and apparatus including the same
CN107170821B (en) * 2017-03-29 2020-04-14 西安电子科技大学 Floating type leakage field plate current aperture device and manufacturing method thereof
JP6472839B2 (en) * 2017-06-20 2019-02-20 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2017208556A (en) * 2017-06-27 2017-11-24 株式会社東芝 Semiconductor device
US10134596B1 (en) * 2017-11-21 2018-11-20 Texas Instruments Incorporated Recessed solid state apparatuses
CN108133961A (en) * 2017-12-20 2018-06-08 成都海威华芯科技有限公司 A kind of GaN_HEMT device preparation methods based on aluminum nitride barrier layers
RU2686863C1 (en) * 2017-12-27 2019-05-06 Федеральное государственное бюджетное образовательное учреждение высшего образования "Томский государственный университет систем управления и радиоэлектроники" (ТУСУР) Method of forming t-shaped gate
CN108376706A (en) * 2018-01-11 2018-08-07 北京华碳科技有限责任公司 A kind of GaN base HEMT device and its manufacturing method
US10566200B2 (en) * 2018-04-03 2020-02-18 Texas Instruments Incorporated Method of fabricating transistors, including ambient oxidizing after etchings into barrier layers and anti-reflecting coatings
JP7143660B2 (en) * 2018-07-18 2022-09-29 サンケン電気株式会社 semiconductor equipment
CN109308999B (en) * 2018-09-29 2022-03-29 大连芯冠科技有限公司 Method for preparing power device multi-field plate by selective etching
JP2020098829A (en) 2018-12-17 2020-06-25 株式会社ナノマテリアル研究所 Manufacturing method of power device and power device manufactured by them
CN112750904B (en) 2019-10-30 2024-01-02 联华电子股份有限公司 Semiconductor element with stress relaxation layer
JP7354029B2 (en) 2020-03-13 2023-10-02 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, power supply circuit, and computer
TWI811562B (en) * 2020-08-18 2023-08-11 新唐科技股份有限公司 Manufacturing method of semiconductor device
US11450764B2 (en) * 2020-12-29 2022-09-20 Vanguard International Semiconductor Corporation Semiconductor device and method of forming the same
JP2022163819A (en) 2021-04-15 2022-10-27 株式会社東芝 Semiconductor device
JP2023162831A (en) 2022-04-27 2023-11-09 株式会社ナノマテリアル研究所 power device

Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5192987A (en) * 1991-05-17 1993-03-09 Apa Optics, Inc. High electron mobility transistor with GaN/Alx Ga1-x N heterojunctions
US5200022A (en) * 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
US5210051A (en) * 1990-03-27 1993-05-11 Cree Research, Inc. High efficiency light emitting diodes from bipolar gallium nitride
US5260599A (en) * 1989-11-28 1993-11-09 Siemens Aktiengesellschaft Conductive clearing frame for a semiconductor component
US5270554A (en) * 1991-06-14 1993-12-14 Cree Research, Inc. High power high frequency metal-semiconductor field-effect transistor formed in silicon carbide
US5292501A (en) * 1990-06-25 1994-03-08 Degenhardt Charles R Use of a carboxy-substituted polymer to inhibit plaque formation without tooth staining
USRE34861E (en) * 1987-10-26 1995-02-14 North Carolina State University Sublimation of silicon carbide to produce large, device quality single crystals of silicon carbide
US5389574A (en) * 1991-09-20 1995-02-14 Sony Corporation Selective etching method for III-V group semiconductor material using a mixed etching gas and a stop-etching gas
US5393993A (en) * 1993-12-13 1995-02-28 Cree Research, Inc. Buffer structure between silicon carbide and gallium nitride and resulting semiconductor devices
US5523589A (en) * 1994-09-20 1996-06-04 Cree Research, Inc. Vertical geometry light emitting diode with group III nitride active layer and extended lifetime
US6087256A (en) * 1996-12-18 2000-07-11 Nec Corporation Method for manufacturing modified T-shaped gate electrode
US6218680B1 (en) * 1999-05-18 2001-04-17 Cree, Inc. Semi-insulating silicon carbide without vanadium domination
US20010032999A1 (en) * 2000-04-25 2001-10-25 Seikoh Yoshida GaN-based compound semiconductor device
US6316793B1 (en) * 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
US20010040246A1 (en) * 2000-02-18 2001-11-15 Hirotatsu Ishii GaN field-effect transistor and method of manufacturing the same
US20020066908A1 (en) * 2000-12-01 2002-06-06 Smith Richard Peter Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment and methods of fabricating same
US20020167023A1 (en) * 2001-05-11 2002-11-14 Cree Lighting Company And Regents Of The University Of California Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
US20030006437A1 (en) * 1998-09-22 2003-01-09 Nec Corporation Field effect transistor
US20030020092A1 (en) * 2001-07-24 2003-01-30 Primit Parikh Insulating gate AlGaN/GaN HEMT
US20030102482A1 (en) * 2001-12-03 2003-06-05 Saxler Adam William Strain balanced nitride heterojunction transistors and methods of fabricating strain balanced nitride heterojunction transistors
US6686616B1 (en) * 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US20040061129A1 (en) * 2002-07-16 2004-04-01 Saxler Adam William Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US20050051796A1 (en) * 2003-09-09 2005-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
US6867078B1 (en) * 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US20050145883A1 (en) * 2003-12-05 2005-07-07 Robert Beach III-nitride semiconductor device with trench structure
US20050170574A1 (en) * 2004-01-16 2005-08-04 Sheppard Scott T. Nitride-based transistors with a protective layer and a low-damage recess and methods of fabrication thereof
US20060102929A1 (en) * 2002-12-16 2006-05-18 Yasuhiro Okamoto Field-effect transistor

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59127871A (en) * 1983-01-13 1984-07-23 Nec Corp Manufacture of semiconductor device
US4946547A (en) 1989-10-13 1990-08-07 Cree Research, Inc. Method of preparing silicon carbide surfaces for crystal growth
JPH0492439A (en) * 1990-08-08 1992-03-25 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JPH07211730A (en) * 1994-01-20 1995-08-11 Hitachi Ltd Semiconductor device and its manufacture
US5484740A (en) * 1994-06-06 1996-01-16 Motorola, Inc. Method of manufacturing a III-V semiconductor gate structure
JPH092439A (en) 1995-06-14 1997-01-07 Lion Corp Hot stamping method and device thereof
JP2001217258A (en) 2000-02-03 2001-08-10 Ricoh Co Ltd Semiconductor device and its manufacturing method
JP2002093819A (en) * 2000-09-11 2002-03-29 Ricoh Co Ltd Semiconductor device and its manufacturing method
JP4663156B2 (en) * 2001-05-31 2011-03-30 富士通株式会社 Compound semiconductor device
WO2003071607A1 (en) * 2002-02-21 2003-08-28 The Furukawa Electric Co., Ltd. GaN FIELD-EFFECT TRANSISTOR
JP4385206B2 (en) * 2003-01-07 2009-12-16 日本電気株式会社 Field effect transistor
JP2005086171A (en) 2003-09-11 2005-03-31 Fujitsu Ltd Semiconductor device and method of fabricating same
JP4151560B2 (en) 2003-10-28 2008-09-17 沖電気工業株式会社 Manufacturing method of semiconductor device
US7649215B2 (en) * 2003-12-05 2010-01-19 International Rectifier Corporation III-nitride device passivation and method
US7071498B2 (en) * 2003-12-17 2006-07-04 Nitronex Corporation Gallium nitride material devices including an electrode-defining layer and methods of forming the same
US7238560B2 (en) 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
US11791385B2 (en) 2005-03-11 2023-10-17 Wolfspeed, Inc. Wide bandgap transistors with gate-source field plates
US7465967B2 (en) 2005-03-15 2008-12-16 Cree, Inc. Group III nitride field effect transistors (FETS) capable of withstanding high temperature reverse bias test conditions
KR100718129B1 (en) 2005-06-03 2007-05-14 삼성전자주식회사 ?-? group GaN-based compound semiconductor device
JP4299331B2 (en) 2006-12-06 2009-07-22 本田技研工業株式会社 Vehicle seat belt device

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE34861E (en) * 1987-10-26 1995-02-14 North Carolina State University Sublimation of silicon carbide to produce large, device quality single crystals of silicon carbide
US5260599A (en) * 1989-11-28 1993-11-09 Siemens Aktiengesellschaft Conductive clearing frame for a semiconductor component
US5210051A (en) * 1990-03-27 1993-05-11 Cree Research, Inc. High efficiency light emitting diodes from bipolar gallium nitride
US5292501A (en) * 1990-06-25 1994-03-08 Degenhardt Charles R Use of a carboxy-substituted polymer to inhibit plaque formation without tooth staining
US5200022A (en) * 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
US5192987A (en) * 1991-05-17 1993-03-09 Apa Optics, Inc. High electron mobility transistor with GaN/Alx Ga1-x N heterojunctions
US5296395A (en) * 1991-05-17 1994-03-22 Apa Optics, Inc. Method of making a high electron mobility transistor
US5270554A (en) * 1991-06-14 1993-12-14 Cree Research, Inc. High power high frequency metal-semiconductor field-effect transistor formed in silicon carbide
US5389574A (en) * 1991-09-20 1995-02-14 Sony Corporation Selective etching method for III-V group semiconductor material using a mixed etching gas and a stop-etching gas
US5393993A (en) * 1993-12-13 1995-02-28 Cree Research, Inc. Buffer structure between silicon carbide and gallium nitride and resulting semiconductor devices
US5523589A (en) * 1994-09-20 1996-06-04 Cree Research, Inc. Vertical geometry light emitting diode with group III nitride active layer and extended lifetime
US6087256A (en) * 1996-12-18 2000-07-11 Nec Corporation Method for manufacturing modified T-shaped gate electrode
US6316793B1 (en) * 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
US20030006437A1 (en) * 1998-09-22 2003-01-09 Nec Corporation Field effect transistor
US6218680B1 (en) * 1999-05-18 2001-04-17 Cree, Inc. Semi-insulating silicon carbide without vanadium domination
US20010040246A1 (en) * 2000-02-18 2001-11-15 Hirotatsu Ishii GaN field-effect transistor and method of manufacturing the same
US20010032999A1 (en) * 2000-04-25 2001-10-25 Seikoh Yoshida GaN-based compound semiconductor device
US6686616B1 (en) * 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US20020066908A1 (en) * 2000-12-01 2002-06-06 Smith Richard Peter Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment and methods of fabricating same
US20020167023A1 (en) * 2001-05-11 2002-11-14 Cree Lighting Company And Regents Of The University Of California Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
US20030020092A1 (en) * 2001-07-24 2003-01-30 Primit Parikh Insulating gate AlGaN/GaN HEMT
US20030102482A1 (en) * 2001-12-03 2003-06-05 Saxler Adam William Strain balanced nitride heterojunction transistors and methods of fabricating strain balanced nitride heterojunction transistors
US20040061129A1 (en) * 2002-07-16 2004-04-01 Saxler Adam William Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
US20060102929A1 (en) * 2002-12-16 2006-05-18 Yasuhiro Okamoto Field-effect transistor
US20050051796A1 (en) * 2003-09-09 2005-03-10 Cree, Inc. Wide bandgap transistor devices with field plates
US6867078B1 (en) * 2003-11-19 2005-03-15 Freescale Semiconductor, Inc. Method for forming a microwave field effect transistor with high operating voltage
US20050145883A1 (en) * 2003-12-05 2005-07-07 Robert Beach III-nitride semiconductor device with trench structure
US20050170574A1 (en) * 2004-01-16 2005-08-04 Sheppard Scott T. Nitride-based transistors with a protective layer and a low-damage recess and methods of fabrication thereof

Cited By (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110147762A1 (en) * 2003-03-03 2011-06-23 Sheppard Scott T Integrated Nitride and Silicon Carbide-Based Devices
US7875910B2 (en) 2003-03-03 2011-01-25 Cree, Inc. Integrated nitride and silicon carbide-based devices
US20080169474A1 (en) * 2003-03-03 2008-07-17 Cree, Inc. Integrated Nitride and Silicon Carbide-Based Devices and Methods of Fabricating Integrated Nitride-Based Devices
US8502235B2 (en) 2003-03-03 2013-08-06 Cree, Inc. Integrated nitride and silicon carbide-based devices
US20060289901A1 (en) * 2003-03-03 2006-12-28 Cree, Inc. Integrated nitride and silicon carbide-based devices and methods of fabricating integrated nitride-based devices
US7898047B2 (en) 2003-03-03 2011-03-01 Samsung Electronics Co., Ltd. Integrated nitride and silicon carbide-based devices and methods of fabricating integrated nitride-based devices
US8035111B2 (en) 2003-03-03 2011-10-11 Cree, Inc. Integrated nitride and silicon carbide-based devices
US7709859B2 (en) 2004-11-23 2010-05-04 Cree, Inc. Cap layers including aluminum nitride for nitride-based transistors
US7910955B2 (en) * 2005-01-25 2011-03-22 Fujitsu Limited Semiconductor device having MIS structure and its manufacture method
US20070267655A1 (en) * 2005-01-25 2007-11-22 Fujitsu Limited Semiconductor device having MIS structure and its manufacture method
US8168000B2 (en) * 2005-06-15 2012-05-01 International Rectifier Corporation III-nitride semiconductor device fabrication
US20070000433A1 (en) * 2005-06-15 2007-01-04 Mike Briere III-nitride semiconductor device fabrication
US8183595B2 (en) * 2005-07-29 2012-05-22 International Rectifier Corporation Normally off III-nitride semiconductor device having a programmable gate
US20070026587A1 (en) * 2005-07-29 2007-02-01 Briere Michael A Normally off iii-nitride semiconductor device having a programmable gate
US9978858B2 (en) 2005-12-02 2018-05-22 Infineon Technologies Americas Corp. Methods of manufacturing gallium nitride devices
US9608102B2 (en) * 2005-12-02 2017-03-28 Infineon Technologies Americas Corp. Gallium nitride material devices and associated methods
US20070272957A1 (en) * 2005-12-02 2007-11-29 Nitronex Corporation Gallium nitride material devices and associated methods
US7709269B2 (en) * 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
US20100171150A1 (en) * 2006-01-17 2010-07-08 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes and related devices
US20090224289A1 (en) * 2006-01-17 2009-09-10 Cree, Inc. Transistors including supported gate electrodes
US20070164322A1 (en) * 2006-01-17 2007-07-19 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes and related devices
US8049252B2 (en) * 2006-01-17 2011-11-01 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes and related devices
US7960756B2 (en) 2006-01-17 2011-06-14 Cree, Inc. Transistors including supported gate electrodes
US8946778B2 (en) 2007-01-10 2015-02-03 International Rectifier Corporation Active area shaping of III-nitride devices utilizing steps of source-side and drain-side field plates
US9525052B2 (en) * 2007-01-10 2016-12-20 Infineon Technologies Americas Corp. Active area shaping of III-nitride devices utilizing a field plate defined by a dielectric body
US8803199B2 (en) * 2007-01-10 2014-08-12 International Rectifier Corporation III-nitride semiconductor device with stepped gate
US8536624B2 (en) * 2007-01-10 2013-09-17 International Rectifier Corporation Active area shaping for III-nitride devices
US8338861B2 (en) * 2007-01-10 2012-12-25 International Rectifier Corporation III-nitride semiconductor device with stepped gate trench and process for its manufacture
US20090189187A1 (en) * 2007-01-10 2009-07-30 Briere Michael A Active area shaping for Ill-nitride device and process for its manufacture
US9318592B2 (en) * 2007-01-10 2016-04-19 Infineon Technologies Americas Corp. Active area shaping of III-nitride devices utilizing a source-side field plate and a wider drain-side field plate
US8987784B2 (en) * 2007-01-10 2015-03-24 International Rectifier Corporation Active area shaping of III-nitride devices utilizing multiple dielectric materials
US9472626B2 (en) * 2007-02-06 2016-10-18 Infineon Technologies Americas Corp. High performance III-nitride power device
US20150171172A1 (en) * 2007-02-06 2015-06-18 International Rectifier Corporation High Performance III-Nitride Power Device
US20080185613A1 (en) * 2007-02-06 2008-08-07 International Rectifier Corporation III-Nitride semiconductor device
US8952352B2 (en) * 2007-02-06 2015-02-10 International Rectifier Corporation III-nitride power device
US8450721B2 (en) 2007-02-06 2013-05-28 International Rectifier Corporation III-nitride power semiconductor device
US20110244671A1 (en) * 2007-02-06 2011-10-06 International Rectifier Corporation Method for Fabricating a III-Nitride Semiconductor Device
US20150132933A1 (en) * 2007-02-06 2015-05-14 International Rectifier Corporation III-Nitride Semiconductor Device Fabrication
US7973304B2 (en) * 2007-02-06 2011-07-05 International Rectifier Corporation III-nitride semiconductor device
US8940567B2 (en) * 2007-02-06 2015-01-27 International Rectifier Corporation Method for fabricating a III-nitride semiconductor device
US8980768B2 (en) * 2007-02-22 2015-03-17 Fujitsu Limited Semiconductor device and manufacturing method of the same
US20140045345A1 (en) * 2007-02-22 2014-02-13 Fujitsu Limited Semiconductor device and manufacturing method of the same
DE102008013755B4 (en) 2007-03-12 2022-07-14 Wolfspeed, Inc. Group III nitride HEMT with top layers containing aluminum nitride and method for their production
US20110101377A1 (en) * 2007-08-29 2011-05-05 Cree, Inc. High temperature ion implantation of nitride based hemts
US20090057718A1 (en) * 2007-08-29 2009-03-05 Alexander Suvorov High Temperature Ion Implantation of Nitride Based HEMTS
US7875537B2 (en) 2007-08-29 2011-01-25 Cree, Inc. High temperature ion implantation of nitride based HEMTs
US7939391B2 (en) 2007-09-14 2011-05-10 Transphorm Inc. III-Nitride devices with recessed gates
WO2009036181A3 (en) * 2007-09-14 2009-05-07 Transphorm Inc Iii-nitride devices with recessed gates
WO2009036181A2 (en) * 2007-09-14 2009-03-19 Transphorm Inc. Iii-nitride devices with recessed gates
US20090072240A1 (en) * 2007-09-14 2009-03-19 Transphorm Inc. III-Nitride Devices with Recessed Gates
US7795642B2 (en) 2007-09-14 2010-09-14 Transphorm, Inc. III-nitride devices with recessed gates
US8633518B2 (en) 2007-09-17 2014-01-21 Transphorm Inc. Gallium nitride power devices
US9343560B2 (en) 2007-09-17 2016-05-17 Transphorm Inc. Gallium nitride power devices
US8344424B2 (en) 2007-09-17 2013-01-01 Transphorm Inc. Enhancement mode gallium nitride power devices
US8193562B2 (en) 2007-09-17 2012-06-05 Tansphorm Inc. Enhancement mode gallium nitride power devices
US20090072269A1 (en) * 2007-09-17 2009-03-19 Chang Soo Suh Gallium nitride diodes and integrated components
US20110121314A1 (en) * 2007-09-17 2011-05-26 Transphorm Inc. Enhancement mode gallium nitride power devices
FR2922045A1 (en) * 2007-10-05 2009-04-10 Thales Sa High electronic mobility transistor for optoelectronic application, has interface loaded with electrons at level of nucleation and barrier layers, and passivation layer made of aluminum oxide formed at surface of barrier layer
US20090146224A1 (en) * 2007-12-07 2009-06-11 Northrop Grumman Space & Mission Systems Corp. Composite Passivation Process for Nitride FET
US8431962B2 (en) * 2007-12-07 2013-04-30 Northrop Grumman Systems Corporation Composite passivation process for nitride FET
US7750370B2 (en) 2007-12-20 2010-07-06 Northrop Grumman Space & Mission Systems Corp. High electron mobility transistor having self-aligned miniature field mitigating plate on a protective dielectric layer
US7897446B2 (en) 2007-12-20 2011-03-01 Northrop Grumman Systems Corporation Method of forming a high electron mobility transistor hemt, utilizing self-aligned miniature field mitigating plate and protective dielectric layer
US20090159930A1 (en) * 2007-12-20 2009-06-25 Northrop Grumman Space And Mission System Corp. High electron mobility transistor having self-aligned miniature field mitigating plate and protective dielectric layer and fabrication method thereof
US20100184262A1 (en) * 2007-12-20 2010-07-22 Northrop Grumman Space And Mission Systems Corp. High electron mobility transistor having self-aligned miniature field mitigating plate and protective dielectric layer and fabrication method thereof
US8153530B2 (en) * 2007-12-28 2012-04-10 Sumitomo Electric Industries, Ltd. Method of manufacturing a semiconductor device
US20090170327A1 (en) * 2007-12-28 2009-07-02 Sumitomo Electric Industries, Ltd. Method of manufacturing a semiconductor device
US20090189228A1 (en) * 2008-01-25 2009-07-30 Qingchun Zhang Semiconductor transistor with p type re-grown channel layer
US7795691B2 (en) * 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US9437708B2 (en) 2008-04-23 2016-09-06 Transphorm Inc. Enhancement mode III-N HEMTs
US9941399B2 (en) 2008-04-23 2018-04-10 Transphorm Inc. Enhancement mode III-N HEMTs
US8519438B2 (en) 2008-04-23 2013-08-27 Transphorm Inc. Enhancement mode III-N HEMTs
US8841702B2 (en) 2008-04-23 2014-09-23 Transphorm Inc. Enhancement mode III-N HEMTs
US9196716B2 (en) 2008-04-23 2015-11-24 Transphorm Inc. Enhancement mode III-N HEMTs
US20090267078A1 (en) * 2008-04-23 2009-10-29 Transphorm Inc. Enhancement Mode III-N HEMTs
US8289065B2 (en) 2008-09-23 2012-10-16 Transphorm Inc. Inductive load power switching circuits
US8493129B2 (en) 2008-09-23 2013-07-23 Transphorm Inc. Inductive load power switching circuits
US8816751B2 (en) 2008-09-23 2014-08-26 Transphorm Inc. Inductive load power switching circuits
US8531232B2 (en) 2008-09-23 2013-09-10 Transphorm Inc. Inductive load power switching circuits
US9690314B2 (en) 2008-09-23 2017-06-27 Transphorm Inc. Inductive load power switching circuits
US8546848B2 (en) * 2008-10-15 2013-10-01 Sanken Electric Co., Ltd. Nitride semiconductor device
US20100090225A1 (en) * 2008-10-15 2010-04-15 Sanken Electric Co., Ltd. Nitride semiconductor device
US8541818B2 (en) 2008-12-10 2013-09-24 Transphorm Inc. Semiconductor heterostructure diodes
US8237198B2 (en) 2008-12-10 2012-08-07 Transphorm Inc. Semiconductor heterostructure diodes
US20110127541A1 (en) * 2008-12-10 2011-06-02 Transphorm Inc. Semiconductor heterostructure diodes
US9041065B2 (en) 2008-12-10 2015-05-26 Transphorm Inc. Semiconductor heterostructure diodes
US9293561B2 (en) 2009-05-14 2016-03-22 Transphorm Inc. High voltage III-nitride semiconductor devices
US8742459B2 (en) 2009-05-14 2014-06-03 Transphorm Inc. High voltage III-nitride semiconductor devices
US20100289067A1 (en) * 2009-05-14 2010-11-18 Transphorm Inc. High Voltage III-Nitride Semiconductor Devices
WO2011023607A1 (en) * 2009-08-26 2011-03-03 Fraunhofer Gesellschaft Zur Förderung Der Angwandten Forschung E.V. Method for determining the structure of a transistor
US8987011B2 (en) 2009-08-26 2015-03-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method for determining the structure of a transistor
TWI609488B (en) * 2009-08-28 2017-12-21 全斯法姆公司 Semiconductor devices with field plates
EP2471100A2 (en) * 2009-08-28 2012-07-04 Transphorm Inc. Semiconductor devices with field plates
US20110049526A1 (en) * 2009-08-28 2011-03-03 Transphorm Inc. Semiconductor Devices with Field Plates
US9373699B2 (en) 2009-08-28 2016-06-21 Transphorm Inc. Semiconductor devices with field plates
EP2471100A4 (en) * 2009-08-28 2013-04-10 Transphorm Inc Semiconductor devices with field plates
US20140162421A1 (en) * 2009-08-28 2014-06-12 Transphorm Inc. Semiconductor devices with field plates
CN102598275A (en) * 2009-08-28 2012-07-18 特兰斯夫公司 Semiconductor devices with field plates
WO2011031431A3 (en) * 2009-08-28 2011-07-07 Transphorm Inc. Semiconductor devices with field plates
US8390000B2 (en) * 2009-08-28 2013-03-05 Transphorm Inc. Semiconductor devices with field plates
US9831315B2 (en) 2009-08-28 2017-11-28 Transphorm Inc. Semiconductor devices with field plates
TWI555199B (en) * 2009-08-28 2016-10-21 全斯法姆公司 Semiconductor devices with field plates
CN104576742A (en) * 2009-08-28 2015-04-29 特兰斯夫公司 III-N device and method for forming same
US20130200435A1 (en) * 2009-08-28 2013-08-08 Transphorm Inc. Semiconductor devices with field plates
US9111961B2 (en) * 2009-08-28 2015-08-18 Transphorm Inc. Semiconductor devices with field plates
US8692294B2 (en) * 2009-08-28 2014-04-08 Transphorm Inc. Semiconductor devices with field plates
US20120161153A1 (en) * 2009-09-29 2012-06-28 Kabushiki Kaisha Toshiba Semiconductor device
US9276099B2 (en) * 2009-09-29 2016-03-01 Kabushiki Kaisha Toshiba Semiconductor device
US20110089434A1 (en) * 2009-10-15 2011-04-21 Chia-Hsu Chang Display panel and rework method of gate insulating layer of thin film transistor
US7928013B1 (en) * 2009-10-15 2011-04-19 Au Optronics Corp. Display panel and rework method of gate insulating layer of thin film transistor
US20110127604A1 (en) * 2009-11-30 2011-06-02 Ken Sato Semiconductor device
US8882953B2 (en) * 2009-12-07 2014-11-11 Lg Display Co., Ltd. Method for fabricating cliché, and method for forming thin film pattern by using the same
US20110132527A1 (en) * 2009-12-07 2011-06-09 Kook Yun-Ho Method for fabricating cliché and method for forming thin film pattern by using the same
US8748269B2 (en) * 2009-12-07 2014-06-10 Intel Corporation Quantum-well-based semiconductor devices
US10199217B2 (en) 2009-12-10 2019-02-05 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
US20110140169A1 (en) * 2009-12-10 2011-06-16 International Rectifier Corporation Highly conductive source/drain contacts in III-nitride transistors
US9496137B2 (en) 2009-12-10 2016-11-15 Transphorm Inc. Methods of forming reverse side engineered III-nitride devices
US20110140172A1 (en) * 2009-12-10 2011-06-16 Transphorm Inc. Reverse side engineered iii-nitride devices
US9378965B2 (en) * 2009-12-10 2016-06-28 Infineon Technologies Americas Corp. Highly conductive source/drain contacts in III-nitride transistors
US20110186855A1 (en) * 2010-01-30 2011-08-04 Jamal Ramdani Enhancement-Mode GaN MOSFET with Low Leakage Current and Improved Reliability
US8624260B2 (en) * 2010-01-30 2014-01-07 National Semiconductor Corporation Enhancement-mode GaN MOSFET with low leakage current and improved reliability
US8940593B2 (en) 2010-01-30 2015-01-27 Texas Instruments Incorporated Enhancement-mode GaN MOSFET with low leakage current and improved reliability
US20120119260A1 (en) * 2010-09-10 2012-05-17 Fabian Radulescu Methods of Forming Semiconductor Contacts and Related Semiconductor Devices
US8357571B2 (en) * 2010-09-10 2013-01-22 Cree, Inc. Methods of forming semiconductor contacts
WO2012047342A3 (en) * 2010-09-10 2013-04-11 Cree, Inc. Methods of forming semiconductor contacts and related semiconductor devices
US9905419B2 (en) 2010-11-16 2018-02-27 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US9257548B2 (en) * 2010-11-16 2016-02-09 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US20180337041A1 (en) * 2010-11-16 2018-11-22 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US10062565B2 (en) 2010-11-16 2018-08-28 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US20120119219A1 (en) * 2010-11-16 2012-05-17 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US9472623B2 (en) 2010-11-16 2016-10-18 Rohm Co., Ltd. Nitride semiconductor element and nitride semiconductor package
US8338241B2 (en) * 2010-12-06 2012-12-25 Electronics And Telecommunications Research Institute Method of manufacturing high frequency device structure
US20120142148A1 (en) * 2010-12-06 2012-06-07 Electronics And Telecommunications Research Institute Method of manufacturing high frequency device structure
US9147760B2 (en) 2010-12-15 2015-09-29 Transphorm Inc. Transistors with isolation regions
US8742460B2 (en) * 2010-12-15 2014-06-03 Transphorm Inc. Transistors with isolation regions
US9437707B2 (en) 2010-12-15 2016-09-06 Transphorm Inc. Transistors with isolation regions
US20120153390A1 (en) * 2010-12-15 2012-06-21 Transphorm Inc. Transistors with isolation regions
US9224671B2 (en) 2011-02-02 2015-12-29 Transphorm Inc. III-N device structures and methods
US8895421B2 (en) 2011-02-02 2014-11-25 Transphorm Inc. III-N device structures and methods
US8643062B2 (en) 2011-02-02 2014-02-04 Transphorm Inc. III-N device structures and methods
US8895423B2 (en) 2011-03-04 2014-11-25 Transphorm Inc. Method for making semiconductor diodes with low reverse bias currents
US9142659B2 (en) 2011-03-04 2015-09-22 Transphorm Inc. Electrode configurations for semiconductor devices
US8716141B2 (en) 2011-03-04 2014-05-06 Transphorm Inc. Electrode configurations for semiconductor devices
US8772842B2 (en) 2011-03-04 2014-07-08 Transphorm, Inc. Semiconductor diodes with low reverse bias currents
US9024357B2 (en) 2011-04-15 2015-05-05 Stmicroelectronics S.R.L. Method for manufacturing a HEMT transistor and corresponding HEMT transistor
CN102201334A (en) * 2011-05-23 2011-09-28 中国科学院微电子研究所 Method for manufacturing T-shaped grid structure with U-shaped grid feet
US9070758B2 (en) 2011-06-20 2015-06-30 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
US9252258B2 (en) 2011-06-20 2016-02-02 Imec CMOS compatible method for manufacturing a HEMT device and the HEMT device thereof
CN102299175A (en) * 2011-08-29 2011-12-28 中国电子科技集团公司第十三研究所 Buried layer structure of InAIN/GaN heterogenous-junction active-area and activation method thereof
CN102290439A (en) * 2011-08-29 2011-12-21 中国电子科技集团公司第十三研究所 InAIN/ GaN HEM device with etch stop layer
US9224805B2 (en) 2011-09-06 2015-12-29 Transphorm Inc. Semiconductor devices with guard rings
US8901604B2 (en) 2011-09-06 2014-12-02 Transphorm Inc. Semiconductor devices with guard rings
US9257547B2 (en) 2011-09-13 2016-02-09 Transphorm Inc. III-N device structures having a non-insulating substrate
US8598937B2 (en) 2011-10-07 2013-12-03 Transphorm Inc. High power semiconductor electronic components with increased reliability
US8860495B2 (en) 2011-10-07 2014-10-14 Transphorm Inc. Method of forming electronic components with increased reliability
US9171836B2 (en) 2011-10-07 2015-10-27 Transphorm Inc. Method of forming electronic components with increased reliability
CN103050511A (en) * 2011-10-11 2013-04-17 台湾积体电路制造股份有限公司 Semiconductor structure and method of forming the same
US9018677B2 (en) * 2011-10-11 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20130087804A1 (en) * 2011-10-11 2013-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20130112986A1 (en) * 2011-11-09 2013-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium Nitride Semiconductor Devices and Method Making Thereof
US8946771B2 (en) * 2011-11-09 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gallium nitride semiconductor devices and method making thereof
US20130161709A1 (en) * 2011-12-21 2013-06-27 Fujitsu Limited Semiconductor device and method of manufacturing the same
CN103187451A (en) * 2012-01-03 2013-07-03 鸿富锦精密工业(深圳)有限公司 Thin film transistor
US9165766B2 (en) 2012-02-03 2015-10-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US9685323B2 (en) 2012-02-03 2017-06-20 Transphorm Inc. Buffer layer structures suited for III-nitride devices with foreign substrates
US10115813B2 (en) 2012-02-23 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US20140361310A1 (en) * 2012-02-23 2014-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US9425300B2 (en) * 2012-02-23 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US9450063B2 (en) 2012-03-06 2016-09-20 Infineon Technologies Austria Ag Semiconductor device and method
US8941148B2 (en) * 2012-03-06 2015-01-27 Infineon Technologies Austria Ag Semiconductor device and method
CN103311291A (en) * 2012-03-06 2013-09-18 英飞凌科技奥地利有限公司 Semiconductor device and method
US9093366B2 (en) 2012-04-09 2015-07-28 Transphorm Inc. N-polar III-nitride transistors
US9490324B2 (en) 2012-04-09 2016-11-08 Transphorm Inc. N-polar III-nitride transistors
US9443941B2 (en) * 2012-06-04 2016-09-13 Infineon Technologies Austria Ag Compound semiconductor transistor with self aligned gate
US20130320350A1 (en) * 2012-06-04 2013-12-05 Infineon Technologies Austria Ag Compound Semiconductor Transistor with Self Aligned Gate
DE102013105713B4 (en) * 2012-06-04 2020-02-13 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device
CN103456781A (en) * 2012-06-04 2013-12-18 英飞凌科技奥地利有限公司 Compound semiconductor transistor with self aligned gate
WO2013185088A1 (en) * 2012-06-07 2013-12-12 Iqe Kc, Llc Enhancement-mode high electron mobility transistor structure and method of making same
US9111868B2 (en) 2012-06-26 2015-08-18 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US9153448B2 (en) 2012-06-26 2015-10-06 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US9799760B2 (en) * 2012-06-26 2017-10-24 Nxp Usa, Inc. Semiconductor device with selectively etched surface passivation
US20150357452A1 (en) * 2012-06-26 2015-12-10 Freescale Semiconductor, Inc. Semiconductor Device with Selectively Etched Surface Passivation
US10825924B2 (en) 2012-06-26 2020-11-03 Nxp Usa, Inc. Semiconductor device with selectively etched surface passivation
US8946776B2 (en) 2012-06-26 2015-02-03 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US10522670B2 (en) 2012-06-26 2019-12-31 Nxp Usa, Inc. Semiconductor device with selectively etched surface passivation
US9634100B2 (en) 2012-06-27 2017-04-25 Transphorm Inc. Semiconductor devices with integrated hole collectors
US9184275B2 (en) 2012-06-27 2015-11-10 Transphorm Inc. Semiconductor devices with integrated hole collectors
USRE49167E1 (en) 2012-10-04 2022-08-09 Wolfspeed, Inc. Passivation structure for semiconductor devices
US8994073B2 (en) 2012-10-04 2015-03-31 Cree, Inc. Hydrogen mitigation schemes in the passivation of advanced devices
US9991399B2 (en) 2012-10-04 2018-06-05 Cree, Inc. Passivation structure for semiconductor devices
US9570600B2 (en) 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
CN104871319A (en) * 2012-11-16 2015-08-26 麻省理工学院 Semiconductor structure and recess formation etch technique
WO2014078699A1 (en) * 2012-11-16 2014-05-22 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
CN103022136A (en) * 2012-12-26 2013-04-03 电子科技大学 MOS (metal-oxide-semiconductor) transistor with T-shaped gate structure
CN103050399A (en) * 2012-12-28 2013-04-17 杭州士兰集成电路有限公司 Diode with three-medium-layer passivation structure and manufacturing method thereof
US9899493B2 (en) * 2013-01-04 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US20170092738A1 (en) * 2013-01-04 2017-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. High Electron Mobility Transistor and Method of Forming the Same
US9525054B2 (en) * 2013-01-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US20140197889A1 (en) * 2013-01-17 2014-07-17 Fujitsu Limited Semiconductor device, method for manufacturing the same, power supply device, and high-frequency amplifier
US9171730B2 (en) 2013-02-15 2015-10-27 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US9520491B2 (en) 2013-02-15 2016-12-13 Transphorm Inc. Electrodes for semiconductor devices and methods of forming the same
US8946779B2 (en) 2013-02-26 2015-02-03 Freescale Semiconductor, Inc. MISHFET and Schottky device integration
US10249615B2 (en) 2013-02-26 2019-04-02 Nxp Usa, Inc. MISHFET and Schottky device integration
US20140264381A1 (en) * 2013-03-13 2014-09-18 Cree, Inc. Semiconductor device with self-aligned ohmic contacts
US9343561B2 (en) * 2013-03-13 2016-05-17 Cree, Inc. Semiconductor device with self-aligned ohmic contacts
US10043898B2 (en) 2013-03-13 2018-08-07 Transphorm Inc. Enhancement-mode III-nitride devices
US10535763B2 (en) 2013-03-13 2020-01-14 Transphorm Inc. Enhancement-mode III-nitride devices
US9343543B2 (en) 2013-03-13 2016-05-17 Cree, Inc. Gate contact for a semiconductor device and methods of fabrication thereof
US8969927B2 (en) 2013-03-13 2015-03-03 Cree, Inc. Gate contact for a semiconductor device and methods of fabrication thereof
US9590060B2 (en) 2013-03-13 2017-03-07 Transphorm Inc. Enhancement-mode III-nitride devices
US9812338B2 (en) 2013-03-14 2017-11-07 Cree, Inc. Encapsulation of advanced devices using novel PECVD and ALD schemes
US9865719B2 (en) 2013-03-15 2018-01-09 Transphorm Inc. Carbon doping semiconductor devices
US9245993B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9245992B2 (en) 2013-03-15 2016-01-26 Transphorm Inc. Carbon doping semiconductor devices
US9755059B2 (en) 2013-06-09 2017-09-05 Cree, Inc. Cascode structures with GaN cap layers
US9443938B2 (en) 2013-07-19 2016-09-13 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US10043896B2 (en) 2013-07-19 2018-08-07 Transphorm Inc. III-Nitride transistor including a III-N depleting layer
US9842922B2 (en) 2013-07-19 2017-12-12 Transphorm Inc. III-nitride transistor including a p-type depleting layer
US20150034957A1 (en) * 2013-08-01 2015-02-05 Taiwan Semiconductor Manufacturing Co., Ltd. Normally-off enhancement-mode misfet
US9564330B2 (en) * 2013-08-01 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Normally-off enhancement-mode MISFET
US20160163848A1 (en) * 2013-08-05 2016-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. MISFET Device
US20150035021A1 (en) * 2013-08-05 2015-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. MISFET Device and Method of Forming the Same
US9748373B2 (en) * 2013-08-05 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. MISFET device
US9263569B2 (en) * 2013-08-05 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. MISFET device and method of forming the same
WO2015110069A1 (en) * 2014-01-26 2015-07-30 国家电网公司 Ono structure-based sic terminal structure preparation method
CN104810264A (en) * 2014-01-26 2015-07-29 国家电网公司 SiC terminal structure preparation method based on ONO structure
US11538908B2 (en) * 2014-04-11 2022-12-27 Nexperia B.V. Semiconductor device
US20150295051A1 (en) * 2014-04-11 2015-10-15 Nxp B.V. Semiconductor device
US9318593B2 (en) 2014-07-21 2016-04-19 Transphorm Inc. Forming enhancement mode III-nitride devices
US9935190B2 (en) 2014-07-21 2018-04-03 Transphorm Inc. Forming enhancement mode III-nitride devices
CN104347700A (en) * 2014-08-20 2015-02-11 佛山芯光半导体有限公司 GaN(gallium nitride)-based concave grating enhanced HEMT (high electron mobility transistor) device
CN104241400A (en) * 2014-09-05 2014-12-24 苏州捷芯威半导体有限公司 Field effect diode and manufacturing method thereof
CN104465746A (en) * 2014-09-28 2015-03-25 苏州能讯高能半导体有限公司 HEMT device and manufacturing method of HEMT device
CN105609418A (en) * 2014-11-17 2016-05-25 三菱电机株式会社 Method of manufacturing nitride semiconductor device
US20160141385A1 (en) * 2014-11-17 2016-05-19 Mitsubishi Electric Corporation Method of manufacturing nitride semiconductor device
US9691875B2 (en) * 2014-11-17 2017-06-27 Mitsubishi Electric Corporation Method of manufacturing nitride semiconductor device
US10036774B2 (en) * 2014-12-04 2018-07-31 Arm Limited Integrated circuit device comprising environment-hardened die and less-environment-hardened die
TWI712152B (en) * 2014-12-04 2020-12-01 英商Arm股份有限公司 Integrated circuit device comprising environment-hardened die and less-environment-hardened die
US9536967B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Recessed ohmic contacts in a III-N device
US9536966B2 (en) 2014-12-16 2017-01-03 Transphorm Inc. Gate structures for III-N devices
US9761682B2 (en) * 2014-12-26 2017-09-12 Renesas Electronics Corporation Semiconductor device with silicon nitride film on nitride semiconductor layer and manufacturing method thereof
CN105742360A (en) * 2014-12-26 2016-07-06 瑞萨电子株式会社 Semiconductor device and manufacturing method thereof
US20160190294A1 (en) * 2014-12-26 2016-06-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10249727B2 (en) 2014-12-26 2019-04-02 Renesas Electronics Corporation Semiconductor device with silicon nitride film over nitride semiconductor layer and between electrodes
US9852911B2 (en) * 2015-03-12 2017-12-26 Kabushiki Kaisha Toshiba Field effect transistor
US20160268389A1 (en) * 2015-03-12 2016-09-15 Kabushiki Kaisha Toshiba Semiconductor device
CN105185841A (en) * 2015-04-07 2015-12-23 苏州捷芯威半导体有限公司 Field effect diode and manufacturing method therefor
US9614069B1 (en) 2015-04-10 2017-04-04 Cambridge Electronics, Inc. III-Nitride semiconductors with recess regions and methods of manufacture
WO2016176104A1 (en) * 2015-04-30 2016-11-03 M/A-Com Technology Solutions Holdings, Inc. Transistor with hole barrier layer
US9876082B2 (en) 2015-04-30 2018-01-23 Macom Technology Solutions Holdings, Inc. Transistor with hole barrier layer
US20170062581A1 (en) * 2015-08-29 2017-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US9941384B2 (en) * 2015-08-29 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US10134867B2 (en) * 2015-08-29 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating semiconductor device
US10686054B2 (en) 2015-08-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11374107B2 (en) 2015-08-29 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11901433B2 (en) 2015-08-29 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11476288B2 (en) * 2015-11-17 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Infrared image sensor component manufacturing method
US11322599B2 (en) 2016-01-15 2022-05-03 Transphorm Technology, Inc. Enhancement mode III-nitride devices having an Al1-xSixO gate insulator
CN113314604A (en) * 2016-03-17 2021-08-27 台湾积体电路制造股份有限公司 Semiconductor structure and manufacturing method thereof
US10651317B2 (en) 2016-04-15 2020-05-12 Macom Technology Solutions Holdings, Inc. High-voltage lateral GaN-on-silicon Schottky diode
US11923462B2 (en) 2016-04-15 2024-03-05 Macom Technology Solutions Holdings, Inc. Lateral Schottky diode
US10622467B2 (en) 2016-04-15 2020-04-14 Macom Technology Solutions Holdings, Inc. High-voltage GaN high electron mobility transistors with reduced leakage current
CN109314136A (en) * 2016-04-15 2019-02-05 麦克姆技术解决方案控股有限公司 High voltage gan high electron mobility transistor
US10985284B2 (en) 2016-04-15 2021-04-20 Macom Technology Solutions Holdings, Inc. High-voltage lateral GaN-on-silicon schottky diode with reduced junction leakage current
CN109314136B (en) * 2016-04-15 2022-07-15 麦克姆技术解决方案控股有限公司 High-voltage GaN high electron mobility transistor
US10541323B2 (en) 2016-04-15 2020-01-21 Macom Technology Solutions Holdings, Inc. High-voltage GaN high electron mobility transistors
WO2017181121A3 (en) * 2016-04-15 2018-01-18 Macom Technology Solutions Holdings, Inc. High-voltage gan high electron mobility transistors
US9960266B2 (en) 2016-05-17 2018-05-01 The United States Of America, As Represented By The Secretary Of The Navy Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors
WO2017200827A1 (en) * 2016-05-17 2017-11-23 The Government Of The United States Of America, As Represented By The Secretary Of The Navy DAMEGE-FREE PLASMA-ENHANCED CVD PASSIVATION OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS
US11121216B2 (en) 2016-05-31 2021-09-14 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
US10224401B2 (en) 2016-05-31 2019-03-05 Transphorm Inc. III-nitride devices including a graded depleting layer
US10629681B2 (en) 2016-05-31 2020-04-21 Transphorm Technology, Inc. III-nitride devices including a graded depleting layer
CN107424919A (en) * 2017-05-12 2017-12-01 中国电子科技集团公司第十三研究所 A kind of low Damage Medium grid and preparation method thereof
CN107170809A (en) * 2017-06-16 2017-09-15 北京华进创威电子有限公司 A kind of GaNHEMT devices and its manufacture method based on self-registered technology
WO2019005081A1 (en) * 2017-06-29 2019-01-03 Intel Corporation Group iii-nitride transistor structure with embedded diode
US11545586B2 (en) 2017-09-29 2023-01-03 Intel Corporation Group III-nitride Schottky diode
US11373995B2 (en) 2017-09-29 2022-06-28 Intel Corporation Group III-nitride antenna diode
US11817450B2 (en) 2018-01-19 2023-11-14 Macom Technology Solutions Holdings, Inc. Heterolithic integrated circuits including integrated devices formed on semiconductor materials of different elemental composition
US11056483B2 (en) 2018-01-19 2021-07-06 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor
US11233047B2 (en) 2018-01-19 2022-01-25 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices on highly doped regions of intrinsic silicon
US11640960B2 (en) 2018-01-19 2023-05-02 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices on intrinsic semiconductor
US10950598B2 (en) 2018-01-19 2021-03-16 Macom Technology Solutions Holdings, Inc. Heterolithic microwave integrated circuits including gallium-nitride devices formed on highly doped semiconductor
CN111009580A (en) * 2018-10-04 2020-04-14 新唐科技股份有限公司 High electron mobility transistor device and method of manufacturing the same
US11302807B2 (en) * 2018-10-04 2022-04-12 Nuvoton Technology Corporation High electron mobility transistor (HEMT) device having a metal nitride layer disposed between gate contact and a capping layer and a method for forming the same
US10964803B2 (en) * 2018-11-19 2021-03-30 Texas Instruments Incorporated Gallium nitride transistor with a doped region
US11769824B2 (en) 2018-11-19 2023-09-26 Texas Instruments Incorporated Gallium nitride transistor with a doped region
US20200161461A1 (en) * 2018-11-19 2020-05-21 Texas Instruments Incorporated Gallium nitride transistor with a doped region
US11355600B2 (en) 2019-01-03 2022-06-07 Wolfspeed, Inc. High electron mobility transistors having improved drain current drift and/or leakage current performance
US10937873B2 (en) * 2019-01-03 2021-03-02 Cree, Inc. High electron mobility transistors having improved drain current drift and/or leakage current performance
US20200219987A1 (en) * 2019-01-03 2020-07-09 Cree, Inc. High electron mobility transistors having improved drain current drift and/or leakage current performance
CN110289310A (en) * 2019-06-29 2019-09-27 厦门市三安集成电路有限公司 Transistor, gate structure and preparation method thereof
CN110808211A (en) * 2019-11-08 2020-02-18 中国电子科技集团公司第十三研究所 Gallium oxide field effect transistor with inclined gate structure and preparation method thereof
US11658233B2 (en) 2019-11-19 2023-05-23 Wolfspeed, Inc. Semiconductors with improved thermal budget and process of making semiconductors with improved thermal budget
JP7386956B2 (en) 2019-12-16 2023-11-27 株式会社東芝 semiconductor equipment
CN110942990A (en) * 2019-12-16 2020-03-31 成都大学 AlGaN/GaN HEMT heat management method
US11600614B2 (en) 2020-03-26 2023-03-07 Macom Technology Solutions Holdings, Inc. Microwave integrated circuits including gallium-nitride devices on silicon
US20210336015A1 (en) * 2020-04-28 2021-10-28 Infineon Technologies Ag Group iii nitride-based transistor device
US11929405B2 (en) * 2020-04-28 2024-03-12 Infineon Technologies Ag Group III nitride-based transistor device having a field plate
CN112038227A (en) * 2020-08-12 2020-12-04 深圳市汇芯通信技术有限公司 Grid nondestructive preparation method and HEMT based on preparation method
US20220310789A1 (en) * 2020-10-28 2022-09-29 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and fabrication method thereof
CN112470289A (en) * 2020-10-28 2021-03-09 英诺赛科(苏州)科技有限公司 Semiconductor device and method for manufacturing the same
US11830913B2 (en) * 2020-10-28 2023-11-28 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and fabrication method thereof
US20220376066A1 (en) * 2020-11-30 2022-11-24 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device and manufacturing method thereof
WO2022110149A1 (en) * 2020-11-30 2022-06-02 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device and manufacturing method thereof
US20230013358A1 (en) * 2020-12-01 2023-01-19 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US11961889B2 (en) * 2020-12-01 2024-04-16 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20230078017A1 (en) * 2021-09-16 2023-03-16 Wolfspeed, Inc. Semiconductor device incorporating a substrate recess

Also Published As

Publication number Publication date
EP2479790A2 (en) 2012-07-25
EP2479790B1 (en) 2015-03-25
EP1905097A2 (en) 2008-04-02
JP2014003301A (en) 2014-01-09
WO2007018918A2 (en) 2007-02-15
WO2007018918A3 (en) 2007-06-07
JP2009503815A (en) 2009-01-29
US9142636B2 (en) 2015-09-22
US20130252386A1 (en) 2013-09-26
EP1905097B1 (en) 2013-09-18
EP2479790A3 (en) 2012-10-10

Similar Documents

Publication Publication Date Title
US9142636B2 (en) Methods of fabricating nitride-based transistors with an ETCH stop layer
US7592211B2 (en) Methods of fabricating transistors including supported gate electrodes
US7238560B2 (en) Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
US11316028B2 (en) Nitride-based transistors with a protective layer and a low-damage recess
EP1817798B1 (en) Cap layers and/or passivation layers for nitride-based transistors, transistor structures and methods of fabricating same
US7550784B2 (en) Nitride-based transistors and methods of fabrication thereof using non-etched contact recesses
EP1747589B1 (en) Methods of fabricating nitride-based transistors having regrown ohmic contact regions and nitride-based transistors having regrown ohmic contact regions

Legal Events

Date Code Title Description
AS Assignment

Owner name: CREE, INC., NORTH CAROLINA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHEPPARD, SCOTT T.;MACKENZIE, ANDREW K.;ALLEN, SCOTT T.;AND OTHERS;REEL/FRAME:016703/0801;SIGNING DATES FROM 20050727 TO 20050809

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION