US20070066200A9 - Perforation and grooving for polishing articles - Google Patents

Perforation and grooving for polishing articles Download PDF

Info

Publication number
US20070066200A9
US20070066200A9 US11/418,557 US41855706A US2007066200A9 US 20070066200 A9 US20070066200 A9 US 20070066200A9 US 41855706 A US41855706 A US 41855706A US 2007066200 A9 US2007066200 A9 US 2007066200A9
Authority
US
United States
Prior art keywords
article
polishing
substrate
grooves
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/418,557
Other versions
US20060217049A1 (en
Inventor
Shijian Li
Liang-Yuh Chen
Alain Duboust
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/418,557 priority Critical patent/US20070066200A9/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DUBOUST, ALAIN, CHEN, LIANG-YUH, LI, SHIJIAN
Publication of US20060217049A1 publication Critical patent/US20060217049A1/en
Publication of US20070066200A9 publication Critical patent/US20070066200A9/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents

Definitions

  • Embodiments of the invention relate to apparatus and methods for deposition and/or planarization of a material, such as a metal, on a substrate.
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large scale integration (ULSI).
  • the multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • ECP now electrochemical plating
  • CMP chemical mechanical polishing
  • EMPP electrochemical mechanical plating process
  • a conductive material such as copper
  • An electrochemical mechanical plating process provides for the deposition of a conductive material, such as copper, on a substrate surface in an electrolyte while concurrently polishing the substrate to minimize the amount of conductive material deposited over features on the substrate.
  • Features formed on the substrate include a dense array of narrow features and wide features. Material is deposited over both features at the same rate with the narrow features being filled first and excess material forming over the narrow features as wide features are filled. This excess material over the dense array of narrow features is referred to as the overburden and results in a non-planar surface after deposition.
  • the overburden is typically removed using CMP processes or in some cases etchback processes.
  • An important goal of polishing, especially in ECMPP, is achieving uniform planarity of the substrate surface with minimal overburden. It is highly desirable that the polishing process uniformly removes material from the surface of substrates as well as removing non-uniform layers, which have been deposited on the substrate. Successful ECMPP also requires process repeatability from one substraThe polishing pressure preferably has e next. Thus, uniformity must be achieved not only for a single substrate, but also for a series of substrates processed in a batch.
  • One difficulty with ECMPP processes is that the conductive material to be deposited may not be evenly distributed in the electrolyte over the surface of the substrate. Uneven distribution over the substrate may result in non-uniformity and the formation of defects, such as voids, in features formed in the surface of the substrate, which can detrimentally affect the quality of the substrate produced using the ECMPP process.
  • One solution to this problem is to use a porous pad during ECMPP to allow electrolyte to reach the substrate surface.
  • the ECMPP process requires a greater quantity of electrolyte at the substrate surface than what is currently provided by conventional porous polishing pads.
  • the porous pad is required to be held in position during processing to provide for uniform polishing.
  • Embodiments of the invention generally provides an article of manufacture, a method and an apparatus for depositing a layer, planarizing a layer, or combinations thereof, on a substrate using electrochemical deposition techniques, polishing techniques, or combinations thereof.
  • an article of manufacture for depositing and planarizing a material on a substrate includes a polishing article having center portion and a perimeter portion defining a polishing surface, a plurality of passages formed through the polishing article for flow of material therethrough, and a plurality of grooves disposed in the polishing surface.
  • an article of manufacture for depositing and planarizing a material on a substrate includes a polishing article having a polishing surface and a plurality of holes disposed at least partially through the polishing article, and a plurality of grooves disposed in the polishing surface, wherein an upper end of each of the plurality of holes is recessed below the polishing surface.
  • a system for processing a substrate includes a platform having a rotating support, a conductive layer coupled to the rotating support, a polishing article coupled to the conductive layer with a sub-pad therebetween.
  • the polishing article includes a conductive polishing surface having a plurality of grooves formed therein, each of the plurality of grooves having a bottom, wherein a plurality of holes extend through the polishing article and intersect with the bottom of a portion of the plurality of grooves.
  • FIG. 1 is a cross sectional view of one embodiment of a processing apparatus showing a substrate disposed above a polishing article;
  • FIG. 2 is a partial cross sectional view of one embodiment of a carrier head assembly
  • FIGS. 3A-3D are schematic views of embodiments of a polishing article having grooves and passages formed therein;
  • FIG. 4 is a schematic view of another embodiment of a polishing article having grooves and passages formed therein;
  • FIG. 5 is a schematic view of another embodiment of a polishing article having grooves and passages formed therein;
  • FIG. 6 is a cross sectional view of one embodiment of a processing apparatus showing a substrate contacting a polishing article
  • FIG. 7 is a plan view of one embodiment of a processing platform incorporating embodiments of the processing apparatus of the invention.
  • FIG. 8 is a sectional view of a plating station of the platform of FIG. 7 .
  • Chemical-mechanical polishing should be broadly construed and includes, but is not limited to, abrading a substrate surface by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
  • Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, such as by anodic dissolution.
  • Electrochemical mechanical polishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity to remove material from a substrate surface.
  • Electrochemical mechanical plating process should be broadly construed and includes, but is not limited to, electrochemically depositing material on a substrate and concurrently planarizing the deposited material by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity.
  • FIG. 1 is a cross sectional view of one embodiment of an apparatus 20 for depositing a layer, planarizing a layer, or combinations thereof, a metal layer on a substrate 22 .
  • an apparatus that may be adapted to benefit from aspects of the invention is an ELECTRA® electroplating tool, available from Applied Materials, Inc., of Santa Clara, Calif.
  • An example of a suitable electroplating tool is described in U.S. Pat. No. 6,258,220, filed on Apr. 8, 2000, and issued Jul. 10, 2001, assigned to common assignee Applied Materials, Inc., the description of which is incorporated herein by reference to the extent not inconsistent with the invention.
  • the apparatus 20 generally includes a carrier head assembly 30 movably supported by a stanchion 80 over a partial enclosure 34 .
  • the stanchion 80 and enclosure 34 are generally disposed on a common base 82 .
  • the stanchion 80 generally includes a base support 84 and a lift mechanism 86 .
  • the base support 84 extends perpendicularly from the base 82 and may be rotatable on its axis so that the carrier assembly 30 may be moved over the partial enclosure 34 or to other positions, for example, to other enclosures or to interface with other processing systems not shown.
  • the lift mechanism 86 is coupled to the carrier assembly 30 .
  • the lift mechanism 86 generally controls the elevation of the carrier assembly 30 in relation to the partial enclosure 34 .
  • the lift mechanism 86 includes a linear actuator 88 , such as a ball screw, lead screw, pneumatic cylinder and the like, and a guide 90 that slides along a rail 92 .
  • the rail 92 is coupled to the base support 84 by a hinge 94 so that the rail 92 of the lift mechanism 86 (i.e., direction of motion) may be controllably orientated through a range of angles between about 90 to about 60 degrees relative to horizontal.
  • the lift mechanism 86 and hinge 94 allows the carrier assembly 30 holding a substrate 22 to be lowered into the partial enclosure 34 in various orientations. For example, to minimize the formation of bubbles upon the substrate 22 when interfacing with fluids disposed within the enclosure 34 , the substrate 22 may be orientated at an angle during entry into the partial enclosure 34 and then rotated to a horizontal orientation once therein.
  • the partial enclosure 34 generally defines a container or electrolyte cell in which an electrolyte or other polishing/deposition fluid can be confined.
  • the electrolyte used in processing the substrate 22 can include metals such as copper, aluminum, tungsten, gold, silver or other materials which can be electrochemically deposited onto a substrate.
  • copper sulfate (CuSO 4 ) can be used as the electrolyte. Copper containing solutions used for plating are available from Shipley Ronel, a division of Rohm and Haas, headquartered in Philadelphia, Pa., under the tradename Ultrafill 2000.
  • the enclosure 34 typically includes an anode 26 , a diffuser plate 44 and a polishing article 28 disposed therein.
  • a polishing article 28 such as a polishing pad, is disposed and supported in the electrolyte cell on the diffuser plate 44 .
  • the partial enclosure 34 can be a bowl shaped member made of a plastic such as fluoropolymers, TEFLON®, PFA, PE, PES, or other materials that are compatible with plating chemistries.
  • the partial enclosure 34 is connected to a shaft 32 on its lower surface that extends below the base 82 . Alternatively, the partial enclosure 34 can be connected to a mounting platform that is connected to the shaft 32 .
  • the shaft 32 is connected to an actuator (not shown), such as a motor, e.g., a stepper motor, disposed in the base 82 .
  • the actuator is adapted to rotate the partial enclosure 34 about vertical axis x.
  • the shaft 32 defines a central passage through which fluid is delivered into the partial enclosure 34 through a plurality of ports 36 formed in the shaft 32 .
  • the anode 26 is positioned at the lower portion of the enclosure 34 where it may be immersed in the electrolyte solution.
  • Anode 26 can be a plate-like member, a plate having multiple holes formed therethrough or a plurality of anode pieces disposed in a permeable membrane or container.
  • the anode 26 is preferably comprised of the material to be deposited, such as copper, nickel, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on a substrate.
  • the anode 26 comprises a consumable anode that may require periodic replacement.
  • the anode may comprise non-consumable anode of a material other than the deposited material, such as platinum for a copper deposition.
  • the anode 26 is a ring-shaped member defining a central opening through which the fluid inlet of the shaft 32 is disposed.
  • a plurality of holes may be formed through the anode to allow passage of electrolyte therethrough.
  • the anode 26 can alternatively be a ring anode, a plate anode, or a chamber confining plating material, including a permeable chamber or other enclosure.
  • the polishing article 28 can be a polishing pad or other type of volume spacer that is compatible with the fluid environment and the processing specifications.
  • the polishing article 28 is positioned at an upper end of the partial enclosure 34 and supported on its lower surface by the diffuser plate 44 .
  • the metal ions can be supplied from a fluid delivery line 40 having an outlet 42 positioned above the polishing article 28 .
  • the polishing article 28 may be disposed adjacent to or in contact with the anode 26 .
  • FIG. 3A is a top plan view of one embodiment of a polishing article according to aspects of the invention.
  • a round pad 240 of the polishing article 28 is shown having a plurality of passages 246 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface.
  • the passages 246 are generally formed through the entire polishing article, such as round pad 240 .
  • the invention does contemplate passages that are only partially formed in the surface polishing article without fluid flow therethrough.
  • the partial passages may function as localized reservoirs of polishing material in the polishing article during polishing.
  • the passages 246 may be spaced between about 0.1 inches and about 1.0 inches from one another.
  • the passages may be circular passages having a diameter of between about ten-thousandths of an inch and about 1 ⁇ 2 of an inch. Further the number and shape of the passages may vary depending upon the apparatus, processing parameters, and ECMPP composition being used.
  • the passages may form a pattern as desired by the operator and may include, for example, X-Y grids, offset X-Y grids, circular rings, a triangular pattern, a random pattern, or a spiral pattern, among others.
  • FIGS. 3A, 4 , and 5 respectively, illustrate passages 246 , 346 , and 446 in a spiral pattern, an offset X-Y grid pattern, and a random pattern.
  • the polishing article may also comprise grooves 242 formed in the polishing surface 248 therein to assist transport of fresh electrolyte from the bulk solution into enclosure 34 to the gap between the substrate 22 and the polishing article.
  • the grooves 242 may be spaced between about 30 mils and about 300 mils apart from one another.
  • grooves formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing.
  • An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another.
  • the grooves 242 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 248 as shown in FIG. 3A , an X-Y pattern as shown in FIG. 4 and a triangular pattern as shown in FIG. 5 . While these patterns are shown and described herein, other patterns can also be used.
  • the pattern of the grooves 242 and the pattern of the passages 246 are generally independent patterns.
  • FIG. 3B is a side schematic view of one embodiment of the polishing article along the line B.
  • the pattern of the passages 246 is adapted to have passages 246 partially formed in the grooves 242 to provide electrolyte directly to the grooves 242 . Interconnection of the passages 246 and the grooves 242 is believed to improve flow of the electrolyte from the enclosure 34 to the substrate surface.
  • FIG. 3C is a side schematic view of another embodiment of the polishing article.
  • the pattern of the passages 246 is adapted to provide electrolyte flow to the surface of the polishing pad by passages 246 and routing or partially routing the electrolyte away from the grooves 242 to the surface by passages 246 ′.
  • passages 246 may be adapted to provide electrolyte directly to the surface of the polishing pad and bypassing all of the groves, as shown in FIG. 3D .
  • the polishing article of the round pad 240 may further have an extension or outer diameter 244 larger than the area required to polish a substrate.
  • the outer diameter 244 may be free of passages.
  • Conductive material may be disposed on the outer diameter 244 and/or inner diameter to provide or improve electrical conductance of the polishing article to the substrate surface during the ECMPP process.
  • the outer diameter 244 may be fixed, by adhesives, vacuum, or mechanical forces, to another pad or object in a processing system to provide increased stability and more uniform polishing performance during the ECMPP process.
  • FIG. 4 is a top plan view of another embodiment of a pad having grooves 342 disposed in an X-Y pattern on the polishing article 348 of a polishing pad 340 .
  • Passages 346 may be disposed at the intersections of the y-axis and x-axis horizontally disposed grooves, and may also be disposed on a y-axis groove, a x-axis groove, or disposed in the polishing article 348 outside of the grooves 342 .
  • the passages 346 and grooves 342 are disposed in the inner diameter 350 of the polishing article and the outer diameter of the polishing pad 344 is typically free of passages.
  • the outer diameter 350 of the polishing pad 340 may be free of grooves and passages.
  • FIG. 5 is another embodiment of patterned polishing article 448 .
  • grooves 442 may be disposed in an X-Y pattern with diagonally disposed grooves 454 intersecting the X-Y patterned grooves 442 .
  • the diagonal grooves 454 may be disposed at an angle between about 300 and about 600 from any of the X-Y grooves 442 .
  • Passages 446 may be disposed at the intersections of the X-Y grooves 442 , the intersections of the X-Y grooves 442 and diagonal grooves 454 , along any of the grooves 442 and 454 , or disposed in the polishing article 448 outside of the grooves 442 and 454 .
  • another embodiment of the polishing article 448 may have a pattern of passages independent of any groove pattern, with intersection of passages and groves independent of one another.
  • the passages 446 and grooves 442 are disposed in the inner diameter of the polishing article and the outer diameter of the polishing pad 444 is typically free of passages.
  • the outer diameter 450 of the polishing pad 440 may be free of grooves and passages.
  • the grooves 242 provide a supply of electrolyte to the substrate surface that is evenly distributed on the substrate surface allowing for a more even deposition and polishing, and thereby increasing substrate uniformity. It is further believed that the use of intersecting grooves and passages will allow electrolyte to enter through one set of passages, be evenly distributed around the substrate surface, and then removed through a second set of passages.
  • the polishing article typically comprises a dielectric material (insulator or non-conductive material).
  • dielectric material examples include polyurethane pads commercially available from Rodel, Inc., of Phoenix, Ariz., or a PVDF pad from Asahi of Japan, or a fixed abrasive pad from 3M, of Minneapolis, Minn.
  • the polishing article may include conductive material for electroplating deposition process and electropolishing processes or a dielectric for both electroplating, electropolishing, and electroless deposition processes.
  • the polishing article may comprise a conductive polymer, or a dielectric material such as a polymer including polyurethane, with conductive elements or materials (not shown) embedded or formed therein, to provide a conductive path over the polishing article.
  • the conductive elements are electrically connected to one another in the polishing article and may contact the substrate surface when the substrate is in contact with the polishing article.
  • the polishing article can form an insulator material, or a material of low conductance, such as polyurethane.
  • the polishing article may also include a porous polishing article, such as a porous polyurethane material to increase electrolyte flowthrough.
  • the polishing article may comprise a plurality of pores of a sufficient size and organization to allow the flow of electrolyte to the substrate surface while preventing the flow of deposition by-products, such as accelerator and suppressor degradation by-products.
  • the polishing article may be disposed on a porous or sub-pad having passages formed therein (not shown) during the ECMPP process.
  • the polishing article may be affixed, for example adhesively affixed, to a sub-pad with the sub-pad's passages aligned with the passages of the polishing article to allow flow of electrolyte from the enclosure 34 to the substrate surface.
  • the use of a sub-pad, typically made of hard polishing materials such as the material used in an IC-1000TM pad, is believed to provide mechanical support for the polishing article when contacting the substrate 22 .
  • the sub-pad may comprise an insulative material to limit any inadvertent deposition of material on the sub-pad.
  • a diffuser plate 44 is provided to support the polishing article in the partial enclosure 34 as shown in FIG. 1 .
  • the diffuser plate 44 can be secured in the partial enclosure 34 using fasteners such as screws 38 or other means such as snap or interference fit with the enclosure, being suspended therein and the like.
  • the diffuser plate 44 can be made of a material such as a plastic, e.g., fluoropolymer, PE, TEFLON®, PFA, PES, HDPE, UHMW or the like.
  • the diffuser plate 44 in at least one embodiment, includes a plurality of holes or channels 46 formed therein. The holes 46 are sized to enable fluid flow therethrough and to provide uniform distribution of electrolyte through the polishing article to the substrate 22 .
  • the polishing article 28 can be fastened to the diffuser plate 44 using adhesives that are compatible with the fluid environment and the processing requirements.
  • the diffuser plate 44 is preferably spaced from the anode 26 to provide a wider process window, thus reducing the sensitivity of plating film thickness to the anode dimensions, and to separate the accelerator and suppressor decomposition by-products, for example, a mono-sulfide compound degraded from an accelerator, such as a bis(3-sulfopropyl) disulfide, C 6 H 12 Na 2 O 6 S 4 , commercially available from the Raschig Corp. of Germany, from a main plating volume 38 defined between the polishing article 28 and the substrate 22 .
  • an accelerator such as a bis(3-sulfopropyl) disulfide, C 6 H 12 Na 2 O 6 S 4 , commercially available from the Raschig Corp. of Germany
  • a membrane may be disposed between the anode 26 and the polishing article 28 to contain particles produced from the anode film from entering the enclosure 34 and depositing as particles on the substrate surface.
  • the membrane is permeable to electrolyte flow, but is not typically permeable to accelerator and suppressor degradation by-products on the anode surface.
  • the substrate carrier or head assembly 30 is movably positioned above the polishing article 28 .
  • the substrate carrier assembly 30 is vertically movable above the polishing article 28 and is laterally movable relative thereto.
  • the carrier assembly 30 may be rotatable about a vertical axis y.
  • the x and y axis of the partial enclosure and the head assembly, respectively, are offset to provide orbital motion between the polishing article 28 and the substrate carrier assembly 30 .
  • Orbital motion is broadly described herein as an elliptical relative motion between the polishing article 28 and the substrate carrier assembly 30 .
  • the substrate carrier assembly 30 holds a substrate 22 with the deposition surface facing down towards the polishing article 28 .
  • the polishing article 28 may comprise a surface that may move in a translational or linear relative motion as well as rotatable, or circular rotational, relative motion to the substrate carrier assembly 30 .
  • the substrate carrier assembly 30 generally includes a drive system 68 , a head assembly 78 and a seat assembly 76 .
  • the drive system 68 is generally coupled to the guide 90 of the stanchion 80 .
  • the drive system 68 comprises a column 70 that extends from a power head 56 to support the seat assembly 76 .
  • the power head 56 which may be an electric or pneumatic motor, generally provides rotation to the column 70 along a central axis.
  • the drive system 86 additionally includes an actuator 54 that is disposed within the column 70 and is coupled to the head assembly 78 .
  • the actuator 54 which may be a lead screw, pneumatic cylinder or other linear actuator, allows the head assembly 78 to move in relation to the seat assembly 76 .
  • the seat assembly 76 generally includes a plurality of gripper fingers 74 disposed in a polar array about a gripper plate 72 .
  • the gripper plate 72 is coupled to the column 70 so that the gripper plate 72 moves with the drive system 68 .
  • three gripper fingers 74 are provided.
  • the gripper fingers 74 generally include a base member 66 , an extension 64 and a contact finger 62 .
  • the contact fingers 62 are disposed at an angle to the extension 64 .
  • the extension 64 is coupled to the base member 66 .
  • the base member 66 is rotatably coupled to the gripper plate 72 .
  • the base member 66 generally includes an aperture that aligns with a hole in the gripper plate 72 .
  • a clevis pin or other shaft member is disposed through the hole and aperture to allow rotation of the gripper finger 74 in relation to the gripper plate 72 .
  • An actuator 60 is coupled between the extension 64 and the gripper plate 72 .
  • the actuator 60 moves the gripper finger 74 between an open and closed position.
  • a spring 58 may be optionally disposed on the clevis pin to bias the gripper finger 74 towards one position.
  • a notch 52 disposed at the ends of each contact finger 62 defines a seat 50 that is adapted to receive the substrate 22 from a transfer robot (not shown).
  • the extensions 64 are disposed at a distance from each other that allows the substrate 22 and robot to pass therebetween.
  • FIG. 2 depicts one embodiment of the head assembly 78 .
  • the head assembly 78 generally includes a housing 102 , a stem 104 , a support plate 106 and a plurality of substrate clamps 120 (one of the clamps 120 is shown).
  • the housing 102 includes a hollow shaft 128 coupled to the actuator 54 at one end and terminating in a flange 108 at the opposite end.
  • the flange 108 has a downwardly extending lip 110 that defines a central cavity 112 .
  • the support plate 106 is disposed in the central cavity 112 .
  • the support plate 106 has a first side 114 and a second side 116 .
  • the substrate 22 is generally disposed proximate the first side 114 during processing.
  • the first side 114 may additionally include one or more vacuum ports 118 disposed therein to restrain the substrate 22 proximate the first side 114 .
  • the stem 104 is coupled to a second side 116 of the support plate 106 .
  • the stem 104 is generally orientated perpendicular to the support plate 106 .
  • the stem 104 may include passages disposed therein to provide vacuum or fluid to the first side 114 of the support plate 108 or other portions of the head assembly 78 .
  • the substrate clamps 120 are generally comprised of a conductive material, such as copper.
  • the substrate clamps 120 are coupled to a conductive ring 122 that electrically couples the individual substrate clamps 120 .
  • a screw typically fastens the substrate clamps 120 to the conductive ring 122 although other fasteners or fastening methods may be utilized.
  • the conductive ring 122 generally includes a terminal 124 to allow the ring 122 to be electrically biased by a power source (not shown) coupled to the ring 122 by a lead 126 routed through the housing 102 .
  • the conductive ring 122 is secured to a mounting plate 130 that is disposed in the central cavity 112 between the housing 102 and the support plate 106 .
  • the mounting plate 130 is generally movable relative to the support plate 106 so that the distance the substrate clamps 120 extend beyond the first side 114 of the support plate may be controlled.
  • the mounting plate 130 is biased away from the support plate 106 by a spring 132 disposed therebetween.
  • the mounting plate 130 is coupled to a sleeve 134 that is movably disposed around the stem 104 .
  • the sleeve 134 has a first diameter portion 136 that is sealed against the stem 104 at one end by a seal such as an o-ring 138 .
  • the sleeve 134 has a smaller, second diameter portion 140 that interfaces with a narrower portion 142 of the stem 104 .
  • the narrower portion 142 of the stem 104 is sealed to the sleeve 134 by an o-ring 152 , thus creating a piston chamber 144 between the stem 104 and sleeve 134 .
  • the resulting force applied between the sleeve 134 and stem 104 causes the sleeve 134 to move, thus correspondingly moving the substrate clamps 120 .
  • An outer portion 146 of the sleeve 134 is threaded and mates with a corresponding male threaded portion 148 disposed in the mounting plate 130 .
  • the amount of thread engagement between the mounting plate 130 and sleeve 134 may be adjusted to set the distance the substrate clamps 120 protrude from the support plate 106 at a predetermined amount.
  • a set screw 150 in the mounting plate 130 may be tightened to prevent the mounting plate 130 from inadvertently turning about the sleeve 134 .
  • FIG. 6 is cross sectional views of an alternative embodiment of an apparatus 800 of the invention for electroless deposition, electroless polishing, or combinations thereof, of a material on the substrate surface.
  • An electroless deposition does not normally require the presence of an anode for deposition of a material.
  • the apparatus 800 discloses an enclosure 834 that typically includes a diffuser plate 844 and a polishing article 828 disposed therein in a contact position 820 with substrate 822 disposed in carrier assembly 830 described above in FIG. 1 .
  • the contact position may be defined as a distance between the substrate 822 and the polishing article of about 100 ⁇ m or less.
  • the polishing article 828 is disposed and supported in the electrolyte cell on the diffuser plate 844 .
  • the partial enclosure 834 can be a bowl shaped member made of a plastic such as fluoropolymers, TEFLON®, PFA, PE, PES, or other materials that are compatible with plating chemistries.
  • the enclosure 834 generally defines a container or electrolyte cell in which an electrolyte or other polishing/deposition fluid can be confined.
  • the electrolyte used in processing the substrate 822 can include metals such as copper, nickel or other materials which can be electroless deposited onto a substrate.
  • the electrolyte is circulated into and out of the enclosure 834 to provide sufficient concentration of material to the substrate surface for processing.
  • the electrolyte is typically provided to the enclosure 834 via a fluid delivery line 840 having an outlet 842 positioned above the polishing article 828 .
  • the electrolyte outlet from the enclosure 834 is not shown.
  • the partial enclosure 834 can be initially filled with electrolyte prior to substrate processing and can then circulate the electrolyte into and out of the partial enclosure.
  • the polishing article 28 is disposed in an electrolyte in the enclosure 34 .
  • the substrate 22 on the carrier is disposed in the electrolyte and contacted with the polishing article.
  • Electrolyte flow through the passages of the polishing article 28 and is distributed on the substrate surface by the grooves 142 .
  • Conductive material, such as copper, in the electrolyte is then deposited by an electrochemical method, such as electroless deposition or electroplating.
  • the substrate 22 and polishing article 28 are rotated relative to one another polishing the substrate surface. A pressure between of about 2 psi or less is used between the substrate 22 and the polishing article 28 .
  • a current in the range of about 0.5 amps to about 5 amps is applied to the substrate to deposit a seed layer or fill layer on the substrate adjacent to or in contact with the polishing article 28 .
  • the current my vary depending upon the features to be filled, and it is contemplated that a current of up to about 20 amps may be used to fill features.
  • the current may be applied by a pulse modulation, or pulse plating method, to enhanced voidless fill of high aspect ratios.
  • the pulse plating method typically provides an electrical pulse modification technique including applying a constant current density over the substrate for a first time period, than applying a constant reverse current density over the substrate for a second time period, and repeating the first and second steps to fill the structure.
  • a constant current density may be applied over the substrate to deposit a metal layer over the substrate.
  • the pulse modulation process is more fully described in U.S. patent application Ser. No. 09/569,833 (Attorney Docket No. AMAT/003864), entitled “Electrochemical Deposition For High Aspect Ratio Structures Using Electrical Pulse Modulation”, filed on May 11, 2000, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference in its entirety to the extent not inconsistent with the invention.
  • the electrolyte is flowed through the passages 146 and distributed by the grooves 142 and exposed to a conductive material on the substrate surface that acts as a catalyst to deposit material on the substrate 22 .
  • An example of an electroless deposition technique is more fully described in descriptions of the electroless deposition process in Chapter 31 of Modern Electroplating , F. Lowenheim, (3d ed.) and in U.S. Pat. No. 5,891,513, and in U.S. Pat. No. 6,258,223 (Attorney Docket No. 003698), filed on Jul. 9, 1999 and issued on Jul. 10, 2001, the latter assigned to common assignee Applied Materials, Inc., and which are hereby incorporated by reference in their entirety to the extent not inconsistent with the invention.
  • FIG. 7 depicts one embodiment of a processing apparatus 1000 having at least one plating station 1002 and at least one conventional polishing or buffing station 1006 .
  • One polishing tool that may be adapted to benefit from the invention is a MIRRA® chemical mechanical polisher available from Applied Materials, Inc. located in Santa Clara, Calif.
  • the exemplary apparatus 1000 generally comprises a factory interface 1008 , a loading robot 1010 , and a depositing and planarizing module 1012 , described as apparatus 20 in FIG. 1 .
  • the loading robot 1010 is disposed proximate the factory interface 1008 and the depositing and planarizing module 1012 to facilitate the transfer of substrates 22 therebetween.
  • the factory interface 1008 generally includes a cleaning module 1014 and one or more wafer cassettes 1016 .
  • An interface robot 1018 is employed to transfer substrates 22 between the wafer cassettes 1016 , the cleaning module 1014 and an input module 1020 .
  • the input module 1020 is positioned to facilitate transfer of substrates 22 between the depositing and planarizing module 1012 and the factory interface 1008 by the loading robot 1010 .
  • unprocessed substrates 22 retrieved from the cassettes 1016 by the interface robot 1018 may be transferred to the input module 1020 where the substrates 22 may be accessed by the loading robot 1010 while processed substrates 22 returning from the depositing and planarizing module 1012 may be placed in the input module 1020 by the loading robot 1010 .
  • Processed substrates 22 are typically passed from the input module 1020 through the cleaning module 1014 before the factory interface robot 1018 returns the cleaned substrates 22 to the cassettes 1016 .
  • An example of such a factory interface 1008 that may be used to advantage is disclosed in U.S. patent application Ser. No. 09/547,189 (Attorney Docket No. 003651), filed Apr. 11, 2000, which issued as U.S. Pat. No. 6,361,422 on Mar. 26, 2002, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference.
  • the loading robot 1010 is generally positioned proximate the factory interface 1008 and the depositing and planarizing module 1012 such that the range of motion provided by the robot 1010 facilitates transfer of the substrates 22 therebetween.
  • An example of a loading robot 1010 is a 4-Link robot, manufactured by Kensington Laboratories, Inc., located in Richmond, Calif.
  • the exemplary loading robot 1010 has a gripper 1011 that may orientate the substrate 22 in either a vertical or a horizontal orientation.
  • the exemplary depositing and planarizing module 1012 has a transfer station 1022 and a carousel 1034 in addition to the plating station 1002 and the polishing station 1006 , all of which are disposed on a machine base 1026 .
  • the depositing and planarizing module 1012 may comprise one polishing module and two plating modules.
  • the depositing and planarizing module 1012 may comprise one plating module and two polishing modules.
  • a polishing module 1120 may be provided for polishing a substrate following processing by the methods described herein or in the apparatus described herein.
  • the transfer station 1022 comprises at least an input buffer station 1028 , an output buffer station 1030 , a transfer robot 1032 , and a load cup assembly 1024 .
  • the loading robot 1010 places the substrate 22 onto the input buffer station 1028 .
  • the transfer robot 1032 has two gripper assemblies, each having pneumatic gripper fingers that grab the substrate 22 by the substrate's edge.
  • the transfer robot 1032 lifts the substrate 22 from the input buffer station 1028 and rotates the gripper and substrate 22 to position the substrate 22 over the load cup assembly 1034 , then places the substrate 22 down onto the load cup assembly 1024 .
  • An example of a transfer station that may be used to advantage is described by Tobin in U.S. Pat. No. 6,156,124 (Attorney Docket No. 003651.02), which was filed Oct. 6, 1999 and issued Dec. 5, 2000, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference.
  • the carousel 1034 is generally described in U.S. Pat. No. 5,804,507 (Attorney Docket No. 00881D1), issued Sep. 8, 1998 to Tolles et al. and is hereby incorporated herein by reference in its entirety. Generally, the carousel 1034 is centrally disposed on the base 1026 .
  • the carousel 1034 typically includes a plurality of arms 1036 .
  • the arms 1036 generally each supporting a polishing head 1038 while one arm supports a carrier head assembly 1004 .
  • One of the arms 1036 is shown in phantom such that the transfer station 1022 may be seen.
  • the carousel 1034 is indexable such that the polishing head 1038 and carrier head 1004 may be moved between the modules 1002 , 1006 and the transfer station 1022 .
  • the polishing head 1038 retains the substrate 22 while pressing the substrate against a polishing material (not shown) disposed on the polishing stations 1006 .
  • the polishing station 1006 generally rotates to provide a relative motion between the substrate 22 retained by the polishing head 1038 and the polishing material.
  • a polishing fluid is provided to assist in the material removal from the substrate 22 .
  • One polishing head that may be utilized is a TITAN HEADTM wafer carrier manufactured by Applied Materials, Inc., Santa Clara, Calif.
  • FIG. 8 depicts a sectional view of the substrate carrier head assembly 1004 supported above the plating station 1006 .
  • the substrate carrier head assembly 1004 is substantially similar to the substrate carrier assembly 30 described above and including head assembly 78 , a seat assembly 76 , enclosure 34 , and polishing article 28 as shown in FIGS. 1 and 8 .
  • the plating station 1006 includes a partial enclosure 1102 that defines an electrolyte cell to facilitate metal deposition on the substrate 22 that is substantially similar to the enclosure 30 described above.
  • the enclosure 1102 of the plating station 1006 is coupled to a motor that provides rotation of the enclosure 1102 .
  • the arrangement of the plating stations 1006 and polishing stations 1002 on the depositing and planarizing module 1012 allow for the substrate 22 to be sequentially plated or polishing by moving the substrate between stations.
  • the substrate 22 may be processed in each station 1002 , 1006 while remaining in it respective head or carrier 1038 , 1004 , or the substrate may be switched between heads by offloading the substrate from one head into the load cup and loading the substrate into the other polishing head.
  • the depositing and planarizing module 1012 may comprise only one type of head may be utilized (i.e., all polishing heads 1038 or all carrier heads 1004 ).

Abstract

Methods, articles of manufacture, and apparatus are provided for depositing and planarizing one or more layers of material on a substrate, or combinations thereof, are disclosed. In one embodiment, an article of manufacture is provided for polishing a substrate, comprising a polishing article having a polishing surface, a plurality of perforations formed in at least a portion of the polishing article for flow of material therethrough, and a plurality of grooves disposed in the polishing surface. The article of manufacture may be used in a method for processing a substrate, comprising positioning the substrate in an electrolyte solution containing a polishing article, optionally depositing a material on the substrate by an electrochemical deposition method, and polishing the substrate with the polishing article.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 10/026,854, filed Dec. 20, 2001, which claims benefit of U.S. provisional Patent Application Ser. No. 60/258,162, filed Dec. 22, 2000, both applications incorporated by reference herein.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention relate to apparatus and methods for deposition and/or planarization of a material, such as a metal, on a substrate.
  • 2. Background of the Related Art
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large scale integration (ULSI). The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die.
  • In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and now electrochemical plating (ECP).
  • Often it is necessary to polish a surface of a substrate to remove high topography, surface defects, metal residues, scratches or embedded particles formed from the deposition and removal of materials from a substrate surface. One common polishing process is known as chemical mechanical polishing (CMP) and is used to improve the quality and reliability of the electronic devices formed on the substrate. CMP is broadly defined herein as polishing a substrate by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
  • Currently, the semiconductor industry is developing processes and apparatus for depositing conductive materials on a substrate and in situ polishing of the substrate to improve manufacturing throughput. One such process is electrochemical mechanical plating process (ECMPP) which provides for the deposition of a conductive material, such as copper, on a substrate surface in an electrolyte while concurrently polishing the substrate to minimize the amount of conductive material deposited over features on the substrate. Features formed on the substrate include a dense array of narrow features and wide features. Material is deposited over both features at the same rate with the narrow features being filled first and excess material forming over the narrow features as wide features are filled. This excess material over the dense array of narrow features is referred to as the overburden and results in a non-planar surface after deposition. The overburden is typically removed using CMP processes or in some cases etchback processes.
  • An important goal of polishing, especially in ECMPP, is achieving uniform planarity of the substrate surface with minimal overburden. It is highly desirable that the polishing process uniformly removes material from the surface of substrates as well as removing non-uniform layers, which have been deposited on the substrate. Successful ECMPP also requires process repeatability from one substraThe polishing pressure preferably has e next. Thus, uniformity must be achieved not only for a single substrate, but also for a series of substrates processed in a batch.
  • One difficulty with ECMPP processes is that the conductive material to be deposited may not be evenly distributed in the electrolyte over the surface of the substrate. Uneven distribution over the substrate may result in non-uniformity and the formation of defects, such as voids, in features formed in the surface of the substrate, which can detrimentally affect the quality of the substrate produced using the ECMPP process. One solution to this problem is to use a porous pad during ECMPP to allow electrolyte to reach the substrate surface. However, under current processing conditions, the ECMPP process requires a greater quantity of electrolyte at the substrate surface than what is currently provided by conventional porous polishing pads.
  • Additionally, for ECMPP processes, the porous pad is required to be held in position during processing to provide for uniform polishing. However, it has been found to be technically challenging to hold a porous pad in position for polishing while allowing electrolyte to flow freely through the pad to the substrate surface.
  • As a result, there is a need for an article of manufacture, process, and apparatus to improve polishing uniformity during deposition and polishing of a conductive material on a substrate surface.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention generally provides an article of manufacture, a method and an apparatus for depositing a layer, planarizing a layer, or combinations thereof, on a substrate using electrochemical deposition techniques, polishing techniques, or combinations thereof.
  • In one embodiment, an article of manufacture for depositing and planarizing a material on a substrate is described. The article of manufacture includes a polishing article having center portion and a perimeter portion defining a polishing surface, a plurality of passages formed through the polishing article for flow of material therethrough, and a plurality of grooves disposed in the polishing surface.
  • In another embodiment, an article of manufacture for depositing and planarizing a material on a substrate is described. The article of manufacture includes a polishing article having a polishing surface and a plurality of holes disposed at least partially through the polishing article, and a plurality of grooves disposed in the polishing surface, wherein an upper end of each of the plurality of holes is recessed below the polishing surface.
  • In another embodiment, a system for processing a substrate is described. The system includes a platform having a rotating support, a conductive layer coupled to the rotating support, a polishing article coupled to the conductive layer with a sub-pad therebetween. In this embodiment, the polishing article includes a conductive polishing surface having a plurality of grooves formed therein, each of the plurality of grooves having a bottom, wherein a plurality of holes extend through the polishing article and intersect with the bottom of a portion of the plurality of grooves.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a cross sectional view of one embodiment of a processing apparatus showing a substrate disposed above a polishing article;
  • FIG. 2 is a partial cross sectional view of one embodiment of a carrier head assembly;
  • FIGS. 3A-3D are schematic views of embodiments of a polishing article having grooves and passages formed therein;
  • FIG. 4 is a schematic view of another embodiment of a polishing article having grooves and passages formed therein;
  • FIG. 5 is a schematic view of another embodiment of a polishing article having grooves and passages formed therein;
  • FIG. 6 is a cross sectional view of one embodiment of a processing apparatus showing a substrate contacting a polishing article;
  • FIG. 7 is a plan view of one embodiment of a processing platform incorporating embodiments of the processing apparatus of the invention; and
  • FIG. 8 is a sectional view of a plating station of the platform of FIG. 7.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined. Chemical-mechanical polishing should be broadly construed and includes, but is not limited to, abrading a substrate surface by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity. Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, such as by anodic dissolution.
  • Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity to remove material from a substrate surface. Electrochemical mechanical plating process (ECMPP) should be broadly construed and includes, but is not limited to, electrochemically depositing material on a substrate and concurrently planarizing the deposited material by the application of electrochemical activity, mechanical activity, or a combination of both electrochemical and mechanical activity.
  • FIG. 1 is a cross sectional view of one embodiment of an apparatus 20 for depositing a layer, planarizing a layer, or combinations thereof, a metal layer on a substrate 22. One example of an apparatus that may be adapted to benefit from aspects of the invention is an ELECTRA® electroplating tool, available from Applied Materials, Inc., of Santa Clara, Calif. An example of a suitable electroplating tool is described in U.S. Pat. No. 6,258,220, filed on Apr. 8, 2000, and issued Jul. 10, 2001, assigned to common assignee Applied Materials, Inc., the description of which is incorporated herein by reference to the extent not inconsistent with the invention. The apparatus 20 generally includes a carrier head assembly 30 movably supported by a stanchion 80 over a partial enclosure 34. The stanchion 80 and enclosure 34 are generally disposed on a common base 82. The stanchion 80 generally includes a base support 84 and a lift mechanism 86. The base support 84 extends perpendicularly from the base 82 and may be rotatable on its axis so that the carrier assembly 30 may be moved over the partial enclosure 34 or to other positions, for example, to other enclosures or to interface with other processing systems not shown.
  • The lift mechanism 86 is coupled to the carrier assembly 30. The lift mechanism 86 generally controls the elevation of the carrier assembly 30 in relation to the partial enclosure 34. The lift mechanism 86 includes a linear actuator 88, such as a ball screw, lead screw, pneumatic cylinder and the like, and a guide 90 that slides along a rail 92. The rail 92 is coupled to the base support 84 by a hinge 94 so that the rail 92 of the lift mechanism 86 (i.e., direction of motion) may be controllably orientated through a range of angles between about 90 to about 60 degrees relative to horizontal. The lift mechanism 86 and hinge 94 allows the carrier assembly 30 holding a substrate 22 to be lowered into the partial enclosure 34 in various orientations. For example, to minimize the formation of bubbles upon the substrate 22 when interfacing with fluids disposed within the enclosure 34, the substrate 22 may be orientated at an angle during entry into the partial enclosure 34 and then rotated to a horizontal orientation once therein.
  • The partial enclosure 34 generally defines a container or electrolyte cell in which an electrolyte or other polishing/deposition fluid can be confined. The electrolyte used in processing the substrate 22 can include metals such as copper, aluminum, tungsten, gold, silver or other materials which can be electrochemically deposited onto a substrate. As one example, copper sulfate (CuSO4) can be used as the electrolyte. Copper containing solutions used for plating are available from Shipley Ronel, a division of Rohm and Haas, headquartered in Philadelphia, Pa., under the tradename Ultrafill 2000.
  • The enclosure 34 typically includes an anode 26, a diffuser plate 44 and a polishing article 28 disposed therein. A polishing article 28, such as a polishing pad, is disposed and supported in the electrolyte cell on the diffuser plate 44. The partial enclosure 34 can be a bowl shaped member made of a plastic such as fluoropolymers, TEFLON®, PFA, PE, PES, or other materials that are compatible with plating chemistries. The partial enclosure 34 is connected to a shaft 32 on its lower surface that extends below the base 82. Alternatively, the partial enclosure 34 can be connected to a mounting platform that is connected to the shaft 32. The shaft 32 is connected to an actuator (not shown), such as a motor, e.g., a stepper motor, disposed in the base 82. The actuator is adapted to rotate the partial enclosure 34 about vertical axis x. In one embodiment, the shaft 32 defines a central passage through which fluid is delivered into the partial enclosure 34 through a plurality of ports 36 formed in the shaft 32.
  • The anode 26 is positioned at the lower portion of the enclosure 34 where it may be immersed in the electrolyte solution. Anode 26 can be a plate-like member, a plate having multiple holes formed therethrough or a plurality of anode pieces disposed in a permeable membrane or container. The anode 26 is preferably comprised of the material to be deposited, such as copper, nickel, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on a substrate. In at least one embodiment, the anode 26 comprises a consumable anode that may require periodic replacement. Alternatively, the anode may comprise non-consumable anode of a material other than the deposited material, such as platinum for a copper deposition.
  • In at least one embodiment, the anode 26 is a ring-shaped member defining a central opening through which the fluid inlet of the shaft 32 is disposed. In embodiments where the anode 26 is plate-like, a plurality of holes may be formed through the anode to allow passage of electrolyte therethrough. The anode 26 can alternatively be a ring anode, a plate anode, or a chamber confining plating material, including a permeable chamber or other enclosure.
  • The polishing article 28 can be a polishing pad or other type of volume spacer that is compatible with the fluid environment and the processing specifications. The polishing article 28 is positioned at an upper end of the partial enclosure 34 and supported on its lower surface by the diffuser plate 44. The metal ions can be supplied from a fluid delivery line 40 having an outlet 42 positioned above the polishing article 28. The polishing article 28 may be disposed adjacent to or in contact with the anode 26.
  • FIG. 3A is a top plan view of one embodiment of a polishing article according to aspects of the invention. A round pad 240 of the polishing article 28 is shown having a plurality of passages 246 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface. The passages 246 are generally formed through the entire polishing article, such as round pad 240. The invention does contemplate passages that are only partially formed in the surface polishing article without fluid flow therethrough. The partial passages (not shown) may function as localized reservoirs of polishing material in the polishing article during polishing.
  • The passages 246 may be spaced between about 0.1 inches and about 1.0 inches from one another. The passages may be circular passages having a diameter of between about ten-thousandths of an inch and about ½ of an inch. Further the number and shape of the passages may vary depending upon the apparatus, processing parameters, and ECMPP composition being used.
  • The passages may form a pattern as desired by the operator and may include, for example, X-Y grids, offset X-Y grids, circular rings, a triangular pattern, a random pattern, or a spiral pattern, among others. FIGS. 3A, 4, and 5 respectively, illustrate passages 246, 346, and 446 in a spiral pattern, an offset X-Y grid pattern, and a random pattern.
  • The polishing article may also comprise grooves 242 formed in the polishing surface 248 therein to assist transport of fresh electrolyte from the bulk solution into enclosure 34 to the gap between the substrate 22 and the polishing article. The grooves 242 may be spaced between about 30 mils and about 300 mils apart from one another. Generally, grooves formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing. An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another. The grooves 242 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 248 as shown in FIG. 3A, an X-Y pattern as shown in FIG. 4 and a triangular pattern as shown in FIG. 5. While these patterns are shown and described herein, other patterns can also be used. The pattern of the grooves 242 and the pattern of the passages 246 are generally independent patterns.
  • FIG. 3B is a side schematic view of one embodiment of the polishing article along the line B. The pattern of the passages 246 is adapted to have passages 246 partially formed in the grooves 242 to provide electrolyte directly to the grooves 242. Interconnection of the passages 246 and the grooves 242 is believed to improve flow of the electrolyte from the enclosure 34 to the substrate surface.
  • FIG. 3C is a side schematic view of another embodiment of the polishing article. The pattern of the passages 246 is adapted to provide electrolyte flow to the surface of the polishing pad by passages 246 and routing or partially routing the electrolyte away from the grooves 242 to the surface by passages 246′. In a further embodiment, passages 246 may be adapted to provide electrolyte directly to the surface of the polishing pad and bypassing all of the groves, as shown in FIG. 3D.
  • The polishing article of the round pad 240 may further have an extension or outer diameter 244 larger than the area required to polish a substrate. The outer diameter 244 may be free of passages. Conductive material may be disposed on the outer diameter 244 and/or inner diameter to provide or improve electrical conductance of the polishing article to the substrate surface during the ECMPP process. Further, the outer diameter 244 may be fixed, by adhesives, vacuum, or mechanical forces, to another pad or object in a processing system to provide increased stability and more uniform polishing performance during the ECMPP process.
  • FIG. 4 is a top plan view of another embodiment of a pad having grooves 342 disposed in an X-Y pattern on the polishing article 348 of a polishing pad 340. Passages 346 may be disposed at the intersections of the y-axis and x-axis horizontally disposed grooves, and may also be disposed on a y-axis groove, a x-axis groove, or disposed in the polishing article 348 outside of the grooves 342. The passages 346 and grooves 342 are disposed in the inner diameter 350 of the polishing article and the outer diameter of the polishing pad 344 is typically free of passages. The outer diameter 350 of the polishing pad 340 may be free of grooves and passages.
  • FIG. 5 is another embodiment of patterned polishing article 448. In this embodiment, grooves 442 may be disposed in an X-Y pattern with diagonally disposed grooves 454 intersecting the X-Y patterned grooves 442. The diagonal grooves 454 may be disposed at an angle between about 300 and about 600 from any of the X-Y grooves 442. Passages 446 may be disposed at the intersections of the X-Y grooves 442, the intersections of the X-Y grooves 442 and diagonal grooves 454, along any of the grooves 442 and 454, or disposed in the polishing article 448 outside of the grooves 442 and 454. As described above, another embodiment of the polishing article 448 may have a pattern of passages independent of any groove pattern, with intersection of passages and groves independent of one another. As shown in FIG. 5, the passages 446 and grooves 442 are disposed in the inner diameter of the polishing article and the outer diameter of the polishing pad 444 is typically free of passages. The outer diameter 450 of the polishing pad 440 may be free of grooves and passages.
  • It is believed that the grooves 242 provide a supply of electrolyte to the substrate surface that is evenly distributed on the substrate surface allowing for a more even deposition and polishing, and thereby increasing substrate uniformity. It is further believed that the use of intersecting grooves and passages will allow electrolyte to enter through one set of passages, be evenly distributed around the substrate surface, and then removed through a second set of passages.
  • The polishing article typically comprises a dielectric material (insulator or non-conductive material). Examples of dielectric material that may be used as polishing article include polyurethane pads commercially available from Rodel, Inc., of Phoenix, Ariz., or a PVDF pad from Asahi of Japan, or a fixed abrasive pad from 3M, of Minneapolis, Minn.
  • The polishing article may include conductive material for electroplating deposition process and electropolishing processes or a dielectric for both electroplating, electropolishing, and electroless deposition processes. For an electroplating deposition and electropolishing process, the polishing article may comprise a conductive polymer, or a dielectric material such as a polymer including polyurethane, with conductive elements or materials (not shown) embedded or formed therein, to provide a conductive path over the polishing article. The conductive elements are electrically connected to one another in the polishing article and may contact the substrate surface when the substrate is in contact with the polishing article. For an electroless deposition, the polishing article can form an insulator material, or a material of low conductance, such as polyurethane.
  • The polishing article may also include a porous polishing article, such as a porous polyurethane material to increase electrolyte flowthrough. The polishing article may comprise a plurality of pores of a sufficient size and organization to allow the flow of electrolyte to the substrate surface while preventing the flow of deposition by-products, such as accelerator and suppressor degradation by-products.
  • The polishing article may be disposed on a porous or sub-pad having passages formed therein (not shown) during the ECMPP process. The polishing article may be affixed, for example adhesively affixed, to a sub-pad with the sub-pad's passages aligned with the passages of the polishing article to allow flow of electrolyte from the enclosure 34 to the substrate surface. The use of a sub-pad, typically made of hard polishing materials such as the material used in an IC-1000™ pad, is believed to provide mechanical support for the polishing article when contacting the substrate 22. The sub-pad may comprise an insulative material to limit any inadvertent deposition of material on the sub-pad.
  • Alternatively, a diffuser plate 44 is provided to support the polishing article in the partial enclosure 34 as shown in FIG. 1. The diffuser plate 44 can be secured in the partial enclosure 34 using fasteners such as screws 38 or other means such as snap or interference fit with the enclosure, being suspended therein and the like. The diffuser plate 44 can be made of a material such as a plastic, e.g., fluoropolymer, PE, TEFLON®, PFA, PES, HDPE, UHMW or the like. The diffuser plate 44, in at least one embodiment, includes a plurality of holes or channels 46 formed therein. The holes 46 are sized to enable fluid flow therethrough and to provide uniform distribution of electrolyte through the polishing article to the substrate 22. The polishing article 28 can be fastened to the diffuser plate 44 using adhesives that are compatible with the fluid environment and the processing requirements.
  • The diffuser plate 44 is preferably spaced from the anode 26 to provide a wider process window, thus reducing the sensitivity of plating film thickness to the anode dimensions, and to separate the accelerator and suppressor decomposition by-products, for example, a mono-sulfide compound degraded from an accelerator, such as a bis(3-sulfopropyl) disulfide, C6H12Na2O6S4, commercially available from the Raschig Corp. of Germany, from a main plating volume 38 defined between the polishing article 28 and the substrate 22.
  • While not shown, a membrane may be disposed between the anode 26 and the polishing article 28 to contain particles produced from the anode film from entering the enclosure 34 and depositing as particles on the substrate surface. For example, the membrane is permeable to electrolyte flow, but is not typically permeable to accelerator and suppressor degradation by-products on the anode surface.
  • The substrate carrier or head assembly 30 is movably positioned above the polishing article 28. The substrate carrier assembly 30 is vertically movable above the polishing article 28 and is laterally movable relative thereto. For example, the carrier assembly 30 may be rotatable about a vertical axis y. The x and y axis of the partial enclosure and the head assembly, respectively, are offset to provide orbital motion between the polishing article 28 and the substrate carrier assembly 30. Orbital motion is broadly described herein as an elliptical relative motion between the polishing article 28 and the substrate carrier assembly 30. The substrate carrier assembly 30 holds a substrate 22 with the deposition surface facing down towards the polishing article 28. Alternatively, the polishing article 28 may comprise a surface that may move in a translational or linear relative motion as well as rotatable, or circular rotational, relative motion to the substrate carrier assembly 30.
  • The substrate carrier assembly 30 generally includes a drive system 68, a head assembly 78 and a seat assembly 76. The drive system 68 is generally coupled to the guide 90 of the stanchion 80. The drive system 68 comprises a column 70 that extends from a power head 56 to support the seat assembly 76. The power head 56, which may be an electric or pneumatic motor, generally provides rotation to the column 70 along a central axis. The drive system 86 additionally includes an actuator 54 that is disposed within the column 70 and is coupled to the head assembly 78. The actuator 54, which may be a lead screw, pneumatic cylinder or other linear actuator, allows the head assembly 78 to move in relation to the seat assembly 76.
  • The seat assembly 76 generally includes a plurality of gripper fingers 74 disposed in a polar array about a gripper plate 72. The gripper plate 72 is coupled to the column 70 so that the gripper plate 72 moves with the drive system 68. In one embodiment, three gripper fingers 74 are provided. The gripper fingers 74 generally include a base member 66, an extension 64 and a contact finger 62. The contact fingers 62 are disposed at an angle to the extension 64. The extension 64 is coupled to the base member 66. The base member 66 is rotatably coupled to the gripper plate 72. The base member 66 generally includes an aperture that aligns with a hole in the gripper plate 72. A clevis pin or other shaft member is disposed through the hole and aperture to allow rotation of the gripper finger 74 in relation to the gripper plate 72. An actuator 60 is coupled between the extension 64 and the gripper plate 72. The actuator 60 moves the gripper finger 74 between an open and closed position. A spring 58 may be optionally disposed on the clevis pin to bias the gripper finger 74 towards one position. When the contact fingers 62 are moved inward, a notch 52 disposed at the ends of each contact finger 62 defines a seat 50 that is adapted to receive the substrate 22 from a transfer robot (not shown). In the inward position, the extensions 64 are disposed at a distance from each other that allows the substrate 22 and robot to pass therebetween.
  • FIG. 2 depicts one embodiment of the head assembly 78. The head assembly 78 generally includes a housing 102, a stem 104, a support plate 106 and a plurality of substrate clamps 120 (one of the clamps 120 is shown). Generally, the housing 102 includes a hollow shaft 128 coupled to the actuator 54 at one end and terminating in a flange 108 at the opposite end. The flange 108 has a downwardly extending lip 110 that defines a central cavity 112.
  • The support plate 106 is disposed in the central cavity 112. The support plate 106 has a first side 114 and a second side 116. The substrate 22 is generally disposed proximate the first side 114 during processing. The first side 114 may additionally include one or more vacuum ports 118 disposed therein to restrain the substrate 22 proximate the first side 114.
  • The stem 104 is coupled to a second side 116 of the support plate 106. The stem 104 is generally orientated perpendicular to the support plate 106. The stem 104 may include passages disposed therein to provide vacuum or fluid to the first side 114 of the support plate 108 or other portions of the head assembly 78.
  • The substrate clamps 120 are generally comprised of a conductive material, such as copper. The substrate clamps 120 are coupled to a conductive ring 122 that electrically couples the individual substrate clamps 120. A screw typically fastens the substrate clamps 120 to the conductive ring 122 although other fasteners or fastening methods may be utilized. The conductive ring 122 generally includes a terminal 124 to allow the ring 122 to be electrically biased by a power source (not shown) coupled to the ring 122 by a lead 126 routed through the housing 102.
  • The conductive ring 122 is secured to a mounting plate 130 that is disposed in the central cavity 112 between the housing 102 and the support plate 106. The mounting plate 130 is generally movable relative to the support plate 106 so that the distance the substrate clamps 120 extend beyond the first side 114 of the support plate may be controlled. Generally, the mounting plate 130 is biased away from the support plate 106 by a spring 132 disposed therebetween.
  • To facilitate movement of the mounting plate 130 and substrate clamps 120, the mounting plate 130 is coupled to a sleeve 134 that is movably disposed around the stem 104. The sleeve 134 has a first diameter portion 136 that is sealed against the stem 104 at one end by a seal such as an o-ring 138. The sleeve 134 has a smaller, second diameter portion 140 that interfaces with a narrower portion 142 of the stem 104. The narrower portion 142 of the stem 104 is sealed to the sleeve 134 by an o-ring 152, thus creating a piston chamber 144 between the stem 104 and sleeve 134. As fluid, such as air, is applied or evacuated from the chamber 144, the resulting force applied between the sleeve 134 and stem 104 causes the sleeve 134 to move, thus correspondingly moving the substrate clamps 120. An outer portion 146 of the sleeve 134 is threaded and mates with a corresponding male threaded portion 148 disposed in the mounting plate 130. The amount of thread engagement between the mounting plate 130 and sleeve 134 may be adjusted to set the distance the substrate clamps 120 protrude from the support plate 106 at a predetermined amount. A set screw 150 in the mounting plate 130 may be tightened to prevent the mounting plate 130 from inadvertently turning about the sleeve 134.
  • FIG. 6 is cross sectional views of an alternative embodiment of an apparatus 800 of the invention for electroless deposition, electroless polishing, or combinations thereof, of a material on the substrate surface. An electroless deposition does not normally require the presence of an anode for deposition of a material. The apparatus 800 discloses an enclosure 834 that typically includes a diffuser plate 844 and a polishing article 828 disposed therein in a contact position 820 with substrate 822 disposed in carrier assembly 830 described above in FIG. 1. The contact position may be defined as a distance between the substrate 822 and the polishing article of about 100 μm or less.
  • The polishing article 828, such as the round polishing pad 140 described herein, is disposed and supported in the electrolyte cell on the diffuser plate 844. The partial enclosure 834 can be a bowl shaped member made of a plastic such as fluoropolymers, TEFLON®, PFA, PE, PES, or other materials that are compatible with plating chemistries. The enclosure 834 generally defines a container or electrolyte cell in which an electrolyte or other polishing/deposition fluid can be confined. The electrolyte used in processing the substrate 822 can include metals such as copper, nickel or other materials which can be electroless deposited onto a substrate.
  • The electrolyte is circulated into and out of the enclosure 834 to provide sufficient concentration of material to the substrate surface for processing. The electrolyte is typically provided to the enclosure 834 via a fluid delivery line 840 having an outlet 842 positioned above the polishing article 828. The electrolyte outlet from the enclosure 834 is not shown. In one aspect, the partial enclosure 834 can be initially filled with electrolyte prior to substrate processing and can then circulate the electrolyte into and out of the partial enclosure.
  • In operation, the polishing article 28 is disposed in an electrolyte in the enclosure 34. The substrate 22 on the carrier is disposed in the electrolyte and contacted with the polishing article. Electrolyte flow through the passages of the polishing article 28 and is distributed on the substrate surface by the grooves 142. Conductive material, such as copper, in the electrolyte is then deposited by an electrochemical method, such as electroless deposition or electroplating. The substrate 22 and polishing article 28 are rotated relative to one another polishing the substrate surface. A pressure between of about 2 psi or less is used between the substrate 22 and the polishing article 28.
  • In an electroplating deposition process, a current in the range of about 0.5 amps to about 5 amps is applied to the substrate to deposit a seed layer or fill layer on the substrate adjacent to or in contact with the polishing article 28. Additionally, the current my vary depending upon the features to be filled, and it is contemplated that a current of up to about 20 amps may be used to fill features. For example, the current may be applied by a pulse modulation, or pulse plating method, to enhanced voidless fill of high aspect ratios. The pulse plating method typically provides an electrical pulse modification technique including applying a constant current density over the substrate for a first time period, than applying a constant reverse current density over the substrate for a second time period, and repeating the first and second steps to fill the structure. After the structure has been filled using this pulse modulation process, a constant current density may be applied over the substrate to deposit a metal layer over the substrate. The pulse modulation process is more fully described in U.S. patent application Ser. No. 09/569,833 (Attorney Docket No. AMAT/003864), entitled “Electrochemical Deposition For High Aspect Ratio Structures Using Electrical Pulse Modulation”, filed on May 11, 2000, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference in its entirety to the extent not inconsistent with the invention.
  • For an electroless deposition, the electrolyte is flowed through the passages 146 and distributed by the grooves 142 and exposed to a conductive material on the substrate surface that acts as a catalyst to deposit material on the substrate 22. An example of an electroless deposition technique is more fully described in descriptions of the electroless deposition process in Chapter 31 of Modern Electroplating, F. Lowenheim, (3d ed.) and in U.S. Pat. No. 5,891,513, and in U.S. Pat. No. 6,258,223 (Attorney Docket No. 003698), filed on Jul. 9, 1999 and issued on Jul. 10, 2001, the latter assigned to common assignee Applied Materials, Inc., and which are hereby incorporated by reference in their entirety to the extent not inconsistent with the invention.
  • FIG. 7 depicts one embodiment of a processing apparatus 1000 having at least one plating station 1002 and at least one conventional polishing or buffing station 1006. One polishing tool that may be adapted to benefit from the invention is a MIRRA® chemical mechanical polisher available from Applied Materials, Inc. located in Santa Clara, Calif. The exemplary apparatus 1000 generally comprises a factory interface 1008, a loading robot 1010, and a depositing and planarizing module 1012, described as apparatus 20 in FIG. 1. Generally, the loading robot 1010 is disposed proximate the factory interface 1008 and the depositing and planarizing module 1012 to facilitate the transfer of substrates 22 therebetween.
  • The factory interface 1008 generally includes a cleaning module 1014 and one or more wafer cassettes 1016. An interface robot 1018 is employed to transfer substrates 22 between the wafer cassettes 1016, the cleaning module 1014 and an input module 1020. The input module 1020 is positioned to facilitate transfer of substrates 22 between the depositing and planarizing module 1012 and the factory interface 1008 by the loading robot 1010. For example, unprocessed substrates 22 retrieved from the cassettes 1016 by the interface robot 1018 may be transferred to the input module 1020 where the substrates 22 may be accessed by the loading robot 1010 while processed substrates 22 returning from the depositing and planarizing module 1012 may be placed in the input module 1020 by the loading robot 1010. Processed substrates 22 are typically passed from the input module 1020 through the cleaning module 1014 before the factory interface robot 1018 returns the cleaned substrates 22 to the cassettes 1016. An example of such a factory interface 1008 that may be used to advantage is disclosed in U.S. patent application Ser. No. 09/547,189 (Attorney Docket No. 003651), filed Apr. 11, 2000, which issued as U.S. Pat. No. 6,361,422 on Mar. 26, 2002, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference.
  • The loading robot 1010 is generally positioned proximate the factory interface 1008 and the depositing and planarizing module 1012 such that the range of motion provided by the robot 1010 facilitates transfer of the substrates 22 therebetween. An example of a loading robot 1010 is a 4-Link robot, manufactured by Kensington Laboratories, Inc., located in Richmond, Calif. The exemplary loading robot 1010 has a gripper 1011 that may orientate the substrate 22 in either a vertical or a horizontal orientation.
  • The exemplary depositing and planarizing module 1012 has a transfer station 1022 and a carousel 1034 in addition to the plating station 1002 and the polishing station 1006, all of which are disposed on a machine base 1026. The depositing and planarizing module 1012 may comprise one polishing module and two plating modules. Alternatively, the depositing and planarizing module 1012 may comprise one plating module and two polishing modules. In a further alternative, a polishing module 1120 may be provided for polishing a substrate following processing by the methods described herein or in the apparatus described herein.
  • In one embodiment, the transfer station 1022 comprises at least an input buffer station 1028, an output buffer station 1030, a transfer robot 1032, and a load cup assembly 1024. The loading robot 1010 places the substrate 22 onto the input buffer station 1028. The transfer robot 1032 has two gripper assemblies, each having pneumatic gripper fingers that grab the substrate 22 by the substrate's edge. The transfer robot 1032 lifts the substrate 22 from the input buffer station 1028 and rotates the gripper and substrate 22 to position the substrate 22 over the load cup assembly 1034, then places the substrate 22 down onto the load cup assembly 1024. An example of a transfer station that may be used to advantage is described by Tobin in U.S. Pat. No. 6,156,124 (Attorney Docket No. 003651.02), which was filed Oct. 6, 1999 and issued Dec. 5, 2000, assigned to common assignee Applied Materials, Inc., and which is hereby incorporated by reference.
  • The carousel 1034 is generally described in U.S. Pat. No. 5,804,507 (Attorney Docket No. 00881D1), issued Sep. 8, 1998 to Tolles et al. and is hereby incorporated herein by reference in its entirety. Generally, the carousel 1034 is centrally disposed on the base 1026. The carousel 1034 typically includes a plurality of arms 1036. The arms 1036 generally each supporting a polishing head 1038 while one arm supports a carrier head assembly 1004. One of the arms 1036 is shown in phantom such that the transfer station 1022 may be seen. The carousel 1034 is indexable such that the polishing head 1038 and carrier head 1004 may be moved between the modules 1002, 1006 and the transfer station 1022.
  • Generally the polishing head 1038 retains the substrate 22 while pressing the substrate against a polishing material (not shown) disposed on the polishing stations 1006. The polishing station 1006 generally rotates to provide a relative motion between the substrate 22 retained by the polishing head 1038 and the polishing material. Typically, a polishing fluid is provided to assist in the material removal from the substrate 22. One polishing head that may be utilized is a TITAN HEAD™ wafer carrier manufactured by Applied Materials, Inc., Santa Clara, Calif.
  • FIG. 8 depicts a sectional view of the substrate carrier head assembly 1004 supported above the plating station 1006. In one embodiment, the substrate carrier head assembly 1004 is substantially similar to the substrate carrier assembly 30 described above and including head assembly 78, a seat assembly 76, enclosure 34, and polishing article 28 as shown in FIGS. 1 and 8. Similarly, the plating station 1006 includes a partial enclosure 1102 that defines an electrolyte cell to facilitate metal deposition on the substrate 22 that is substantially similar to the enclosure 30 described above. The enclosure 1102 of the plating station 1006 is coupled to a motor that provides rotation of the enclosure 1102.
  • The arrangement of the plating stations 1006 and polishing stations 1002 on the depositing and planarizing module 1012 allow for the substrate 22 to be sequentially plated or polishing by moving the substrate between stations. The substrate 22 may be processed in each station 1002, 1006 while remaining in it respective head or carrier 1038, 1004, or the substrate may be switched between heads by offloading the substrate from one head into the load cup and loading the substrate into the other polishing head. Optionally, the depositing and planarizing module 1012 may comprise only one type of head may be utilized (i.e., all polishing heads 1038 or all carrier heads 1004).
  • While foregoing is directed to various embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (24)

1. An article of manufacture for depositing and planarizing a material on a substrate, comprising:
a polishing article having center portion and a perimeter portion defining a polishing surface;
a plurality of passages formed through the polishing article for flow of material therethrough; and
a plurality of grooves disposed in the polishing surface.
2. The article of claim 1, wherein at least one of the plurality of passages intersects with at least one of the plurality of grooves on the polishing surface.
3. The article of claim 1, wherein each of the passages has a diameter of between about 0.016 and about 0.5 inches and are disposed between about 0.1 inches and about 1.0 inches from one another.
4. The article of claim 1, wherein the plurality of grooves form a pattern comprising substantially circular concentric grooves, an X-Y pattern, or a triangular pattern on the polishing surface.
5. The article of claim 1, wherein a portion of the plurality of grooves are non-intersecting and are spaced between about 0.03 inches and about 0.3 inches apart.
6. The article of claim 1, wherein the polishing article is disposed on a perforated sub-pad.
7. The article of claim 6, wherein the perforated sub-pad comprises a plurality of pores disposed therein for flow of material therethrough and the plurality of pores of the perforated sub-pad and the plurality of passages in the polishing article are aligned for flow of material through the perforated sub-pad and the polishing article.
8. The article of claim 1, wherein the polishing article comprises a conductive material or a dielectric material having conductive elements disposed therein.
9. The article of claim 1, wherein the center portion is conductive and the perimeter portion is dielectric.
10. An article of manufacture for depositing and planarizing a material on a substrate, comprising:
a polishing article having a polishing surface and a plurality of holes disposed at least partially through the polishing article; and
a plurality of grooves disposed in the polishing surface, wherein an upper end of each of the plurality of holes is recessed below the polishing surface.
11. The article of claim 10, wherein the polishing surface is conductive.
12. The article of claim 10, wherein the polishing surface is a conductive polymer.
13. The article of claim 10, wherein the polishing surface comprises a dielectric portion and a conductive portion.
14. The article of claim 10, wherein the polishing article comprises a perimeter portion and a central opening, wherein the perimeter portion is dielectric and the central opening is adapted to receive one or more conductive elements.
15. The article of claim 10, wherein the plurality of grooves form a pattern comprising substantially circular concentric grooves, an X-Y pattern, or a triangular pattern on the polishing surface.
16. The article of claim 10, wherein a portion of the plurality of grooves are non-intersecting and are spaced between about 0.03 inches and about 0.3 inches apart.
17. The article of claim 10, wherein the polishing article is disposed on a perforated sub-pad.
18. A system for processing a substrate, comprising:
a platform having a rotating support;
a conductive layer coupled to the rotating support;
a polishing article coupled to the conductive layer with a sub-pad therebetween, the polishing article comprising:
a conductive polishing surface having a plurality of grooves formed therein, each of the plurality of grooves having a bottom, wherein a plurality of holes extend through the polishing article and intersects with the bottom of a portion of the plurality of grooves.
19. The system of claim 18, wherein the conductive polishing surface includes a conductive polymer.
20. The system of claim 18, wherein the sub-pad is dielectric.
21. The system of claim 18, wherein the plurality of grooves form a pattern comprising substantially circular concentric grooves, an X-Y pattern, or a triangular pattern on the polishing surface.
22. The system of claim 18, wherein a portion of the plurality of grooves are non-intersecting and are spaced between about 0.03 inches and about 0.3 inches apart.
23. The system of claim 18, wherein the polishing article comprises a conductive material or a dielectric material having conductive elements disposed therein.
24. The system of claim 18, wherein the sub-pad is perforated.
US11/418,557 2000-12-22 2006-05-05 Perforation and grooving for polishing articles Abandoned US20070066200A9 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/418,557 US20070066200A9 (en) 2000-12-22 2006-05-05 Perforation and grooving for polishing articles

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US25816200P 2000-12-22 2000-12-22
US10/026,854 US7059948B2 (en) 2000-12-22 2001-12-20 Articles for polishing semiconductor substrates
US11/418,557 US20070066200A9 (en) 2000-12-22 2006-05-05 Perforation and grooving for polishing articles

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/026,854 Division US7059948B2 (en) 2000-02-17 2001-12-20 Articles for polishing semiconductor substrates

Publications (2)

Publication Number Publication Date
US20060217049A1 US20060217049A1 (en) 2006-09-28
US20070066200A9 true US20070066200A9 (en) 2007-03-22

Family

ID=26701736

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/026,854 Expired - Fee Related US7059948B2 (en) 2000-02-17 2001-12-20 Articles for polishing semiconductor substrates
US11/418,557 Abandoned US20070066200A9 (en) 2000-12-22 2006-05-05 Perforation and grooving for polishing articles

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/026,854 Expired - Fee Related US7059948B2 (en) 2000-02-17 2001-12-20 Articles for polishing semiconductor substrates

Country Status (1)

Country Link
US (2) US7059948B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9180570B2 (en) 2008-03-14 2015-11-10 Nexplanar Corporation Grooved CMP pad
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US7204924B2 (en) * 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US7427337B2 (en) * 1998-12-01 2008-09-23 Novellus Systems, Inc. System for electropolishing and electrochemical mechanical polishing
US6355153B1 (en) * 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US7678245B2 (en) 2000-02-17 2010-03-16 Applied Materials, Inc. Method and apparatus for electrochemical mechanical processing
US7670468B2 (en) 2000-02-17 2010-03-02 Applied Materials, Inc. Contact assembly and method for electrochemical mechanical processing
US6852208B2 (en) 2000-03-17 2005-02-08 Nutool, Inc. Method and apparatus for full surface electrotreating of a wafer
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) * 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
TWI222154B (en) * 2001-02-27 2004-10-11 Asm Nutool Inc Integrated system for processing semiconductor wafers
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7070480B2 (en) 2001-10-11 2006-07-04 Applied Materials, Inc. Method and apparatus for polishing substrates
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
US20040056333A1 (en) * 2002-09-24 2004-03-25 Lin Hung Chang Electromagnetic traps in integrated circuit for minimizing cross-talk
WO2004046835A2 (en) 2002-11-15 2004-06-03 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US20040259479A1 (en) * 2003-06-23 2004-12-23 Cabot Microelectronics Corporation Polishing pad for electrochemical-mechanical polishing
US20050173259A1 (en) * 2004-02-06 2005-08-11 Applied Materials, Inc. Endpoint system for electro-chemical mechanical polishing
US7654885B2 (en) * 2003-10-03 2010-02-02 Applied Materials, Inc. Multi-layer polishing pad
US8066552B2 (en) * 2003-10-03 2011-11-29 Applied Materials, Inc. Multi-layer polishing pad for low-pressure polishing
US20050167266A1 (en) * 2004-02-02 2005-08-04 Cabot Microelectronics Corporation ECMP system
US7438795B2 (en) * 2004-06-10 2008-10-21 Cabot Microelectronics Corp. Electrochemical-mechanical polishing system
US20070153453A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Fully conductive pad for electrochemical mechanical processing
EP1839695A1 (en) * 2006-03-31 2007-10-03 Debiotech S.A. Medical liquid injection device
US20070235344A1 (en) * 2006-04-06 2007-10-11 Applied Materials, Inc. Process for high copper removal rate with good planarization and surface finish
US20070251832A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance
US8500985B2 (en) * 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US20080092947A1 (en) * 2006-10-24 2008-04-24 Applied Materials, Inc. Pulse plating of a low stress film on a solar cell substrate
US7704352B2 (en) * 2006-12-01 2010-04-27 Applied Materials, Inc. High-aspect ratio anode and apparatus for high-speed electroplating on a solar cell substrate
US20080128019A1 (en) * 2006-12-01 2008-06-05 Applied Materials, Inc. Method of metallizing a solar cell substrate
US7736928B2 (en) * 2006-12-01 2010-06-15 Applied Materials, Inc. Precision printing electroplating through plating mask on a solar cell substrate
US7799182B2 (en) 2006-12-01 2010-09-21 Applied Materials, Inc. Electroplating on roll-to-roll flexible solar cell substrates
US8012000B2 (en) * 2007-04-02 2011-09-06 Applied Materials, Inc. Extended pad life for ECMP and barrier removal
JP2008290197A (en) * 2007-05-25 2008-12-04 Nihon Micro Coating Co Ltd Polishing pad and method
US8143166B2 (en) * 2008-03-11 2012-03-27 Globalfoundries Singapore Pte. Ltd. Polishing method with inert gas injection
US20100126849A1 (en) * 2008-11-24 2010-05-27 Applied Materials, Inc. Apparatus and method for forming 3d nanostructure electrode for electrochemical battery and capacitor
JP2012222107A (en) * 2011-04-07 2012-11-12 Toshiba Corp Semiconductor device manufacturing method
JP5620465B2 (en) * 2012-12-28 2014-11-05 東洋ゴム工業株式会社 Circular polishing pad
US9415479B2 (en) * 2013-02-08 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive chemical mechanical planarization polishing pad
SG10201508329UA (en) 2014-10-10 2016-05-30 Ebara Corp Buffing apparatus and substrate processing apparatus
JP7032217B2 (en) * 2018-04-05 2022-03-08 株式会社ディスコ Polishing equipment
CN113070802B (en) * 2021-04-06 2022-03-11 安徽禾臣新材料有限公司 Wax-free pad for semiconductor polishing and production method thereof

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2112691A (en) * 1936-01-30 1938-03-29 Pyrene Mfg Co Electroplating anode unit
US2240265A (en) * 1937-03-30 1941-04-29 John S Nachtman Method of continuously tin plating ferrous metal stock
US2392687A (en) * 1943-02-15 1946-01-08 John S Nachtman Apparatus for electroplating wire
US2458676A (en) * 1947-07-22 1949-01-11 Brenner Abner Apparatus for electroplating
US2461556A (en) * 1943-04-01 1949-02-15 Carnegie Illinois Steel Corp Method and apparatus for the electrolytic coating of metal strip
US2495695A (en) * 1944-05-08 1950-01-31 Kenmore Metals Corp Electroplating apparatus
US2500206A (en) * 1946-06-29 1950-03-14 Cleveland Graphite Bronze Co Apparatus for plating
US2500205A (en) * 1945-04-12 1950-03-14 Cleveland Graphite Bronze Co Method of plating
US2503863A (en) * 1943-11-18 1950-04-11 Siegfried G Bart Apparatus for electroplating the inside of pipes
US2506794A (en) * 1945-11-23 1950-05-09 Revere Copper & Brass Inc Apparatus for electroplating
US2509304A (en) * 1944-02-24 1950-05-30 Nat Steel Corp Method and apparatus for electrolytic coating of strip material
US2536912A (en) * 1944-07-12 1951-01-02 Ibm Electrolysis etching device
US2539898A (en) * 1946-08-16 1951-01-30 Udylite Corp Electrical contact mechanism for plating machines
US2540175A (en) * 1947-02-11 1951-02-06 Rosenqvist Gunnar Manufacture by electrodeposition
US2544510A (en) * 1943-10-23 1951-03-06 Nat Steel Corp Apparatus and method for plating strips
US2549678A (en) * 1946-08-23 1951-04-17 Conn Ltd C G Method of and apparatus for electroforming metal articles
US2554943A (en) * 1945-10-25 1951-05-29 Bethlehem Steel Corp Electroplating apparatus
US2587630A (en) * 1949-07-28 1952-03-04 Sulphide Ore Process Company I Method for electrodeposition of iron in the form of continuous strips
US2633452A (en) * 1950-05-03 1953-03-31 Jr George B Hogaboom Strainer bags for enclosing electroplating anodes
US2673836A (en) * 1950-11-22 1954-03-30 United States Steel Corp Continuous electrolytic pickling and tin plating of steel strip
US2674550A (en) * 1950-09-05 1954-04-06 Kolene Corp Apparatus and method for processing of steel strip continuously
US2675348A (en) * 1950-09-16 1954-04-13 Greenspan Lawrence Apparatus for metal plating
US2698832A (en) * 1951-03-20 1955-01-04 Standard Process Corp Plating apparatus
US2706173A (en) * 1950-10-12 1955-04-12 Harold R Wells Apparatus for electro-plating crankshaft journals
US2706175A (en) * 1949-03-18 1955-04-12 Electro Metal Hardening Co S A Apparatus for electroplating the inner surface of a tubular article
US2708445A (en) * 1952-07-11 1955-05-17 Nat Standard Co Wire processing apparatus
US3433730A (en) * 1965-04-28 1969-03-18 Gen Electric Electrically conductive tool and method for making
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US4082638A (en) * 1974-09-19 1978-04-04 Jumer John F Apparatus for incremental electro-processing of large areas
US4312716A (en) * 1980-11-21 1982-01-26 Western Electric Co., Inc. Supporting an array of elongate articles
US5011510A (en) * 1988-10-05 1991-04-30 Mitsui Mining & Smelting Co., Ltd. Composite abrasive-articles and manufacturing method therefor
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5203884A (en) * 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5605760A (en) * 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5633068A (en) * 1994-10-14 1997-05-27 Fuji Photo Film Co., Ltd. Abrasive tape having an interlayer for magnetic head cleaning and polishing
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5871655A (en) * 1998-03-19 1999-02-16 International Business Machines Corporation Integrated conductor magnetic recording head and suspension having cross-over integrated circuits for noise reduction
US5871392A (en) * 1996-06-13 1999-02-16 Micron Technology, Inc. Under-pad for chemical-mechanical planarization of semiconductor wafers
US5882491A (en) * 1996-01-02 1999-03-16 Skf Industrial Trading & Development Company B.V. Electrode for electrochemical machining, method of electrochemical machining with said electrode, a bearing and a method of determining a profile using said electrode
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5898222A (en) * 1995-05-12 1999-04-27 International Business Machines Corporation Capped copper electrical interconnects
US5899799A (en) * 1996-01-19 1999-05-04 Micron Display Technology, Inc. Method and system to increase delivery of slurry to the surface of large substrates during polishing operations
US6017265A (en) * 1995-06-07 2000-01-25 Rodel, Inc. Methods for using polishing pads
US6020264A (en) * 1997-01-31 2000-02-01 International Business Machines Corporation Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing
US6019666A (en) * 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US6033293A (en) * 1997-10-08 2000-03-07 Lucent Technologies Inc. Apparatus for performing chemical-mechanical polishing
US6036586A (en) * 1998-07-29 2000-03-14 Micron Technology, Inc. Apparatus and method for reducing removal forces for CMP pads
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US6056851A (en) * 1996-06-24 2000-05-02 Taiwan Semiconductor Manufacturing Company Slurry supply system for chemical mechanical polishing
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6176998B1 (en) * 1996-05-30 2001-01-23 Skf Engineering And Research Centre B.V. Method of electrochemically machining a bearing ring
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6210257B1 (en) * 1998-05-29 2001-04-03 Micron Technology, Inc. Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates
US6217426B1 (en) * 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6238271B1 (en) * 1999-04-30 2001-05-29 Speed Fam-Ipec Corp. Methods and apparatus for improved polishing of workpieces
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US20020011417A1 (en) * 1999-04-03 2002-01-31 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US20020020621A1 (en) * 2000-01-14 2002-02-21 Uzoh Cyprian Emeka Semiconductor workpiece proximity plating apparatus
US6351422B2 (en) * 1998-09-28 2002-02-26 Infineon Technologies Ag Integrated memory having a differential sense amplifier
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US6358122B1 (en) * 1999-08-31 2002-03-19 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic substrates with metal compound abrasives
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6372001B1 (en) * 1997-10-09 2002-04-16 3M Innovative Properties Company Abrasive articles and their preparations
US6381169B1 (en) * 1999-07-01 2002-04-30 The Regents Of The University Of California High density non-volatile memory device
US20030013397A1 (en) * 2001-06-27 2003-01-16 Rhoades Robert L. Polishing pad of polymer coating
US6515493B1 (en) * 2000-04-12 2003-02-04 Speedfam-Ipec Corporation Method and apparatus for in-situ endpoint detection using electrical sensors
US6515336B1 (en) * 1999-09-17 2003-02-04 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having tapered gate electrode and taped insulating film
US6517426B2 (en) * 2001-04-05 2003-02-11 Lam Research Corporation Composite polishing pad for chemical-mechanical polishing
US6520843B1 (en) * 1999-10-27 2003-02-18 Strasbaugh High planarity chemical mechanical planarization
US20030034131A1 (en) * 2001-08-16 2003-02-20 Inha Park Chemical mechanical polishing pad having wave shaped grooves
US20030040188A1 (en) * 2001-08-24 2003-02-27 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6537140B1 (en) * 1997-05-14 2003-03-25 Saint-Gobain Abrasives Technology Company Patterned abrasive tools
US6551179B1 (en) * 1999-11-05 2003-04-22 Strasbaugh Hard polishing pad for chemical mechanical planarization
US6685548B2 (en) * 2000-06-29 2004-02-03 International Business Machines Corporation Grooved polishing pads and methods of use
US20040023610A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040026786A1 (en) * 2001-12-19 2004-02-12 Intel Corporation Semiconductor device using an interconnect
US20040082289A1 (en) * 2000-02-17 2004-04-29 Butterfield Paul D. Conductive polishing article for electrochemical mechanical polishing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE333311A (en) 1925-05-23
US1927162A (en) 1931-02-27 1933-09-19 Research Corp Electroplating
US2431065A (en) 1938-12-12 1947-11-18 Meaker Company Continuous wire and strip electro-processing machine
US2453481A (en) 1944-03-14 1948-11-09 Nat Steel Corp Anode for electrolytic coating
US2490055A (en) 1944-03-30 1949-12-06 Nat Steel Corp Metal strip electroplating apparatus
US2569578A (en) 1944-08-07 1951-10-02 Nat Steel Corp Apparatus for electrocoating striplike material
US2480022A (en) 1944-10-07 1949-08-23 George B Hogaboom Rotary barrel
US2473290A (en) * 1944-10-21 1949-06-14 George E Millard Apparatus for plating journals of crankshafts
US2456185A (en) 1944-11-23 1948-12-14 Gen Motors Corp Electroplating apparatus
US2517907A (en) 1945-01-05 1950-08-08 Conmar Prod Corp Apparatus for electrotreating metal slide fasteners
US2454935A (en) 1945-06-27 1948-11-30 Meaker Company Continuous wire and strip electroprocessing machine
NL69965C (en) 1945-08-10
US2619454A (en) 1945-08-30 1952-11-25 Brush Dev Co Method of manufacturing a magnetic recording medium by electrodeposition
US2530677A (en) 1946-01-17 1950-11-21 Edward L Berkenkotter Apparatus for plating crankshafts
US2457510A (en) 1946-01-23 1948-12-28 Delbert G Van Ornum Electroplating apparatus
US2519945A (en) 1946-01-25 1950-08-22 Gen Electric Electroplating apparatus
US2477808A (en) 1946-05-08 1949-08-02 Carl G Jones Electrolytic apparatus for treatment of moving strip
US2576074A (en) 1946-06-11 1951-11-20 John S Nachtman Method and apparatus for continuous strip metal treatment
US2479323A (en) 1946-06-13 1949-08-16 Udylite Corp Plating machine
US2512328A (en) * 1946-06-28 1950-06-20 Armco Steel Corp Continuous electroplating device
US2560534A (en) 1946-07-12 1951-07-17 Nat Standard Co Method of operating a continuous electroplating system
US2556017A (en) * 1947-01-29 1951-06-05 Edwin E Vonada Electrolytic method and apparatus for cleaning strip
US2535966A (en) 1947-02-07 1950-12-26 Teplitz Alfred Electrolytic apparatus for cleaning strip
US2569577A (en) 1947-05-09 1951-10-02 Nat Steel Corp Method of and apparatus for electroplating
US2560966A (en) 1947-07-31 1951-07-17 Revere Copper & Brass Inc Method of electroplating copper clad stainless steel cooking vessels
US2571709A (en) 1947-08-26 1951-10-16 Western Electric Co Apparatus for electroplating articles
US2646398A (en) 1948-10-08 1953-07-21 Gen Motors Corp Electroprocessing apparatus
US2689215A (en) 1949-07-13 1954-09-14 Siegfried G Bart Method and apparatus for plating pipe
US2656283A (en) 1949-08-31 1953-10-20 Ohio Commw Eng Co Method of plating wire
US2656284A (en) 1949-09-07 1953-10-20 Ohio Commw Eng Co Method of plating rolled sheet metal
US2657457A (en) 1949-09-10 1953-11-03 Ohio Commw Eng Co Continuous metal production and continuous gas plating
US2684939A (en) 1949-12-17 1954-07-27 Time Inc Apparatus for plating chromium
US2657177A (en) 1950-07-10 1953-10-27 United States Steel Corp Plating thickness regulator
US2680710A (en) 1950-09-14 1954-06-08 Kenmore Metal Corp Method and apparatus for continuously electroplating heavy wire and similar strip material
US2696859A (en) 1950-12-16 1954-12-14 Gildo J Somma Screw driver attachment
US2695269A (en) 1951-03-02 1954-11-23 United States Steel Corp Apparatus for electroplating wire
US2711993A (en) 1951-05-01 1955-06-28 Lyon George Albert Apparatus for conveying cylindrical articles through a bath
US2710834A (en) 1951-10-27 1955-06-14 Vrilakas Marcus Apparatus for selective plating
US3162588A (en) 1961-04-17 1964-12-22 Hammond Machinery Builders Inc Belt type electrolytic grinding machine
US3334041A (en) 1964-08-28 1967-08-01 Norton Co Coated abrasives
US3476677A (en) 1965-02-15 1969-11-04 Carbond Corp Electrolytic grinding tools
US3448023A (en) 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
AU3308268A (en) 1968-02-05 1970-03-12 Raynors Pty. Limited Plating and anodising bath racks
US3992178A (en) 1973-04-17 1976-11-16 Fabrika Ab Eka Flexible coated abrasive with graphite outer layer
US4047902A (en) 1975-04-01 1977-09-13 Wiand Richard K Metal-plated abrasive product and method of manufacturing the product
GB1539309A (en) 1976-12-14 1979-01-31 Inoue Japax Res Electrochemical polishing
US4119515A (en) 1977-03-28 1978-10-10 National Steel Corporation Apparatus for electroplating sheet metals
US4523411A (en) 1982-12-20 1985-06-18 Minnesota Mining And Manufacturing Company Wet surface treating device and element therefor
US4704511A (en) 1985-10-17 1987-11-03 Inoue-Japax Research Incorporated Traveling-wire electroerosion machine with swiveling nozzle assembly
JPS62127492A (en) 1985-11-26 1987-06-09 Shigeo Hoshino Electroplating method using carbon fiber
ES2024439B3 (en) 1986-02-28 1992-03-01 Schering Ag Berlin Und Bergkamen EXTENDED FRAMES AND CORRESPONDING PARTS FOR THE RELEASABLE FIXATION OF PRINTED CIRCUIT PLATES TO BE GALVANIZED, AND CORRESPONDING PRINTED CIRCUIT PLATES.
US4772361A (en) 1987-12-04 1988-09-20 Dorsett Terry E Application of electroplate to moving metal by belt plating
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
JPH01193166A (en) 1988-01-28 1989-08-03 Showa Denko Kk Pad for specularly grinding semiconductor wafer
US4934102A (en) 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
CH678156A5 (en) 1989-03-20 1991-08-15 Exnii Metallorezh Stankov
US5061294A (en) 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5136817A (en) 1990-02-28 1992-08-11 Nihon Dempa Kogyo Co., Ltd. Automatic lapping apparatus for piezoelectric materials
US5137542A (en) 1990-08-08 1992-08-11 Minnesota Mining And Manufacturing Company Abrasive printed with an electrically conductive ink
US5066370A (en) 1990-09-07 1991-11-19 International Business Machines Corporation Apparatus, electrochemical process, and electrolyte for microfinishing stainless steel print bands
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5238716A (en) 1991-07-19 1993-08-24 Yutaka Adachi Composite beam having a hollow cross section
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5328716A (en) * 1992-08-11 1994-07-12 Minnesota Mining And Manufacturing Company Method of making a coated abrasive article containing a conductive backing
US5554064A (en) * 1993-08-06 1996-09-10 Intel Corporation Orbital motion chemical-mechanical polishing apparatus and method of fabrication
US5921855A (en) * 1997-05-15 1999-07-13 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing system
US6273806B1 (en) * 1997-05-15 2001-08-14 Applied Materials, Inc. Polishing pad having a grooved pattern for use in a chemical mechanical polishing apparatus
US6254456B1 (en) * 1997-09-26 2001-07-03 Lsi Logic Corporation Modifying contact areas of a polishing pad to promote uniform removal rates
JPH11111656A (en) * 1997-09-30 1999-04-23 Nec Corp Manufacture of semiconductor device
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
JP2000141215A (en) * 1998-11-05 2000-05-23 Sony Corp Flattening grinding device and its method
US6322422B1 (en) * 1999-01-19 2001-11-27 Nec Corporation Apparatus for accurately measuring local thickness of insulating layer on semiconductor wafer during polishing and polishing system using the same
US6296557B1 (en) * 1999-04-02 2001-10-02 Micron Technology, Inc. Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6569004B1 (en) * 1999-12-30 2003-05-27 Lam Research Polishing pad and method of manufacture
US6383066B1 (en) * 2000-06-23 2002-05-07 International Business Machines Corporation Multilayered polishing pad, method for fabricating, and use thereof
US6641471B1 (en) * 2000-09-19 2003-11-04 Rodel Holdings, Inc Polishing pad having an advantageous micro-texture and methods relating thereto
US6257953B1 (en) * 2000-09-25 2001-07-10 Center For Tribology, Inc. Method and apparatus for controlled polishing
US6561889B1 (en) * 2000-12-27 2003-05-13 Lam Research Corporation Methods for making reinforced wafer polishing pads and apparatuses implementing the same
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6887776B2 (en) * 2003-04-11 2005-05-03 Applied Materials, Inc. Methods to form metal lines using selective electrochemical deposition

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2112691A (en) * 1936-01-30 1938-03-29 Pyrene Mfg Co Electroplating anode unit
US2240265A (en) * 1937-03-30 1941-04-29 John S Nachtman Method of continuously tin plating ferrous metal stock
US2392687A (en) * 1943-02-15 1946-01-08 John S Nachtman Apparatus for electroplating wire
US2461556A (en) * 1943-04-01 1949-02-15 Carnegie Illinois Steel Corp Method and apparatus for the electrolytic coating of metal strip
US2544510A (en) * 1943-10-23 1951-03-06 Nat Steel Corp Apparatus and method for plating strips
US2503863A (en) * 1943-11-18 1950-04-11 Siegfried G Bart Apparatus for electroplating the inside of pipes
US2509304A (en) * 1944-02-24 1950-05-30 Nat Steel Corp Method and apparatus for electrolytic coating of strip material
US2495695A (en) * 1944-05-08 1950-01-31 Kenmore Metals Corp Electroplating apparatus
US2536912A (en) * 1944-07-12 1951-01-02 Ibm Electrolysis etching device
US2500205A (en) * 1945-04-12 1950-03-14 Cleveland Graphite Bronze Co Method of plating
US2554943A (en) * 1945-10-25 1951-05-29 Bethlehem Steel Corp Electroplating apparatus
US2506794A (en) * 1945-11-23 1950-05-09 Revere Copper & Brass Inc Apparatus for electroplating
US2500206A (en) * 1946-06-29 1950-03-14 Cleveland Graphite Bronze Co Apparatus for plating
US2539898A (en) * 1946-08-16 1951-01-30 Udylite Corp Electrical contact mechanism for plating machines
US2549678A (en) * 1946-08-23 1951-04-17 Conn Ltd C G Method of and apparatus for electroforming metal articles
US2540175A (en) * 1947-02-11 1951-02-06 Rosenqvist Gunnar Manufacture by electrodeposition
US2458676A (en) * 1947-07-22 1949-01-11 Brenner Abner Apparatus for electroplating
US2706175A (en) * 1949-03-18 1955-04-12 Electro Metal Hardening Co S A Apparatus for electroplating the inner surface of a tubular article
US2587630A (en) * 1949-07-28 1952-03-04 Sulphide Ore Process Company I Method for electrodeposition of iron in the form of continuous strips
US2633452A (en) * 1950-05-03 1953-03-31 Jr George B Hogaboom Strainer bags for enclosing electroplating anodes
US2674550A (en) * 1950-09-05 1954-04-06 Kolene Corp Apparatus and method for processing of steel strip continuously
US2675348A (en) * 1950-09-16 1954-04-13 Greenspan Lawrence Apparatus for metal plating
US2706173A (en) * 1950-10-12 1955-04-12 Harold R Wells Apparatus for electro-plating crankshaft journals
US2673836A (en) * 1950-11-22 1954-03-30 United States Steel Corp Continuous electrolytic pickling and tin plating of steel strip
US2698832A (en) * 1951-03-20 1955-01-04 Standard Process Corp Plating apparatus
US2708445A (en) * 1952-07-11 1955-05-17 Nat Standard Co Wire processing apparatus
US3433730A (en) * 1965-04-28 1969-03-18 Gen Electric Electrically conductive tool and method for making
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US4082638A (en) * 1974-09-19 1978-04-04 Jumer John F Apparatus for incremental electro-processing of large areas
US4312716A (en) * 1980-11-21 1982-01-26 Western Electric Co., Inc. Supporting an array of elongate articles
US5011510A (en) * 1988-10-05 1991-04-30 Mitsui Mining & Smelting Co., Ltd. Composite abrasive-articles and manufacturing method therefor
US5108463B1 (en) * 1989-08-21 1996-08-13 Minnesota Mining & Mfg Conductive coated abrasives
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5203884A (en) * 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5380560A (en) * 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5510216A (en) * 1993-08-25 1996-04-23 Shipley Company Inc. Selective metallization process
US5633068A (en) * 1994-10-14 1997-05-27 Fuji Photo Film Co., Ltd. Abrasive tape having an interlayer for magnetic head cleaning and polishing
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5898222A (en) * 1995-05-12 1999-04-27 International Business Machines Corporation Capped copper electrical interconnects
US6017265A (en) * 1995-06-07 2000-01-25 Rodel, Inc. Methods for using polishing pads
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US5605760A (en) * 1995-08-21 1997-02-25 Rodel, Inc. Polishing pads
US5755859A (en) * 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5882491A (en) * 1996-01-02 1999-03-16 Skf Industrial Trading & Development Company B.V. Electrode for electrochemical machining, method of electrochemical machining with said electrode, a bearing and a method of determining a profile using said electrode
US5899799A (en) * 1996-01-19 1999-05-04 Micron Display Technology, Inc. Method and system to increase delivery of slurry to the surface of large substrates during polishing operations
US6176998B1 (en) * 1996-05-30 2001-01-23 Skf Engineering And Research Centre B.V. Method of electrochemically machining a bearing ring
US5871392A (en) * 1996-06-13 1999-02-16 Micron Technology, Inc. Under-pad for chemical-mechanical planarization of semiconductor wafers
US6056851A (en) * 1996-06-24 2000-05-02 Taiwan Semiconductor Manufacturing Company Slurry supply system for chemical mechanical polishing
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6020264A (en) * 1997-01-31 2000-02-01 International Business Machines Corporation Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing
US6019666A (en) * 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6537140B1 (en) * 1997-05-14 2003-03-25 Saint-Gobain Abrasives Technology Company Patterned abrasive tools
US6033293A (en) * 1997-10-08 2000-03-07 Lucent Technologies Inc. Apparatus for performing chemical-mechanical polishing
US6372001B1 (en) * 1997-10-09 2002-04-16 3M Innovative Properties Company Abrasive articles and their preparations
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US5871655A (en) * 1998-03-19 1999-02-16 International Business Machines Corporation Integrated conductor magnetic recording head and suspension having cross-over integrated circuits for noise reduction
US6210257B1 (en) * 1998-05-29 2001-04-03 Micron Technology, Inc. Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates
US6036586A (en) * 1998-07-29 2000-03-14 Micron Technology, Inc. Apparatus and method for reducing removal forces for CMP pads
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6351422B2 (en) * 1998-09-28 2002-02-26 Infineon Technologies Ag Integrated memory having a differential sense amplifier
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US6180523B1 (en) * 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US20020011417A1 (en) * 1999-04-03 2002-01-31 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6217426B1 (en) * 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US6238271B1 (en) * 1999-04-30 2001-05-29 Speed Fam-Ipec Corp. Methods and apparatus for improved polishing of workpieces
US6381169B1 (en) * 1999-07-01 2002-04-30 The Regents Of The University Of California High density non-volatile memory device
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6358122B1 (en) * 1999-08-31 2002-03-19 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic substrates with metal compound abrasives
US6515336B1 (en) * 1999-09-17 2003-02-04 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having tapered gate electrode and taped insulating film
US6520843B1 (en) * 1999-10-27 2003-02-18 Strasbaugh High planarity chemical mechanical planarization
US6551179B1 (en) * 1999-11-05 2003-04-22 Strasbaugh Hard polishing pad for chemical mechanical planarization
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US20020020621A1 (en) * 2000-01-14 2002-02-21 Uzoh Cyprian Emeka Semiconductor workpiece proximity plating apparatus
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US7207878B2 (en) * 2000-02-17 2007-04-24 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040082289A1 (en) * 2000-02-17 2004-04-29 Butterfield Paul D. Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040023610A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6515493B1 (en) * 2000-04-12 2003-02-04 Speedfam-Ipec Corporation Method and apparatus for in-situ endpoint detection using electrical sensors
US6685548B2 (en) * 2000-06-29 2004-02-03 International Business Machines Corporation Grooved polishing pads and methods of use
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US6517426B2 (en) * 2001-04-05 2003-02-11 Lam Research Corporation Composite polishing pad for chemical-mechanical polishing
US7344432B2 (en) * 2001-04-24 2008-03-18 Applied Materials, Inc. Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US20030013397A1 (en) * 2001-06-27 2003-01-16 Rhoades Robert L. Polishing pad of polymer coating
US20030034131A1 (en) * 2001-08-16 2003-02-20 Inha Park Chemical mechanical polishing pad having wave shaped grooves
US20030040188A1 (en) * 2001-08-24 2003-02-27 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US20040026786A1 (en) * 2001-12-19 2004-02-12 Intel Corporation Semiconductor device using an interconnect
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9180570B2 (en) 2008-03-14 2015-11-10 Nexplanar Corporation Grooved CMP pad
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems

Also Published As

Publication number Publication date
US20020102853A1 (en) 2002-08-01
US7059948B2 (en) 2006-06-13
US20060217049A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
US7059948B2 (en) Articles for polishing semiconductor substrates
US7341649B2 (en) Apparatus for electroprocessing a workpiece surface
US6776693B2 (en) Method and apparatus for face-up substrate polishing
US6884724B2 (en) Method for dishing reduction and feature passivation in polishing processes
US6176992B1 (en) Method and apparatus for electro-chemical mechanical deposition
US6811680B2 (en) Planarization of substrates using electrochemical mechanical polishing
US7297239B2 (en) Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US6773576B2 (en) Anode assembly for plating and planarizing a conductive layer
US6852630B2 (en) Electroetching process and system
US20060219573A1 (en) Apparatus with conductive pad for electroprocessing
EP1520281A2 (en) Low-force electrochemical mechanical processing method and apparatus
US7201828B2 (en) Planar plating apparatus
US6613200B2 (en) Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US7323095B2 (en) Integrated multi-step gap fill and all feature planarization for conductive materials
EP1193330A2 (en) Plating apparatus and plating method for substrate
US6863794B2 (en) Method and apparatus for forming metal layers
US7025860B2 (en) Method and apparatus for the electrochemical deposition and removal of a material on a workpiece surface
JP2005509092A (en) Electrochemical mechanical processing using an advanceable sweeper

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, SHIJIAN;CHEN, LIANG-YUH;DUBOUST, ALAIN;SIGNING DATES FROM 20011220 TO 20020307;REEL/FRAME:017842/0660

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, SHIJIAN;CHEN, LIANG-YUH;DUBOUST, ALAIN;REEL/FRAME:017842/0660;SIGNING DATES FROM 20011220 TO 20020307

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION