US20070077753A1 - Fabrication of via contacts having dual silicide layers - Google Patents

Fabrication of via contacts having dual silicide layers Download PDF

Info

Publication number
US20070077753A1
US20070077753A1 US11/633,299 US63329906A US2007077753A1 US 20070077753 A1 US20070077753 A1 US 20070077753A1 US 63329906 A US63329906 A US 63329906A US 2007077753 A1 US2007077753 A1 US 2007077753A1
Authority
US
United States
Prior art keywords
layer
metal
opening
top surface
silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/633,299
Inventor
Michael Iwatake
Kevin Mello
Matthew Oonk
Amanda Piper
Yun Wang
Keith Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/633,299 priority Critical patent/US20070077753A1/en
Publication of US20070077753A1 publication Critical patent/US20070077753A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • the present invention relates to integrated circuits and their fabrication. More specifically, the invention relates to the structure and fabrication of a via contact to a diffusion region at a top surface of a single-crystal semiconductor region of a substrate.
  • a particular challenge encountered in the fabrication of integrated circuits is the formation of metallic via contacts to diffusion regions (referred to alternatively as “diffusions”) of semiconductor devices.
  • diffusion regions are formed in single-crystal semiconductor regions of a substrate, such that an ohmic contact is made between the metallic material in the via and the semiconductor material of the diffusion region.
  • the challenge is particularly difficult to provide a robust structure and method of forming metallic via contacts having acceptably low contact resistance between the metal and the diffusion region, over all chip locations of an entire wafer.
  • a sufficiently thick layer of a metal silicide e.g., greater than 20-25 nm of cobalt silicide (CoSi 2 ) or nickel monosilicide (NiSi), for example, is provided at a top surface of the diffusion region.
  • a metal silicide e.g., greater than 20-25 nm of cobalt silicide (CoSi 2 ) or nickel monosilicide (NiSi), for example
  • a metal silicide layer is formed in contact with the diffusion region of the substrate, after which an interlevel dielectric (ILD) is formed, typically consisting essentially of a highly flowable, planarizing dielectric such as borophosphosilicate glass (BPSG), undoped silicate glass (USG) or silicon dioxide deposited from a tetraethylorthosilicate (TEOS) precursor.
  • ILD interlevel dielectric
  • BPSG borophosphosilicate glass
  • USG undoped silicate glass
  • TEOS tetraethylorthosilicate
  • the ILD is patterned to form an opening over the metal silicide layer.
  • a sputter clean process is then performed to clean the surface of the pre-existing metal silicide layer to make it ready for the deposition of metallic layers which enhance the conductive contact and to prepare the via to be filled with tungsten by a chemical vapor deposition (CVD) technique.
  • the sputter clean process consumes some of the pre-existing metal silicide layer at the top surface of the single-crystal semiconductor region. In an example, the sputter clean process removes about 8 nm of the metal silicide.
  • a thin layer of a metal such as titanium (Ti) is sputter deposited inside the via opening.
  • a layer of titanium having a thickness of 20 angstroms ( ⁇ ) or less is deposited at the bottom of the opening, the deposited titanium being, illustratively, about 100 ⁇ thick where it overlies the ILD in the field.
  • the Ti layer promotes adhesion to the bottom and sidewalls of the opening.
  • the Ti layer is also used to getter oxygen from a native oxide that forms on the surface of the pre-existing metal silicide layer.
  • the Ti layer used in the conventional process is deposited to a thickness of 20 ⁇ or less because such thickness is sufficient to promote desired adhesion properties and to perform oxygen gettering from the underlying metal suicide layer.
  • a diffusion barrier layer such as titanium nitride (TiN) is CVD deposited onto the underlying Ti layer, as a barrier to the diffusion and electromigration of material to and from the underlying Ti and silicide layers and materials present during subsequent depositions.
  • a metal such as W (tungsten) is deposited, such as by CVD from a tungsten hexaflouride (WF 6 ) precursor, to fill the via after forming the Ti and TiN layers.
  • a Ti layer having a thickness greater than 20 ⁇ is undesirable because the sputter deposition of titanium takes up significant time, impacting the throughput and cost of each wafer.
  • a thicker Ti layer is undesirable because the sputtering process tends to deposit more Ti at the entrance (top) surface of a via, while depositing comparatively less Ti at the bottom of the via. Accordingly, a byproduct of the Ti sputter deposition is a more constricted opening, sometimes having a re-entrant profile, that is more difficult to fill in subsequent depositions to form the TiN diffusion barrier layer and the W metal fill.
  • the W fill metal deposition must be more tightly controlled to prevent voids and pinch-off of the via opening from occurring during deposition. Still another reason limiting the thickness of the Ti layer is a goal of the conventional process to intentionally limit the amount of Ti present in the via.
  • the conventional process intentionally limits the amount of Ti in the via as a way of limiting damage, in case the TiN diffusion barrier layer fails in some areas of the wafer during tungsten CVD. If the TiN layer fails as a barrier, this allows the Ti to interact with the WF 6 precursor gas. However, WF 6 produces volatile compounds when it comes into contact with portions of the Ti layer.
  • the Ti layer is kept intentionally thin for a variety of reasons, all of which are intended to improve the quality of the contact structure.
  • the above-described process only produces acceptable results when the pre-existing silicide layer underlying the ILD, i.e., the CoSi 2 or NiSi layer, is relatively thick, i.e., having a thickness in excess of 20 nm to 25 nm. That thickness is needed in order for the silicide layer under the ILD to remain to a sufficient thickness at locations all over the wafer after the openings in the ILD are subjected to the above-described sputter clean process. If a smaller thickness of the silicide were used, e.g., having a nominal thickness of 10 to 12 nm, the sputter clean process could result in removing the silicide layer entirely in some locations of the wafer. In such case, poor contact to the diffusion region of the semiconductor material would result, having poor contact resistance. This is a problem that needs to be addressed.
  • a method for fabricating a via contact structure contacting a single-crystal semiconductor diffusion region at a top surface of a substrate is provided.
  • a first layer is formed in contact with the diffusion region at the top surface, the first layer consisting essentially of a silicide of a first metal.
  • a dielectric region is formed to overlie the first layer.
  • An opening is etched in the dielectric region extending through the first layer to the diffusion region.
  • a second layer is formed to line the opening, the second layer including a second metal.
  • a conductor is deposited within the opening over the second layer, and the substrate is heated to cause the second metal to form a silicide at the top surface.
  • FIG. 1 is a cross-sectional view illustrating a completed via contact structure according to an embodiment of the invention.
  • FIGS. 2 through 8 further illustrate stages in a method of fabricating a contact via according to an embodiment of the invention.
  • a thinner silicide helps to reduce leakage current of devices that are formed in bulk semiconductor substrates.
  • a thinner silicide also promotes a better contact to the diffusion regions of devices that are formed in a thin semiconductor-on-insulator layer or a silicon-on-insulator layer, both referred to hereinafter as an “SOI” layer.
  • SOI silicon-on-insulator layer
  • a process is provided herein which allows a contact having acceptable contact resistance to be made to the surface of a silicided diffusion region, even when a thinner silicide is provided on the surface of the diffusion region underlying an interlevel dielectric (ILD).
  • a via contact is provided in which a metal-containing layer is formed in an opening in a dielectric layer above the silicided diffusion region, that layer contacting the top surface of the diffusion region in the opening.
  • Such layer includes a metal lining the sidewall of the opening, and a silicide of that metal self-aligned to the top surface of the diffusion region in the opening.
  • a diffusion barrier layer overlies that metal-containing layer in the opening, and a further layer of metal fills the opening overlying the diffusion barrier layer.
  • FIG. 1 A cross-sectional view of a completed via contact 100 according to the invention is illustrated in FIG. 1 .
  • the via contact extends to a top surface 101 of a diffusion region 102 of a single-crystal semiconductor region of a substrate.
  • the substrate is a bulk semiconductor substrate or a semiconductor-on-insulator (SOI) substrate such as a silicon-on-insulator substrate.
  • SOI semiconductor-on-insulator
  • the single-crystal semiconductor region consists essentially of silicon.
  • other semiconductor materials can be utilized such as alloys of silicon, e.g., silicon germanium and silicon carbide.
  • III-V compound semiconductors and/or II-VI compound semiconductors are usable in other embodiments of the invention.
  • the via contact 100 is disposed in an opening 110 in a dielectric region 112 , preferably an interlevel dielectric (ILD), overlying a top surface 101 of the diffusion region 102 of the substrate.
  • the opening has width of between about 50 nm and 400 nm, more preferably between about 100 nm to 200 nm, and most preferably having a width between about 100 nm and 150 nm.
  • the opening has height extending from the outer surface 120 of the ILD 112 to the diffusion region 102 of between about 150 nm and about 600 nm, more preferably between about 200 nm and 500 nm, and most preferably between about 200 nm and 300 nm.
  • the opening 110 and via contact 100 therein are disposed in the ILD 112 overlying a first layer 103 including a silicide of a first metal, the silicide being disposed in contact with the top surface 101 of the diffusion region 102 .
  • the first layer 103 preferably has a thickness of between about 8 nm and 15 nm, and more preferably between about 10 nm and 13 nm. Such thickness is much smaller than the thickness of greater than 20 to 25 nm of CoSi 2 or NiSi that is used in the above-described prior art process.
  • a layer 105 of an etch-distinguishable material is further disposed between the first layer 103 and the ILD 112 . That layer 105 functions as an etch stop layer when the opening 110 is etched in the ILD 112 , to stop the etching process from proceeding to etch the diffusion region 102 after the etched opening penetrates the ILD 112 .
  • a suitable material for the etch stop layer 105 is silicon nitride, when the ILD 112 includes an oxide such as the various forms of silicon oxides, e.g., BPSG, USG, or a TEOS oxide, that are typically used for that purpose, as discussed above in the background.
  • the etch stop layer 105 can consist essentially of any material to which etch selectivity can be achieved when etching the overlying ILD 112 .
  • the thickness of the etch stop layer 105 is illustratively between about 2 nm and 30 nm, more preferably between about 5 nm and 15 nm, and most preferably about 7 to 10 nm.
  • the via contact 100 includes a second layer 104 of material contacting a top surface 101 of the diffusion region 102 , the second layer 104 including a layer 106 consisting essentially of a second metal lining the sidewalls of the opening 110 , and also including a silicide 108 of the second metal that is disposed in contact with the top surface 101 of the diffusion region 102 .
  • the layer 106 consists essentially of a silicide-forming metal such as titanium (Ti), cobalt (Co), nickel (Ni), tantalum (Ta), platinum (Pt), tungsten (W) or combination thereof, and layer 108 includes the silicide of that metal.
  • examples of a material included in the silicide layer 108 include, but are not limited to: TiSi x , CoSi x , TiCoSi x , NiSi, NiCoSi x , TaSi 2 , PtSi 2 , and WSi x .
  • the silicide layer 108 can include materials such as one or more of the silicides including, but not limited to: TiSi x Ge y , CoSi x Ge y , TiCoSi x Ge y , NiSi x Ge y , NiCoSi x Ge y , TaSi x Ge y , PtSi x Ge y , and WSi x Ge y .
  • the layer 106 of metal lining the sidewall 114 of the opening 110 can either have uniform thickness, or instead be subject to variations in thickness from a lower edge 116 to an upper edge 118 of the layer 106 .
  • variations in the thickness of deposited material are subject to occur based on proximity of the surface to the source of material to be deposited.
  • parts of the opening 110 in the ILD 112 which are closer to the outer surface 120 thereof are more likely to receive a thicker layer of metal during a deposition of the metal layer into the opening, while parts of the opening 110 that are closer to the surface 101 of the diffusion region 102 of the substrate are more likely to receive a thinner layer of metal during the deposition.
  • the embodiments of the invention described herein are suitable for use regardless of the thickness of the deposited metal in different parts of the opening 110 in which the via contact 100 is disposed.
  • the via contact further includes a diffusion barrier layer 122 overlying the second layer 104 .
  • a further layer 124 overlies the diffusion barrier layer 122 , that layer 124 including a third metal which fills the remaining opening 110 , preferably to the level of the outer surface 120 of the ILD 112 .
  • the diffusion barrier layer 122 preferably includes a conductive nitride material.
  • Metal nitrides such as titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN x ) and nitrides of vanadium (V), niobium (Nb), hafnium (Hf) and zirconium (Zr) are suitable for this purpose.
  • the metal nitride includes a nitride of the second metal that is included in the second layer 106 .
  • the conductive nitride is a nitride of that second metal, i.e., either TiN, or TaN, respectively.
  • the diffusion barrier layer 122 includes TiN, it preferably has a thickness of between about 3 nm and 10 nm, and more preferably about 5 nm.
  • the via contact 100 further includes a filler metal 124 disposed in the opening 110 of the ILD 112 .
  • the filler metal 124 is formed in such way that no void results in the opening 110 . Voids are detrimental to the long-term reliability of the via contact 100 , as well as those structures to which the via contact is juxtaposed, i.e., the diffusion region 102 .
  • Examples of metals for use as the filler metal 124 include tungsten, and aluminum which can be deposited by efficient techniques that result in good filling qualities, such as by chemical vapor deposition (CVD). Tungsten is the preferred metal for a variety of reasons, as will be described further below.
  • the top surface 126 of the via contact 100 preferably presents a planar surface, i.e., planarized to the outer surface 120 of the ILD 112 , for allowing good contact to be made thereto from higher level metallizations (not shown) that are provided subsequently thereto.
  • FIGS. 2 through 8 illustrate a method of fabricating a contact via according to an embodiment of the invention.
  • FIG. 2 illustrates a preliminary stage in the fabrication.
  • a first layer 103 containing a silicide of a first metal is disposed at a top surface 101 of a diffusion region 102 of a single-crystal semiconductor region of a substrate.
  • a dopant implant is preferably performed to increase the concentration of dopant ions near the top surface 101 , thereby enhancing the conductivity of the diffusion region 102 at the surface 101 .
  • the silicide of the first layer 103 is formed preferably by a self-aligned technique in which a silicide precursor metal is deposited in contact with the top surface 101 of the diffusion region 102 , that region preferably being formed in a single-crystal silicon region or silicon alloy region (e.g., SiGe region) of a substrate and then reacted by annealing to form the silicide.
  • a silicide precursor metal includes, but are not limited to: cobalt, nickel, molybdenum, niobium, palladium, platinum, tantalum, titanium, vanadium and tungsten.
  • the first layer 103 is formed by deposition of a silicide onto the single-crystal semiconductor region 102 in an additive or subtractive patterning process.
  • the first layer is preferably formed having a nominal thickness between about 8 nm and 15 nm, and more preferably having a nominal thickness between about 10 nm and 13 nm.
  • the interlevel dielectric (ILD) 112 is formed over the etch stop layer 105 .
  • the ILD is preferably formed by a low temperature deposition of a highly flowable, planarizing oxide such as borophosphosilicate glass (BPSG), or, alternatively, from a tetraethylorthosilicate (TEOS) precursor.
  • a spin-on-glass (SOG) material having planarizing qualities is flowed onto a wafer containing the structure shown in FIG. 3 , and thereafter, the wafer is rotated to distribute the SOG material to a more or less uniform height above the top surface 101 of the diffusion region 102 , followed by baking to densify the distributed SOG layer.
  • a denser oxide such as one deposited by a high-density plasma (HDP) technique is deposited onto the structure and, thereafter, planarized by additional processing such as chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • FIG. 4 illustrates a subsequent stage in which an opening is formed in each of the ILD 112 , the etch stop layer 105 , and the first layer 103 .
  • the opening in the ILD 112 is formed by an anisotropic etch such as a reactive ion etch (RIE), performed selectively to the material of the etch stop layer 105 .
  • RIE reactive ion etch
  • the etch stop layer contains a nitride
  • the RIE process is conducted selective to that nitride. This causes etching to stop on the etch stop layer 105 .
  • an over-etch can be performed to ensure that all such openings on a wafer are fully etched to expose the etch stop layer 105 , thus assuring that the etched openings reach the intended level of the structure underlying the ILD 112 .
  • the etch stop layer 103 is preferably cleared from the opening, as by isotropically etching selective to the underlying silicide material of the first layer 103 , and the material of the ILD 112 .
  • a sputter “clean” process is performed in the opening 110 using a beam of particles to remove residues from prior etching that remain on the sidewall 130 and bottom 132 of the opening 110 .
  • this sputter etch step removes the uppermost 8 nm of material from the bottom 132 of the opening 110 .
  • this step removes a significant portion of the first layer 103 of silicide that is provided on the diffusion region.
  • sputter clean processes generally have some non-uniformity across a wafer, such that a greater thickness of material is removed in some areas than others.
  • the thickness of the first layer 103 of silicide is subject to vary across the wafer. Accordingly, at least in some areas of the wafer, the sputter clean process results in the entire removal of the first layer 103 within the opening 110 , thus exposing the top surface 101 of the diffusion region 102 in the opening.
  • a second layer 106 including a second metal is deposited to line the bottom 132 and sidewalls 130 of the opening 110 .
  • the deposited second metal is capable of forming a silicide with the exposed silicon at the top surface 101 of the diffusion region 102 .
  • the deposited second metal also promotes adhesion between the sidewall 130 of the dielectric region 112 and one or more materials that are deposited subsequently within the opening.
  • the second metal preferably consists essentially of one or more of the metals: titanium (Ti), cobalt (Co), nickel (Ni), tantalum (Ta), platinum (Pt) or tungsten (W) and is preferably deposited into the opening by sputtering.
  • the second metal consists essentially of Ti.
  • the second metal consists essentially of Ti, it is preferably deposited to a nominal thickness of at least 30 angstroms ( ⁇ ) at a point of contact with the top surface 101 of the diffusion region 102 . Variations in the character of the sputtering process across the wafer may cause the actual thickness 134 of the deposited second metal at the top surface 101 to be somewhat greater or less than the nominal thickness.
  • the Ti is deposited to a nominal thickness of between 40 ⁇ and 80 ⁇ in contact with the top surface 101 of the diffusion region.
  • the deposited thickness of the layer is typically greater in areas of the wafer which are closer to the source of the sputtered species.
  • a deposited thickness 134 of 40 to 50 ⁇ at the top surface 101 of the diffusion region corresponds to a nominal deposited thickness 136 of 30 nm overlying the outer surface 120 of the ILD 112 .
  • a somewhat greater deposited thickness 134 of 60 to 80 ⁇ at the top surface 101 corresponds to a nominal deposited thickness 136 of 40 nm overlying the outer surface 120 of the ILD 112 .
  • the thickness of the second layer at the top surface 101 is being controlled according to the embodiments of the invention.
  • the diffusion barrier layer 122 preferably includes a conductive nitride material.
  • the conductive nitride consists essentially of TiN, as being a nitride of the second metal that is included in the second layer 106 .
  • Other metal nitrides such as tantalum nitride (TaN), tungsten nitride (WN x ) and nitrides of V, Nb, Hf and Zr are also suitable.
  • the conductive nitride material such as TiN
  • CVD produces a TiN layer having more uniform coverage than a TiN layer deposited by sputtering.
  • a TiN layer can be deposited in a shorter amount of time by CVD than such layer can be deposited by sputtering.
  • a further layer 124 is then deposited to overlie the diffusion barrier layer 122 , that layer 124 including a third metal which fills the remaining opening 110 .
  • the third metal 124 is deposited to fill the opening 110 and extend onto the region above the outer surface 120 of the ILD 112 .
  • the third metal is deposited by any of several well-known chemical vapor deposition (CVD) processes such as ordinary CVD, plasma enhanced CVD (PECVD), metalorganic (MOCVD), or low-pressure CVD (LPCVD).
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • MOCVD metalorganic
  • LPCVD low-pressure CVD
  • the filler metal 124 is deposited in such way to prevent voids from forming within the opening 110 .
  • Examples of metals for use as the filler metal 124 include tungsten (W) and aluminum (Al) both of which can be deposited by one or more of the above-indicated techniques to produce good fill characteristics.
  • W tungsten
  • Al aluminum
  • Tungsten is a preferred metal because it can be deposited by well-understood CVD techniques over an underlying diffusion barrier layer of TiN.
  • the silicide layer 108 includes, but is not limited to any one or more of the suicides of cobalt (Co), nickel (Ni), platinum (Pt), tantalum (Ta), titanium (Ti), and tungsten (W).
  • the silicide layer includes one or more of TiSi x , CoSi x , TiCoSi x , NiSi, NiCoSi x , TaSi 2 , PtSi 2 , and WSi x .
  • the silicide layer 108 can include, but is not limited to any one or more of the silicides and germanides of cobalt (Co), nickel (Ni), platinum (Pt), tantalum (Ta), titanium (Ti), and tungsten (W), and especially: TiSi x Ge y , CoSi x Ge y , TiCoSi x Ge y , NiSi x Ge y , NiCoSi x Ge y , TaSi x Ge y , PtSi x Ge y , and WSi x Ge y .
  • the top surface 126 of the conductive filler material 124 is planarized to the outer surface 120 of the dielectric region, such as by a chemical mechanical polishing (CMP) or other suitable known planarization technique.
  • CMP chemical mechanical polishing
  • additional contact structures and processing can be used to further interconnect the contact via 100 to higher level interconnect structures on an integrated circuit or other device in which the contact via is disposed.
  • the embodiments of the invention described herein provide a contact via having acceptable contact resistance, despite that the initial silicide layer 103 is removed during the initial formation of the opening.
  • Measurements performed on contact vias processed according to the above-described embodiments indicate acceptable contact resistance when the second layer is deposited to a nominal thickness 136 ( FIG. 5 ), as measured above the outer surface 120 of the dielectric region, of 30 nm or more, while a deposited thickness of 20 nm and less shows poor contact resistance. The measurements are indicated below.
  • Thickness 136 FIG. 5

Abstract

A method is provided for fabricating a via contact structure contacting a single-crystal semiconductor diffusion region at a top surface of a substrate. In such method, a first layer is formed in contact with the diffusion region at the top surface, the first layer consisting essentially of a silicide of a first metal. A dielectric region is formed to overlie the first layer. An opening is etched in the dielectric region extending through the first layer to the diffusion region. A second layer is formed to line the opening, the second layer including a second metal. Thereafter, a conductor is deposited within the opening over the second layer, and the substrate is heated to cause the second metal to form a silicide at the top surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a division of U.S. patent application Ser. No. 10/711,298 filed Sep. 9, 2004, the disclosure of which is hereby incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to integrated circuits and their fabrication. More specifically, the invention relates to the structure and fabrication of a via contact to a diffusion region at a top surface of a single-crystal semiconductor region of a substrate.
  • A particular challenge encountered in the fabrication of integrated circuits is the formation of metallic via contacts to diffusion regions (referred to alternatively as “diffusions”) of semiconductor devices. Such diffusion regions are formed in single-crystal semiconductor regions of a substrate, such that an ohmic contact is made between the metallic material in the via and the semiconductor material of the diffusion region. The challenge is particularly difficult to provide a robust structure and method of forming metallic via contacts having acceptably low contact resistance between the metal and the diffusion region, over all chip locations of an entire wafer.
  • Thus, processes and structures known heretofore have resulted in acceptable contact resistance, but only when a sufficiently thick layer of a metal silicide, e.g., greater than 20-25 nm of cobalt silicide (CoSi2) or nickel monosilicide (NiSi), for example, is provided at a top surface of the diffusion region. In one such exemplary process, a metal silicide layer is formed in contact with the diffusion region of the substrate, after which an interlevel dielectric (ILD) is formed, typically consisting essentially of a highly flowable, planarizing dielectric such as borophosphosilicate glass (BPSG), undoped silicate glass (USG) or silicon dioxide deposited from a tetraethylorthosilicate (TEOS) precursor.
  • Thereafter, the ILD is patterned to form an opening over the metal silicide layer. A sputter clean process is then performed to clean the surface of the pre-existing metal silicide layer to make it ready for the deposition of metallic layers which enhance the conductive contact and to prepare the via to be filled with tungsten by a chemical vapor deposition (CVD) technique. However, the sputter clean process consumes some of the pre-existing metal silicide layer at the top surface of the single-crystal semiconductor region. In an example, the sputter clean process removes about 8 nm of the metal silicide. Thereafter, a thin layer of a metal such as titanium (Ti) is sputter deposited inside the via opening. For example, a layer of titanium having a thickness of 20 angstroms (Å) or less is deposited at the bottom of the opening, the deposited titanium being, illustratively, about 100 Å thick where it overlies the ILD in the field. The Ti layer promotes adhesion to the bottom and sidewalls of the opening. The Ti layer is also used to getter oxygen from a native oxide that forms on the surface of the pre-existing metal silicide layer. The Ti layer used in the conventional process is deposited to a thickness of 20 Å or less because such thickness is sufficient to promote desired adhesion properties and to perform oxygen gettering from the underlying metal suicide layer.
  • Thereafter, a diffusion barrier layer such as titanium nitride (TiN) is CVD deposited onto the underlying Ti layer, as a barrier to the diffusion and electromigration of material to and from the underlying Ti and silicide layers and materials present during subsequent depositions. Thereafter, a metal such as W (tungsten) is deposited, such as by CVD from a tungsten hexaflouride (WF6) precursor, to fill the via after forming the Ti and TiN layers.
  • In the conventional process, a Ti layer having a thickness greater than 20 Å is undesirable because the sputter deposition of titanium takes up significant time, impacting the throughput and cost of each wafer. In addition, a thicker Ti layer is undesirable because the sputtering process tends to deposit more Ti at the entrance (top) surface of a via, while depositing comparatively less Ti at the bottom of the via. Accordingly, a byproduct of the Ti sputter deposition is a more constricted opening, sometimes having a re-entrant profile, that is more difficult to fill in subsequent depositions to form the TiN diffusion barrier layer and the W metal fill. If the Ti layer is not kept to the minimum thickness, the W fill metal deposition must be more tightly controlled to prevent voids and pinch-off of the via opening from occurring during deposition. Still another reason limiting the thickness of the Ti layer is a goal of the conventional process to intentionally limit the amount of Ti present in the via. The conventional process intentionally limits the amount of Ti in the via as a way of limiting damage, in case the TiN diffusion barrier layer fails in some areas of the wafer during tungsten CVD. If the TiN layer fails as a barrier, this allows the Ti to interact with the WF6 precursor gas. However, WF6 produces volatile compounds when it comes into contact with portions of the Ti layer. Such volatile compounds destructively impact the prior deposited layers, the W layer during deposition and subsequently deposited layers, causing severe degradation of the via structure and degrading its performance. Thus, in the conventional process, the Ti layer is kept intentionally thin for a variety of reasons, all of which are intended to improve the quality of the contact structure.
  • However, the above-described process only produces acceptable results when the pre-existing silicide layer underlying the ILD, i.e., the CoSi2 or NiSi layer, is relatively thick, i.e., having a thickness in excess of 20 nm to 25 nm. That thickness is needed in order for the silicide layer under the ILD to remain to a sufficient thickness at locations all over the wafer after the openings in the ILD are subjected to the above-described sputter clean process. If a smaller thickness of the silicide were used, e.g., having a nominal thickness of 10 to 12 nm, the sputter clean process could result in removing the silicide layer entirely in some locations of the wafer. In such case, poor contact to the diffusion region of the semiconductor material would result, having poor contact resistance. This is a problem that needs to be addressed.
  • SUMMARY OF THE INVENTION
  • A method is provided for fabricating a via contact structure contacting a single-crystal semiconductor diffusion region at a top surface of a substrate. In such method, a first layer is formed in contact with the diffusion region at the top surface, the first layer consisting essentially of a silicide of a first metal. A dielectric region is formed to overlie the first layer. An opening is etched in the dielectric region extending through the first layer to the diffusion region. A second layer is formed to line the opening, the second layer including a second metal. Thereafter, a conductor is deposited within the opening over the second layer, and the substrate is heated to cause the second metal to form a silicide at the top surface.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view illustrating a completed via contact structure according to an embodiment of the invention.
  • FIGS. 2 through 8 further illustrate stages in a method of fabricating a contact via according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • It is common for transistors which have silicided diffusion regions to be contacted through openings formed in dielectric regions which overlie the diffusion regions, such as described in the foregoing. However, the demands of current and future generation transistors are not adequately served by traditionally thick suicides which underlie the ILD at the top surface of the diffusion region.
  • For a variety of reasons, it would be desirable to make the silicide thinner that underlies the ILD at the top surface of the diffusion region. For one, a thinner silicide helps to reduce leakage current of devices that are formed in bulk semiconductor substrates. A thinner silicide also promotes a better contact to the diffusion regions of devices that are formed in a thin semiconductor-on-insulator layer or a silicon-on-insulator layer, both referred to hereinafter as an “SOI” layer. A third reason why a thinner silicide underlying the ILD would be advantageous is to help better control the position of the edge of the suicide relative to the channel region of a transistor, when the transistor has a small channel width. This consideration is especially important when spacers separating the gate of the transistor from the source and drain diffusions thereof are smaller than desirable. In such case, a thinner silicide would help to produce better small channel width transistors, even when the spacer thickness is smaller than that considered acceptable for transistors having a traditional thicker silicide.
  • According to the embodiments of the invention, a process is provided herein which allows a contact having acceptable contact resistance to be made to the surface of a silicided diffusion region, even when a thinner silicide is provided on the surface of the diffusion region underlying an interlevel dielectric (ILD). According to the embodiments of the invention, a via contact is provided in which a metal-containing layer is formed in an opening in a dielectric layer above the silicided diffusion region, that layer contacting the top surface of the diffusion region in the opening. Such layer includes a metal lining the sidewall of the opening, and a silicide of that metal self-aligned to the top surface of the diffusion region in the opening. A diffusion barrier layer overlies that metal-containing layer in the opening, and a further layer of metal fills the opening overlying the diffusion barrier layer.
  • A cross-sectional view of a completed via contact 100 according to the invention is illustrated in FIG. 1. As shown therein, the via contact extends to a top surface 101 of a diffusion region 102 of a single-crystal semiconductor region of a substrate. Illustratively, the substrate is a bulk semiconductor substrate or a semiconductor-on-insulator (SOI) substrate such as a silicon-on-insulator substrate. In preferred embodiments of the invention, the single-crystal semiconductor region consists essentially of silicon. However, other semiconductor materials can be utilized such as alloys of silicon, e.g., silicon germanium and silicon carbide. Alternatively, III-V compound semiconductors and/or II-VI compound semiconductors are usable in other embodiments of the invention.
  • The via contact 100 is disposed in an opening 110 in a dielectric region 112, preferably an interlevel dielectric (ILD), overlying a top surface 101 of the diffusion region 102 of the substrate. The opening has width of between about 50 nm and 400 nm, more preferably between about 100 nm to 200 nm, and most preferably having a width between about 100 nm and 150 nm. The opening has height extending from the outer surface 120 of the ILD 112 to the diffusion region 102 of between about 150 nm and about 600 nm, more preferably between about 200 nm and 500 nm, and most preferably between about 200 nm and 300 nm. The opening 110 and via contact 100 therein are disposed in the ILD 112 overlying a first layer 103 including a silicide of a first metal, the silicide being disposed in contact with the top surface 101 of the diffusion region 102. The first layer 103 preferably has a thickness of between about 8 nm and 15 nm, and more preferably between about 10 nm and 13 nm. Such thickness is much smaller than the thickness of greater than 20 to 25 nm of CoSi2 or NiSi that is used in the above-described prior art process.
  • A layer 105 of an etch-distinguishable material is further disposed between the first layer 103 and the ILD 112. That layer 105 functions as an etch stop layer when the opening 110 is etched in the ILD 112, to stop the etching process from proceeding to etch the diffusion region 102 after the etched opening penetrates the ILD 112. A suitable material for the etch stop layer 105 is silicon nitride, when the ILD 112 includes an oxide such as the various forms of silicon oxides, e.g., BPSG, USG, or a TEOS oxide, that are typically used for that purpose, as discussed above in the background. Alternatively, the etch stop layer 105 can consist essentially of any material to which etch selectivity can be achieved when etching the overlying ILD 112. The thickness of the etch stop layer 105 is illustratively between about 2 nm and 30 nm, more preferably between about 5 nm and 15 nm, and most preferably about 7 to 10 nm.
  • The via contact 100 includes a second layer 104 of material contacting a top surface 101 of the diffusion region 102, the second layer 104 including a layer 106 consisting essentially of a second metal lining the sidewalls of the opening 110, and also including a silicide 108 of the second metal that is disposed in contact with the top surface 101 of the diffusion region 102. Preferably, the layer 106 consists essentially of a silicide-forming metal such as titanium (Ti), cobalt (Co), nickel (Ni), tantalum (Ta), platinum (Pt), tungsten (W) or combination thereof, and layer 108 includes the silicide of that metal. Thus, when the diffusion region 102 is disposed in single-crystal silicon, examples of a material included in the silicide layer 108 include, but are not limited to: TiSix, CoSix, TiCoSix, NiSi, NiCoSix, TaSi2, PtSi2, and WSix. When the diffusion region 102 is disposed in silicon germanium (SiGe), the silicide layer 108 can include materials such as one or more of the silicides including, but not limited to: TiSixGey, CoSixGey, TiCoSixGey, NiSixGey, NiCoSixGey, TaSixGey, PtSixGey, and WSixGey.
  • The layer 106 of metal lining the sidewall 114 of the opening 110 can either have uniform thickness, or instead be subject to variations in thickness from a lower edge 116 to an upper edge 118 of the layer 106. When depositing metal into an opening, variations in the thickness of deposited material are subject to occur based on proximity of the surface to the source of material to be deposited. Hence, parts of the opening 110 in the ILD 112 which are closer to the outer surface 120 thereof are more likely to receive a thicker layer of metal during a deposition of the metal layer into the opening, while parts of the opening 110 that are closer to the surface 101 of the diffusion region 102 of the substrate are more likely to receive a thinner layer of metal during the deposition. The embodiments of the invention described herein are suitable for use regardless of the thickness of the deposited metal in different parts of the opening 110 in which the via contact 100 is disposed.
  • As further shown in FIG. 1, the via contact further includes a diffusion barrier layer 122 overlying the second layer 104. A further layer 124 overlies the diffusion barrier layer 122, that layer 124 including a third metal which fills the remaining opening 110, preferably to the level of the outer surface 120 of the ILD 112. The diffusion barrier layer 122 preferably includes a conductive nitride material. Metal nitrides such as titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WNx) and nitrides of vanadium (V), niobium (Nb), hafnium (Hf) and zirconium (Zr) are suitable for this purpose. Preferably, the metal nitride includes a nitride of the second metal that is included in the second layer 106. Thus, when the second metal is Ti, or Ta, the conductive nitride is a nitride of that second metal, i.e., either TiN, or TaN, respectively. When the diffusion barrier layer 122 includes TiN, it preferably has a thickness of between about 3 nm and 10 nm, and more preferably about 5 nm.
  • The via contact 100 further includes a filler metal 124 disposed in the opening 110 of the ILD 112. Ideally, the filler metal 124 is formed in such way that no void results in the opening 110. Voids are detrimental to the long-term reliability of the via contact 100, as well as those structures to which the via contact is juxtaposed, i.e., the diffusion region 102. Examples of metals for use as the filler metal 124 include tungsten, and aluminum which can be deposited by efficient techniques that result in good filling qualities, such as by chemical vapor deposition (CVD). Tungsten is the preferred metal for a variety of reasons, as will be described further below.
  • As further shown in FIG. 1, the top surface 126 of the via contact 100 preferably presents a planar surface, i.e., planarized to the outer surface 120 of the ILD 112, for allowing good contact to be made thereto from higher level metallizations (not shown) that are provided subsequently thereto.
  • FIGS. 2 through 8 illustrate a method of fabricating a contact via according to an embodiment of the invention. FIG. 2 illustrates a preliminary stage in the fabrication. As shown therein, a first layer 103 containing a silicide of a first metal is disposed at a top surface 101 of a diffusion region 102 of a single-crystal semiconductor region of a substrate. Prior to forming the first layer 103, a dopant implant is preferably performed to increase the concentration of dopant ions near the top surface 101, thereby enhancing the conductivity of the diffusion region 102 at the surface 101. The silicide of the first layer 103 is formed preferably by a self-aligned technique in which a silicide precursor metal is deposited in contact with the top surface 101 of the diffusion region 102, that region preferably being formed in a single-crystal silicon region or silicon alloy region (e.g., SiGe region) of a substrate and then reacted by annealing to form the silicide. Examples of suitable silicide precursor metals include, but are not limited to: cobalt, nickel, molybdenum, niobium, palladium, platinum, tantalum, titanium, vanadium and tungsten. Alternatively, the first layer 103 is formed by deposition of a silicide onto the single-crystal semiconductor region 102 in an additive or subtractive patterning process. The first layer is preferably formed having a nominal thickness between about 8 nm and 15 nm, and more preferably having a nominal thickness between about 10 nm and 13 nm. Generally, it is not possible to maintain the thickness of the first layer uniform across an entire wafer. Therefore, when the first layer is deposited to a thickness of 10 nm in some places of the wafer, the thickness can be significantly lower in other places of the wafer. Thus, a thickness of 8 nm or lower may result in some areas of the wafer.
  • Thereafter, as shown in FIG. 3, the interlevel dielectric (ILD) 112 is formed over the etch stop layer 105. The ILD is preferably formed by a low temperature deposition of a highly flowable, planarizing oxide such as borophosphosilicate glass (BPSG), or, alternatively, from a tetraethylorthosilicate (TEOS) precursor. In other alternatives, a spin-on-glass (SOG) material having planarizing qualities is flowed onto a wafer containing the structure shown in FIG. 3, and thereafter, the wafer is rotated to distribute the SOG material to a more or less uniform height above the top surface 101 of the diffusion region 102, followed by baking to densify the distributed SOG layer. In still another alternative, a denser oxide such as one deposited by a high-density plasma (HDP) technique is deposited onto the structure and, thereafter, planarized by additional processing such as chemical mechanical polishing (CMP).
  • FIG. 4 illustrates a subsequent stage in which an opening is formed in each of the ILD 112, the etch stop layer 105, and the first layer 103. Preferably, the opening in the ILD 112 is formed by an anisotropic etch such as a reactive ion etch (RIE), performed selectively to the material of the etch stop layer 105. For example, when the etch stop layer contains a nitride, the RIE process is conducted selective to that nitride. This causes etching to stop on the etch stop layer 105. With sufficient selectivity, an over-etch can be performed to ensure that all such openings on a wafer are fully etched to expose the etch stop layer 105, thus assuring that the etched openings reach the intended level of the structure underlying the ILD 112. Thereafter, the etch stop layer 103 is preferably cleared from the opening, as by isotropically etching selective to the underlying silicide material of the first layer 103, and the material of the ILD 112. In a further etch step, a sputter “clean” process is performed in the opening 110 using a beam of particles to remove residues from prior etching that remain on the sidewall 130 and bottom 132 of the opening 110. In a preferred process, this sputter etch step removes the uppermost 8 nm of material from the bottom 132 of the opening 110. Thus, this step removes a significant portion of the first layer 103 of silicide that is provided on the diffusion region. In fact, sputter clean processes generally have some non-uniformity across a wafer, such that a greater thickness of material is removed in some areas than others. Moreover, as discussed above, the thickness of the first layer 103 of silicide is subject to vary across the wafer. Accordingly, at least in some areas of the wafer, the sputter clean process results in the entire removal of the first layer 103 within the opening 110, thus exposing the top surface 101 of the diffusion region 102 in the opening.
  • Thereafter, as shown in FIG. 5, a second layer 106 including a second metal is deposited to line the bottom 132 and sidewalls 130 of the opening 110. The deposited second metal is capable of forming a silicide with the exposed silicon at the top surface 101 of the diffusion region 102. The deposited second metal also promotes adhesion between the sidewall 130 of the dielectric region 112 and one or more materials that are deposited subsequently within the opening. The second metal preferably consists essentially of one or more of the metals: titanium (Ti), cobalt (Co), nickel (Ni), tantalum (Ta), platinum (Pt) or tungsten (W) and is preferably deposited into the opening by sputtering. More preferably, the second metal consists essentially of Ti. When the second metal consists essentially of Ti, it is preferably deposited to a nominal thickness of at least 30 angstroms (Å) at a point of contact with the top surface 101 of the diffusion region 102. Variations in the character of the sputtering process across the wafer may cause the actual thickness 134 of the deposited second metal at the top surface 101 to be somewhat greater or less than the nominal thickness. More preferably, the Ti is deposited to a nominal thickness of between 40 Å and 80 Å in contact with the top surface 101 of the diffusion region. Moreover, the deposited thickness of the layer is typically greater in areas of the wafer which are closer to the source of the sputtered species. Therefore, a deposited thickness 134 of 40 to 50 Å at the top surface 101 of the diffusion region corresponds to a nominal deposited thickness 136 of 30 nm overlying the outer surface 120 of the ILD 112. A somewhat greater deposited thickness 134 of 60 to 80 Å at the top surface 101 corresponds to a nominal deposited thickness 136 of 40 nm overlying the outer surface 120 of the ILD 112. Here, the thickness of the second layer at the top surface 101 is being controlled according to the embodiments of the invention.
  • Thereafter, as shown in FIG. 6, a diffusion barrier layer 122 is formed overlying the second metal layer 106. The diffusion barrier layer 122 preferably includes a conductive nitride material. Preferably, when the second metal is Ti, the conductive nitride consists essentially of TiN, as being a nitride of the second metal that is included in the second layer 106. Other metal nitrides such as tantalum nitride (TaN), tungsten nitride (WNx) and nitrides of V, Nb, Hf and Zr are also suitable. Preferably, the conductive nitride material, such as TiN, is deposited by CVD, because CVD produces a TiN layer having more uniform coverage than a TiN layer deposited by sputtering. In addition, a TiN layer can be deposited in a shorter amount of time by CVD than such layer can be deposited by sputtering.
  • As shown in FIG. 7, a further layer 124 is then deposited to overlie the diffusion barrier layer 122, that layer 124 including a third metal which fills the remaining opening 110. The third metal 124 is deposited to fill the opening 110 and extend onto the region above the outer surface 120 of the ILD 112. Preferably, the third metal is deposited by any of several well-known chemical vapor deposition (CVD) processes such as ordinary CVD, plasma enhanced CVD (PECVD), metalorganic (MOCVD), or low-pressure CVD (LPCVD). Ideally, the filler metal 124 is deposited in such way to prevent voids from forming within the opening 110. Examples of metals for use as the filler metal 124 include tungsten (W) and aluminum (Al) both of which can be deposited by one or more of the above-indicated techniques to produce good fill characteristics. Tungsten is a preferred metal because it can be deposited by well-understood CVD techniques over an underlying diffusion barrier layer of TiN.
  • Thereafter, after the above-described processes have been performed, annealing is performed to react the second metal at the top surface 101 with the silicon present at the top surface 101 to produce a silicide 108, as shown in FIG. 8. This suicide forms an ohmic contact to the diffusion region. Depending upon the specific metal or metals that are deposited as the second layer 106, the silicide layer 108 includes, but is not limited to any one or more of the suicides of cobalt (Co), nickel (Ni), platinum (Pt), tantalum (Ta), titanium (Ti), and tungsten (W). Preferably, the silicide layer includes one or more of TiSix, CoSix, TiCoSix, NiSi, NiCoSix, TaSi2, PtSi2, and WSix. When the diffusion region 102 is disposed in silicon germanium (SiGe), the silicide layer 108 can include, but is not limited to any one or more of the silicides and germanides of cobalt (Co), nickel (Ni), platinum (Pt), tantalum (Ta), titanium (Ti), and tungsten (W), and especially: TiSixGey, CoSixGey, TiCoSixGey, NiSixGey, NiCoSixGey, TaSixGey, PtSixGey, and WSixGey.
  • Referring to FIG. 1 again, thereafter, the top surface 126 of the conductive filler material 124 is planarized to the outer surface 120 of the dielectric region, such as by a chemical mechanical polishing (CMP) or other suitable known planarization technique. Thereafter, additional contact structures and processing, can be used to further interconnect the contact via 100 to higher level interconnect structures on an integrated circuit or other device in which the contact via is disposed.
  • As indicated above, the embodiments of the invention described herein provide a contact via having acceptable contact resistance, despite that the initial silicide layer 103 is removed during the initial formation of the opening. Measurements performed on contact vias processed according to the above-described embodiments indicate acceptable contact resistance when the second layer is deposited to a nominal thickness 136 (FIG. 5), as measured above the outer surface 120 of the dielectric region, of 30 nm or more, while a deposited thickness of 20 nm and less shows poor contact resistance. The measurements are indicated below.
    Thickness 136 (FIG. 5) Average Resistance per Contact
    20 nm 23 ohm
    30 nm 13 ohm
    40 nm 13 ohm
  • While the invention has been described in accordance with certain preferred embodiments thereof, those skilled in the art will understand the many modifications and enhancements which can be made thereto without departing from the true scope and spirit of the invention, which is limited only by the claims appended below.

Claims (12)

1. A method of forming a conductive via contacting a single-crystal semiconductor diffusion region at a top surface of a substrate, comprising:
forming a first layer in contact with said diffusion region at said top surface, said first layer consisting essentially of a silicide of a first metal;
forming a dielectric region overlying said first layer;
etching an opening in said dielectric region extending through said first layer to said diffusion region;
forming a second layer lining said opening, said second layer including a second metal;
depositing a conductor in said opening over said second layer; and
heating said substrate to cause said second metal to form a silicide at said top surface.
2. The method as claimed in claim 1, wherein said step of depositing a conductor includes depositing a diffusion barrier layer within said opening to overlie said second layer and depositing a third layer including a third metal within said opening over said diffusion barrier layer.
3. The method as claimed in claim 1, wherein said first metal is selected from the group consisting of cobalt (Co), molybdenum (Mo), niobium (Nb), nickel (Ni), palladium (Pd), platinum (Pt), tantalum (Ta), titanium (Ti), vanadium (V) and tungsten (W).
4. The method as claimed in claim 12, wherein said second layer is deposited to a thickness greater than about 30 angstroms (Å) at a point of contact with said top surface.
5. The method as claimed in claim 13, wherein said second metal is selected from the group consisting of titanium (Ti), nickel (Ni), platinum (Pt), cobalt (Co), tantalum (Ta) and tungsten (W).
6. The method as claimed in claim 5, wherein said second metal is deposited to a thickness of about 80 angstroms (Å) or less at said point of contact with said top surface.
7. The method as claimed in claim 2, wherein said diffusion barrier layer includes a metal nitride.
8. The method as claimed in claim 7, wherein said metal nitride includes titanium nitride (TiN).
9. The method as claimed in claim 8, wherein said diffusion barrier layer is deposited by chemical vapor deposition (CVD).
10. The method as claimed in claim 9, wherein said third metal includes tungsten (W).
11. The method as claimed in claim 10, wherein said third layer is deposited by chemical vapor deposition (CVD).
12. A method of forming a conductive via contacting a single-crystal semiconductor diffusion region at a top surface of a substrate, comprising:
forming a first layer in contact with said diffusion region at said top surface, said first layer consisting essentially of a silicide of a first metal;
forming a dielectric region overlying said first layer;
etching an opening in said dielectric region extending through said first layer to said diffusion region;
forming a second layer lining said opening, said second layer including a second metal;
depositing a diffusion barrier layer overlying said second layer within said opening;
depositing a third layer including a third metal over said diffusion barrier layer within said opening; and
heating said substrate to cause said second metal to form a silicide at said top surface.
US11/633,299 2004-09-09 2006-12-04 Fabrication of via contacts having dual silicide layers Abandoned US20070077753A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/633,299 US20070077753A1 (en) 2004-09-09 2006-12-04 Fabrication of via contacts having dual silicide layers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/711,298 US8288828B2 (en) 2004-09-09 2004-09-09 Via contact structure having dual silicide layers
US11/633,299 US20070077753A1 (en) 2004-09-09 2006-12-04 Fabrication of via contacts having dual silicide layers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/711,298 Division US8288828B2 (en) 2004-09-09 2004-09-09 Via contact structure having dual silicide layers

Publications (1)

Publication Number Publication Date
US20070077753A1 true US20070077753A1 (en) 2007-04-05

Family

ID=35996814

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/711,298 Active 2030-09-15 US8288828B2 (en) 2004-09-09 2004-09-09 Via contact structure having dual silicide layers
US11/633,299 Abandoned US20070077753A1 (en) 2004-09-09 2006-12-04 Fabrication of via contacts having dual silicide layers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/711,298 Active 2030-09-15 US8288828B2 (en) 2004-09-09 2004-09-09 Via contact structure having dual silicide layers

Country Status (1)

Country Link
US (2) US8288828B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045826A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US20080050911A1 (en) * 2006-08-28 2008-02-28 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US20090166846A1 (en) * 2007-12-28 2009-07-02 Micron Technology, Inc. Pass-through 3d interconnect for microelectronic dies and associated systems and methods
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US20120235244A1 (en) * 2011-03-18 2012-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9202758B1 (en) * 2005-04-19 2015-12-01 Globalfoundries Inc. Method for manufacturing a contact for a semiconductor component and related structure
JP2007311771A (en) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd Semiconductor device and method of manufacturing the same
US7790611B2 (en) * 2007-05-17 2010-09-07 International Business Machines Corporation Method for FEOL and BEOL wiring
US8227708B2 (en) * 2009-12-14 2012-07-24 Qualcomm Incorporated Via structure integrated in electronic substrate
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
US9177810B2 (en) 2014-01-29 2015-11-03 International Business Machines Corporation Dual silicide regions and method for forming the same
TWI808473B (en) 2015-06-05 2023-07-11 美商蘭姆研究公司 ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9478468B1 (en) 2015-07-09 2016-10-25 International Business Machines Corporation Dual metal contact scheme for CMOS devices
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US20170194454A1 (en) * 2016-01-06 2017-07-06 International Business Machines Corporation NiPt AND Ti INTERSECTING SILICIDE PROCESS AND STRUCTURE
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
JP2018199863A (en) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods of forming tungsten pillars

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5094981A (en) * 1990-04-17 1992-03-10 North American Philips Corporation, Signetics Div. Technique for manufacturing interconnections for a semiconductor device by annealing layers of titanium and a barrier material above 550° C.
US5571753A (en) * 1994-05-31 1996-11-05 Nec Corporation Method for forming a wiring conductor in semiconductor device
US5834846A (en) * 1995-01-10 1998-11-10 Kawasaki Steel Corporation Semiconductor device with contact structure and method of manufacturing the same
US5998873A (en) * 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
US6218716B1 (en) * 1998-03-13 2001-04-17 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6277729B1 (en) * 1997-12-05 2001-08-21 United Microelectronics Corp. Method of manufacturing transistor barrier layer
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6613670B2 (en) * 1998-11-24 2003-09-02 Hyundai Electronics Industries Co., Ltd. Method for forming tungsten bit line and devices including the same
US6677230B2 (en) * 2001-03-05 2004-01-13 Renesas Technology Corporation Method of manufacturing semiconductor device
US6960525B2 (en) * 2003-03-25 2005-11-01 Nanya Technology Corporation Method of forming metal plug

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08107087A (en) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5094981A (en) * 1990-04-17 1992-03-10 North American Philips Corporation, Signetics Div. Technique for manufacturing interconnections for a semiconductor device by annealing layers of titanium and a barrier material above 550° C.
US5571753A (en) * 1994-05-31 1996-11-05 Nec Corporation Method for forming a wiring conductor in semiconductor device
US5834846A (en) * 1995-01-10 1998-11-10 Kawasaki Steel Corporation Semiconductor device with contact structure and method of manufacturing the same
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6277729B1 (en) * 1997-12-05 2001-08-21 United Microelectronics Corp. Method of manufacturing transistor barrier layer
US6218716B1 (en) * 1998-03-13 2001-04-17 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6613670B2 (en) * 1998-11-24 2003-09-02 Hyundai Electronics Industries Co., Ltd. Method for forming tungsten bit line and devices including the same
US5998873A (en) * 1998-12-16 1999-12-07 National Semiconductor Corporation Low contact resistance and low junction leakage metal interconnect contact structure
US6677230B2 (en) * 2001-03-05 2004-01-13 Renesas Technology Corporation Method of manufacturing semiconductor device
US6960525B2 (en) * 2003-03-25 2005-11-01 Nanya Technology Corporation Method of forming metal plug

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9653420B2 (en) 2003-11-13 2017-05-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7759800B2 (en) 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US8748311B2 (en) 2003-12-10 2014-06-10 Micron Technology, Inc. Microelectronic devices and methods for filing vias in microelectronic devices
US11177175B2 (en) 2003-12-10 2021-11-16 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US8536485B2 (en) 2004-05-05 2013-09-17 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US8686313B2 (en) 2004-05-05 2014-04-01 Micron Technology, Inc. System and methods for forming apertures in microfeature workpieces
US8664562B2 (en) 2004-05-05 2014-03-04 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US9452492B2 (en) 2004-05-05 2016-09-27 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US10010977B2 (en) 2004-05-05 2018-07-03 Micron Technology, Inc. Systems and methods for forming apertures in microfeature workpieces
US7829976B2 (en) 2004-06-29 2010-11-09 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US8322031B2 (en) 2004-08-27 2012-12-04 Micron Technology, Inc. Method of manufacturing an interposer
US7683458B2 (en) 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7956443B2 (en) 2004-09-02 2011-06-07 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8669179B2 (en) 2004-09-02 2014-03-11 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8502353B2 (en) 2004-09-02 2013-08-06 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US9214391B2 (en) 2004-12-30 2015-12-15 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8008192B2 (en) 2005-06-28 2011-08-30 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US9293367B2 (en) 2005-06-28 2016-03-22 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20070045826A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7915736B2 (en) 2005-09-01 2011-03-29 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US20080050911A1 (en) * 2006-08-28 2008-02-28 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7973411B2 (en) 2006-08-28 2011-07-05 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US8610279B2 (en) 2006-08-28 2013-12-17 Micron Technologies, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US9099539B2 (en) 2006-08-31 2015-08-04 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US9570350B2 (en) 2006-08-31 2017-02-14 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US8536046B2 (en) 2007-08-31 2013-09-17 Micron Technology Partitioned through-layer via and associated systems and methods
US8367538B2 (en) 2007-08-31 2013-02-05 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7830018B2 (en) 2007-08-31 2010-11-09 Micron Technology, Inc. Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9281241B2 (en) 2007-12-06 2016-03-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8247907B2 (en) 2007-12-06 2012-08-21 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US20090166846A1 (en) * 2007-12-28 2009-07-02 Micron Technology, Inc. Pass-through 3d interconnect for microelectronic dies and associated systems and methods
US10020287B2 (en) 2007-12-28 2018-07-10 Micron Technology, Inc. Pass-through interconnect structure for microelectronic dies and associated systems and methods
US9209158B2 (en) 2007-12-28 2015-12-08 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US9607930B2 (en) 2008-05-15 2017-03-28 Micron Technologies, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US9343368B2 (en) 2008-05-15 2016-05-17 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8772086B2 (en) 2008-05-15 2014-07-08 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8404521B2 (en) 2008-05-15 2013-03-26 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US20120235244A1 (en) * 2011-03-18 2012-09-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor Structure and Method for Manufacturing the Same

Also Published As

Publication number Publication date
US20060051959A1 (en) 2006-03-09
US8288828B2 (en) 2012-10-16

Similar Documents

Publication Publication Date Title
US8288828B2 (en) Via contact structure having dual silicide layers
US11887891B2 (en) Self-aligned contacts
US9385179B2 (en) Deep trench decoupling capacitor and methods of forming
US5998873A (en) Low contact resistance and low junction leakage metal interconnect contact structure
US7524742B2 (en) Structure of metal interconnect and fabrication method thereof
US6656841B1 (en) Method of forming multi layer conductive line in semiconductor device
US6740587B2 (en) Semiconductor device having a metal silicide layer and method for manufacturing the same
US6271122B1 (en) Method of compensating for material loss in a metal silicone layer in contacts of integrated circuit devices
US8486832B2 (en) Method for fabricating semiconductor device
US7906433B2 (en) Semiconductor device having wirings formed by damascene and its manufacture method
WO2007037881A2 (en) Semiconductor fabrication process including silicide stringer removal processing
US8431487B2 (en) Method for forming a plug structure
US6326306B1 (en) Method of forming copper dual damascene structure
CN108615705B (en) Method for manufacturing contact plug
US6352899B1 (en) Raised silicide source/drain MOS transistors having enlarged source/drain contact regions and method
KR20080008584A (en) Semiconductor device structure and method for manufacturing silicide layer thereof
US7256133B2 (en) Method of manufacturing a semiconductor device
US6653227B1 (en) Method of cobalt silicidation using an oxide-Titanium interlayer
US6579789B2 (en) Method for fabricating metal wiring and the metal wiring
US20030113973A1 (en) Method for fabricating local interconnects
US6773978B1 (en) Methods for improved metal gate fabrication
US20230402320A1 (en) Semiconductor structure and method for forming the same
US7407884B2 (en) Method for forming an aluminum contact

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910