US20070079761A1 - Heat transfer assembly - Google Patents

Heat transfer assembly Download PDF

Info

Publication number
US20070079761A1
US20070079761A1 US11/535,658 US53565806A US2007079761A1 US 20070079761 A1 US20070079761 A1 US 20070079761A1 US 53565806 A US53565806 A US 53565806A US 2007079761 A1 US2007079761 A1 US 2007079761A1
Authority
US
United States
Prior art keywords
heat
contact
transfer assembly
heat transfer
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/535,658
Inventor
Boris Yendler
Alexander Matyushkin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/535,658 priority Critical patent/US20070079761A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Yendler, Boris, Matyushkin, Alexander
Publication of US20070079761A1 publication Critical patent/US20070079761A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P80/00Climate change mitigation technologies for sector-wide applications
    • Y02P80/30Reducing waste in manufacturing processes; Calculations of released waste quantities

Definitions

  • the present invention generally relates to semiconductor substrate processing systems. More specifically, the invention relates to an apparatus for supporting a substrate in a semiconductor substrate processing system.
  • the substrate is disposed on a substrate support (e.g., electrostatic chuck, susceptor, and the like) that is thermally coupled to a heat source, such as an embedded heater, e.g., a resistive heater and the like.
  • a heat source such as an embedded heater, e.g., a resistive heater and the like.
  • heat is also produced by the process itself (e.g., plasma process). To enhance the processing and minimize undesirable yield losses, it is essential to control the temperature as well as the temperature uniformity of the substrate.
  • the disadvantages associated with the prior art are overcome by an improved substrate support for a semiconductor substrate processing system.
  • the substrate support comprises a heat transfer assembly having a heat spreader member that is sandwiched between a heat source and a heat sink.
  • the heat sink, heat spreader member, and heat source are pressed against the bottom of a substrate support plate by a bias member.
  • FIG. 1 depicts a schematic diagram of an exemplary processing reactor comprising a substrate support in accordance with one embodiment of the present invention
  • FIG. 2 is a schematic, cross-sectional view of a heat transfer assembly of the substrate support of FIG. 1 in accordance with one embodiment of the present invention.
  • FIG. 3 is a schematic, top plan view of the heat transfer assembly of FIG. 2 .
  • the present invention is a heat transfer assembly for controlling the temperature and temperature uniformity of a substrate support in a substrate processing system.
  • the substrate support is generally used to support a substrate (e.g., silicon (Si) wafer) in a process chamber of the substrate processing system, such as a plasma etching reactor, a reactive ion etching (RIE) reactor, a chemical vapor deposition (CVD) reactor, a plasma enhanced CVD (PECVD) reactor, a physical vapor deposition (PVD) reactor, an electron cyclotron resonance (ECR) reactor, a rapid thermal processing (RTP) reactor, an ion implantation system, and the like.
  • a substrate e.g., silicon (Si) wafer
  • RIE reactive ion etching
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • PVD physical vapor deposition
  • ECR electron cyclotron resonance
  • RTP rapid thermal processing
  • FIG. 1 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS II) etch reactor 100 that may be used to practice the invention.
  • the DPS II reactor is commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • the particular embodiment of the reactor 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • the invention can be used in apparatus other than a system for processing substrates, whether fabricated of semiconductor materials or other materials.
  • the reactor 100 comprises a process chamber 110 and a controller 140 .
  • the process chamber 110 generally comprises a conductive body (wall) 130 having a substantially flat dielectric ceiling 120 and encompassing a substrate support 116 .
  • the process chamber 110 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • the wall 130 typically is coupled to an electrical ground terminal 134 .
  • an antenna comprising at least one inductive coil element 112 (two co-axial elements 112 are shown).
  • the inductive coil element 112 is coupled, through a first matching network 119 , to a plasma power source 118 .
  • the plasma power source 118 generally is capable of producing up to 5000 W at a tunable frequency in a range from about 50 kHz to 13.6 MHz.
  • the matching network 119 and the plasma power source 118 are controlled by the controller 140 .
  • the support pedestal 116 is coupled, through a second matching network 124 , to a biasing power source 122 .
  • the biasing power source 122 generally is a source of up to 2000 W of continuous or pulsed power at a frequency of approximately 13.6 MHz. In other embodiments, the biasing power source 122 may be a DC or pulsed DC power source.
  • the biasing power source 122 and the matching network 124 are controlled by the controller 140 .
  • a substrate 114 is placed on the support pedestal 116 and thereafter process gases are supplied from a gas panel 138 through at least one entry port 126 to form a gaseous mixture 150 in the process chamber 110 .
  • Operation of the gas panel 138 is controlled by the controller 140 .
  • the gaseous mixture 150 is ignited to a plasma 155 in the process chamber 110 by applying power from the plasma source 118 to the at least one inductive coil element 112 , while the substrate 114 may be also biased by applying power from the biasing source 122 to the substrate support 116 .
  • the lift mechanism 162 is used to raise the substrate 114 off the substrate support 116 or to lower the substrate onto the substrate support.
  • the lift mechanism 162 comprises an actuator that engages a lift plate (both are not shown) coupled to a plurality of lift pins 172 (one lift pin is illustratively shown in FIG. 1 ).
  • the lift pins 172 travel through respective guide holes 188 .
  • the guide holes 188 are defined by an inner passage of tubes 206 that are supported by bushings 208 (discussed in reference to FIG. 2 ).
  • the guide holes 188 are equidistantly distributed along a circle 310 (shown in phantom in FIG. 3 ) that is concentric with the substrate support 116 .
  • Such lift mechanism is disclosed in commonly assigned U.S. patent application Ser. No. 10/241,005, filed Sep. 10, 2002 (Attorney docket number 7262), which is incorporated herein by reference.
  • Gas pressure within the interior of the chamber 110 is controlled by the controller 140 using a throttle valve 127 and a vacuum pump 136 .
  • the temperature of the wall 130 may further be controlled using liquid-containing conduits (not shown) running through the wall.
  • the process chamber 110 also comprises conventional systems for process control, including, for example, internal process diagnostics, and the like. Such systems are collectively depicted in FIG. 1 as support systems 107 .
  • the controller 140 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the controller 140 generally comprises a central processing unit (CPU) 144 , a memory 142 , and support circuits 146 for the CPU 144 .
  • ECR electron cyclotron resonance
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • PVD physical vapor deposition
  • RTP rapid thermal processing
  • the support pedestal 116 comprises a substrate support plate 160 , a heat source (such as an embedded heater) 132 , a heat transfer assembly 164 , a heat sink (such as a cooling plate) 166 , at least one bias member 190 , and a mounting assembly 106 .
  • the substrate support plate 160 may comprise an electrostatic chuck (as shown) or another substrate retention mechanism, e.g., a mechanical chuck, a susceptor clamp ring, vacuum chuck, and the like.
  • the substrate 114 In operation, the substrate 114 generally should be heated to a pre-selected temperature (e.g., from about 0 to 500 degrees Celsius). The substrate 114 is heated with minimal non-uniformity across the substrate and then maintained at such temperature.
  • the temperature of the substrate 114 is controlled by stabilizing the temperature of the support pedestal 116 using an embedded heater 132 and a heat transfer gas (e.g., helium (He)).
  • the embedded heater 132 is used to heat the support pedestal 116 while the heat transfer gas cools down the substrate 114 .
  • helium is provided to the underside of the substrate 114 from a source 148 through a gas conduit 149 to channels and grooves (not shown) formed in a top surface 174 of the substrate support plate 160 .
  • an electrostatic chuck comprises at least one clamping electrode 180 that may be conventionally controlled by a chuck power supply 176 .
  • the embedded heater 132 e.g., resistive electric heater
  • the embedded heater 132 comprises at least one heating element 182 and is regulated by a heater power supply 178 .
  • the embedded heater 132 is a detachable heater that is thermally coupled to a bottom surface 133 of the substrate support plate 160 .
  • the at least one bias member 190 applies force to the heater 132 to press it against the bottom surface 133 of the substrate support plate 160 .
  • the heater 132 may be embedded in the substrate support plate (e.g., electrostatic chuck) 160 or be bonded to the bottom surface 133 of the substrate support plate 160 .
  • the substrate support plate 160 and embedded heater 132 are generally formed from dielectric materials having a high thermal conductivity (e.g., aluminum nitride (AlN) and the like), as well as low coefficients of thermal expansion.
  • the coefficients of thermal expansion for each of the substrate support plate 160 and the heater 132 should be matched.
  • the high thermal conductivity increases thermal coupling between the substrate support plate 160 and the heater 132 to facilitate uniform temperatures for the support surface 174 of the plate 160 and a substrate 114 thereon.
  • the matching low coefficients of thermal expansion reduce the expansion/contraction of the substrate support plate 160 relative to the heater 132 across a broad range of temperatures (e.g., from about 0 to 500 degrees Celsius).
  • the heat transfer assembly 164 facilitates a controlled heat sink path to the cooling plate 166 , for heat generated by the embedded heater 132 , as well as for heat produced during substrate processing, e.g., plasma processing. By regulating the total and local thermal conductivity of the heat transfer assembly 164 , temperature uniformity for the substrate support 116 may be achieved.
  • the heat transfer assembly 164 is used to selectively optimize over a broad range of temperatures and process parameters the thermal properties (i.e., temperature uniformity and maximum temperature) of the substrate support 116 .
  • the heat transfer assembly 164 comprises an electrostatic chuck and embedded heater.
  • the electrostatic chuck and embedded heater may each be of a variety of design configurations.
  • the heat transfer assembly 164 may be used to selectively optimize the thermal properties of a substrate support 116 having a detachable embedded heater, e.g., resistive electric heater.
  • the cooling plate 166 is thermally coupled to the heat transfer assembly 164 and generally, is formed from a metal, such as aluminum (Al), copper (Cu), stainless steel, and the like.
  • the cooling plate 166 comprises a plurality of recesses 192 , e.g., blind holes, grooves, and the like.
  • Each recess 192 houses a bias member 190 , including at least one cylindrical spring and the like.
  • the bias member 190 exerts an expanding elastic force. Such force engages the substrate support plate 160 , embedded heater 162 , heat transfer assembly 164 , and cooling plate 166 against one another and facilitates thermal coupling between the components of the substrate support 116 .
  • the bias members 190 are disposed such that the substrate support plate 160 , embedded heater 162 , heat transfer assembly 164 , and cooling plate 166 are uniformly compressed against one another to provide thermal coupling between the components.
  • the bias members 190 are disposed along at least one circle that is concentric with the substrate support 116 , e.g., around the lift pins 172 .
  • the bias members 190 may be similarly disposed in recesses that are formed in a surface 169 of the base plate 168 , or both in the cooling plate 166 and base plate 168 .
  • the mounting assembly 106 generally comprises a base plate (or ring) 168 , a collar ring 184 , a flange 162 , and a plurality of fasteners (e.g., screws, bolts, clamps, and the like) 167 .
  • the fasteners 167 couple the flange 162 , cooling plate 166 and base plate 168 together to provide mechanical integrity for the substrate support 116 .
  • the support pedestal 116 may also include various process-specific improvements, e.g., a purge gas ring, lift bellows, substrate shields, and the like.
  • the collar ring 184 is formed from KOVAR (i.e., an alloy comprising, by weight, about 54% iron (Fe), 29% nickel (Ni), and 17% cobalt (Co)). Further, the collar ring 184 is brazed to the substrate support plate 160 and flange 162 to facilitate gas-tight coupling between the support plate and flange.
  • KOVAR has a low coefficient of thermal expansion and a low thermal conductivity and is known in the art for forming strong brazed bonds with materials, such as ceramics (support plate 160 ) and metals (flange 162 ). KOVAR is commercially available from EFI of Los Alamitos, Calif., and other suppliers.
  • the mounting assembly 106 encompasses an interior region 186 of the substrate support 116 .
  • the interior region 186 generally is maintained at a gas pressure that is higher than the gas pressure in a reaction volume 141 .
  • Such higher gas pressure e.g., atmospheric pressure
  • FIG. 2 and FIG. 3 are, respectively, schematic, cross-sectional and top plan views of a heat transfer assembly 164 of a substrate support 116 of the reactor 100 .
  • the cross-sectional view in FIG. 2 is taken along a centerline 3 - 3 in FIG. 3 .
  • the heat transfer assembly 164 comprises a heat spreader plate 254 that is sandwiched between a first contact plate 256 and a second contact plate 258 .
  • the heat transfer assembly 164 may comprise a single composite sandwich-like member.
  • the embedded heater 132 may be included in the heat transfer assembly 164 .
  • the first and second contact plates 256 , 258 are used to reduce, in a controlled manner, heat flux from the embedded heater 132 through the heat transfer assembly 164 to the cooling plate 166 .
  • the cooling plate 166 comprises conduits 210 that facilitate coolant flow to remove heat from the cooling plate 166 .
  • the contact plates 256 , 258 may be formed from materials having a low thermal conductivity, e.g., KOVAR, titanium (Ti), and the like. Generally, the contact plates 256 , 258 have a thickness of about 3 to 12 mm.
  • the first contact plate 256 has a flat (i.e., smooth) first contact surface 256 A and an embossed second contact surface 256 B.
  • the second contact plate 258 has a smooth first contact surface 258 A and an embossed second contact surface 258 B.
  • the smooth first contact surfaces 256 A, 258 A engage a bottom surface 202 of the embedded heater 132 and a bottom surface 204 of the heat spreader plate 254 , respectively.
  • the embossed second contact surface 256 B engages a top surface 203 of the heat spreader plate 254
  • the embossed second contact surface 256 B engages a top surface 205 of the cooling plate 166 .
  • a surface area of the embossed second contact surfaces 256 B, 258 B generally comprises about 5 to 50% of the surface area of the smooth first contact surfaces 256 A, 258 A, respectively.
  • the second contact surfaces 256 B, 258 B may be embossed using conventional machining techniques, such as milling, turning, and the like.
  • contact plates with a smaller embossed surface area provide a corresponding lower thermal conductivity in the direction that is orthogonal to the smooth contact surfaces. This means that contact plates 256 , 258 having a smaller embossed surface area will reduce the heat flux from the embedded heater 132 at a slower rate then contact plates having a larger embossed surface area.
  • the surface area of the embossed contact surfaces 256 B, 258 B comprise about 20% of the surface area of the respective first contact surfaces 256 A, 258 A.
  • a local pattern density for the embossed surfaces 256 B, 258 B may be selected such that a contact plate has a pre-determined local thermal conductivity.
  • the pre-determined local thermal conductivity in one region of a contact plate may be higher or lower than the thermal conductivity in other regions of the plate.
  • Such contact plates may be used to control the flux of heat in specific regions of the heat transfer assembly 164 to improve temperature uniformity across the substrate support plate 160 as well as the substrate 114 .
  • the heat spreader plate 254 reduces temperature non-uniformity caused by features formed in the substrate support 116 (e.g., guide holes 188 , gas conduit 149 , the embossed surfaces 256 B, 258 B of the contact plates 256 , 258 , and the like).
  • the heat spreader plate 254 is formed to a thickness of about 3 to 12 mm of a material having a high thermal conductivity (e.g., aluminum nitride (AlN), copper (Cu), and the like).
  • the thermal conductivity of the heat transfer assembly 164 may be selectively controlled by choosing the materials and thickness for the heat spreader plate 254 and contact plates 256 , 258 , as well as a pattern and pattern density of the embossed contact surfaces 256 B, 258 B.
  • the embossed contact surfaces 256 B, 258 B comprise a plurality of grooves 231 that are concentric with the substrate support 116 .
  • Each groove has a width 261 and depth 259 of about 4 and 3 mm, respectively, and the grooves are separated from one another by a wall having a thickness 257 of about 3 mm.
  • the embossed contact surfaces 256 B, 258 B each may comprise a plurality of parallel grooves, orthogonal grooves, grooves separated by walls having different thicknesses, and the like.
  • the embossments generally have a higher pattern density in areas that oppose hotter zones of the substrate support plate 160 , the embedded heater 132 , or the substrate 114 .
  • Thermally conductive sheets 213 may be placed between one or more surfaces of the components comprising the substrate support 116 .
  • the thermally conductive sheets 213 are placed between the bottom surface 133 of the substrate support plate 160 and the embedded heater 132 (shown in FIG. 2 ), the bottom surface 202 of the embedded heater 132 and the top surface 256 A of the first contact plate 256 (not shown), the embossed surface 256 B of the first contact plate 256 and the top surface 203 of the heat spreader plate 254 (not shown), the bottom surface 204 of the heat spreader plate 254 and the top surface 258 A of the second contact plate 258 (not shown), and the embossed surface 258 B of the second contact plate and the top surface 205 of the cooling plate 166 (not shown).
  • Each thermally conductive sheet 213 has cutouts that conform to the surfaces of the components they separate to allow passage of lift pins 172 as well as the gas conduit 149 .
  • the thermally conductive sheets 213 facilitate uniform heat transfer between the components comprising the substrate support 116 , when such components are compressed by bias members 190 .
  • the thermally conductive sheets 213 may comprise graphite (GRAFOIL® flexible graphite commercially available from UCAR International, Inc., Arlington, Tenn.), aluminum, and the like.
  • the thickness of the thermally conductive sheets 213 should be within a range of about 1-5 micrometers.
  • the heat transfer assembly 164 described herein may also be used to improve the temperature uniformity of a substrate placed on a substrate support plate (e.g., electrostatic chuck) having a detachable heater or, alternatively, a substrate support plate having an embedded heater.
  • a substrate support plate e.g., electrostatic chuck
  • each such seal comprises an elastic member (e.g., O-rings and the like) that is disposed in a conventional manner in a circular groove.

Abstract

A heat transfer assembly having a heat spreading member sandwiched between a heat source and a heat sink is disclosed. The heat sink, the heat spreading member, and the heat source are pressed against the bottom of a substrate support plate by a bias member.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/440,365, filed May 16, 2003, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to semiconductor substrate processing systems. More specifically, the invention relates to an apparatus for supporting a substrate in a semiconductor substrate processing system.
  • 2. Description of the Related Art
  • Accurate reproducibility of substrate processing is an important factor when increasing productivity for integrated circuit fabrication processes. Precise control of various process parameters is required for achieving consistent results across a substrate, as well as results that are reproducible from substrate to substrate. More particularly, uniformity of the substrate temperature during processing is one requirement for achieving accurate reproducibility. During substrate processing, changes in the temperature and temperature gradients across the substrate are detrimental to material deposition, etch rate, step coverage, feature taper angles, and the like.
  • Generally, during processing, the substrate is disposed on a substrate support (e.g., electrostatic chuck, susceptor, and the like) that is thermally coupled to a heat source, such as an embedded heater, e.g., a resistive heater and the like. Additionally, in some applications, heat is also produced by the process itself (e.g., plasma process). To enhance the processing and minimize undesirable yield losses, it is essential to control the temperature as well as the temperature uniformity of the substrate.
  • Therefore, there is a need in the art for a substrate support having means to control the temperature as well as the temperature uniformity of the substrate.
  • SUMMARY OF THE INVENTION
  • The disadvantages associated with the prior art are overcome by an improved substrate support for a semiconductor substrate processing system. The substrate support comprises a heat transfer assembly having a heat spreader member that is sandwiched between a heat source and a heat sink. The heat sink, heat spreader member, and heat source are pressed against the bottom of a substrate support plate by a bias member.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a schematic diagram of an exemplary processing reactor comprising a substrate support in accordance with one embodiment of the present invention;
  • FIG. 2 is a schematic, cross-sectional view of a heat transfer assembly of the substrate support of FIG. 1 in accordance with one embodiment of the present invention; and
  • FIG. 3 is a schematic, top plan view of the heat transfer assembly of FIG. 2.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a heat transfer assembly for controlling the temperature and temperature uniformity of a substrate support in a substrate processing system. The substrate support is generally used to support a substrate (e.g., silicon (Si) wafer) in a process chamber of the substrate processing system, such as a plasma etching reactor, a reactive ion etching (RIE) reactor, a chemical vapor deposition (CVD) reactor, a plasma enhanced CVD (PECVD) reactor, a physical vapor deposition (PVD) reactor, an electron cyclotron resonance (ECR) reactor, a rapid thermal processing (RTP) reactor, an ion implantation system, and the like. The invention is useful in applications that require a substrate to be supported in a chamber while the temperature of the substrate is required to be substantially uniform.
  • FIG. 1 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS II) etch reactor 100 that may be used to practice the invention. The DPS II reactor is commercially available from Applied Materials, Inc. of Santa Clara, Calif. The particular embodiment of the reactor 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. For example, the invention can be used in apparatus other than a system for processing substrates, whether fabricated of semiconductor materials or other materials.
  • The reactor 100 comprises a process chamber 110 and a controller 140.
  • The process chamber 110 generally comprises a conductive body (wall) 130 having a substantially flat dielectric ceiling 120 and encompassing a substrate support 116. The process chamber 110 may have other types of ceilings, e.g., a dome-shaped ceiling. The wall 130 typically is coupled to an electrical ground terminal 134.
  • Above the ceiling 120 is disposed an antenna comprising at least one inductive coil element 112 (two co-axial elements 112 are shown). The inductive coil element 112 is coupled, through a first matching network 119, to a plasma power source 118. The plasma power source 118 generally is capable of producing up to 5000 W at a tunable frequency in a range from about 50 kHz to 13.6 MHz. The matching network 119 and the plasma power source 118 are controlled by the controller 140.
  • The support pedestal 116 is coupled, through a second matching network 124, to a biasing power source 122. The biasing power source 122 generally is a source of up to 2000 W of continuous or pulsed power at a frequency of approximately 13.6 MHz. In other embodiments, the biasing power source 122 may be a DC or pulsed DC power source. The biasing power source 122 and the matching network 124 are controlled by the controller 140.
  • During processing, a substrate 114 is placed on the support pedestal 116 and thereafter process gases are supplied from a gas panel 138 through at least one entry port 126 to form a gaseous mixture 150 in the process chamber 110. Operation of the gas panel 138 is controlled by the controller 140. The gaseous mixture 150 is ignited to a plasma 155 in the process chamber 110 by applying power from the plasma source 118 to the at least one inductive coil element 112, while the substrate 114 may be also biased by applying power from the biasing source 122 to the substrate support 116.
  • The lift mechanism 162, as controlled by the controller 140, is used to raise the substrate 114 off the substrate support 116 or to lower the substrate onto the substrate support. Generally, the lift mechanism 162 comprises an actuator that engages a lift plate (both are not shown) coupled to a plurality of lift pins 172 (one lift pin is illustratively shown in FIG. 1). The lift pins 172 travel through respective guide holes 188. Illustratively, the guide holes 188 are defined by an inner passage of tubes 206 that are supported by bushings 208 (discussed in reference to FIG. 2).
  • In one embodiment, the guide holes 188 are equidistantly distributed along a circle 310 (shown in phantom in FIG. 3) that is concentric with the substrate support 116. Such lift mechanism is disclosed in commonly assigned U.S. patent application Ser. No. 10/241,005, filed Sep. 10, 2002 (Attorney docket number 7262), which is incorporated herein by reference.
  • Gas pressure within the interior of the chamber 110 is controlled by the controller 140 using a throttle valve 127 and a vacuum pump 136. The temperature of the wall 130 may further be controlled using liquid-containing conduits (not shown) running through the wall. The process chamber 110 also comprises conventional systems for process control, including, for example, internal process diagnostics, and the like. Such systems are collectively depicted in FIG. 1 as support systems 107.
  • To facilitate control of the components and substrate processing within the chamber 110, the controller 140 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The controller 140 generally comprises a central processing unit (CPU) 144, a memory 142, and support circuits 146 for the CPU 144.
  • Those skilled in the art will understand that other forms of process chambers may be used to practice the invention, such as electron cyclotron resonance (ECR) chambers, chemical vapor deposition (CVD) chambers, plasma enhanced CVD (PECVD) chambers, physical vapor deposition (PVD) chambers, rapid thermal processing (RTP) chambers, and any other chamber that may incorporate a substrate support having an embedded heater therein.
  • In one depicted embodiment, the support pedestal 116 comprises a substrate support plate 160, a heat source (such as an embedded heater) 132, a heat transfer assembly 164, a heat sink (such as a cooling plate) 166, at least one bias member 190, and a mounting assembly 106. In alternative embodiments, the substrate support plate 160 may comprise an electrostatic chuck (as shown) or another substrate retention mechanism, e.g., a mechanical chuck, a susceptor clamp ring, vacuum chuck, and the like.
  • In operation, the substrate 114 generally should be heated to a pre-selected temperature (e.g., from about 0 to 500 degrees Celsius). The substrate 114 is heated with minimal non-uniformity across the substrate and then maintained at such temperature. The temperature of the substrate 114 is controlled by stabilizing the temperature of the support pedestal 116 using an embedded heater 132 and a heat transfer gas (e.g., helium (He)). The embedded heater 132 is used to heat the support pedestal 116 while the heat transfer gas cools down the substrate 114. Generally, helium is provided to the underside of the substrate 114 from a source 148 through a gas conduit 149 to channels and grooves (not shown) formed in a top surface 174 of the substrate support plate 160.
  • In one embodiment of the substrate support plate 160, an electrostatic chuck comprises at least one clamping electrode 180 that may be conventionally controlled by a chuck power supply 176. The embedded heater 132 (e.g., resistive electric heater) comprises at least one heating element 182 and is regulated by a heater power supply 178.
  • In one embodiment, the embedded heater 132 is a detachable heater that is thermally coupled to a bottom surface 133 of the substrate support plate 160. The at least one bias member 190 applies force to the heater 132 to press it against the bottom surface 133 of the substrate support plate 160. In an alternative embodiment, the heater 132 may be embedded in the substrate support plate (e.g., electrostatic chuck) 160 or be bonded to the bottom surface 133 of the substrate support plate 160.
  • The substrate support plate 160 and embedded heater 132 are generally formed from dielectric materials having a high thermal conductivity (e.g., aluminum nitride (AlN) and the like), as well as low coefficients of thermal expansion. The coefficients of thermal expansion for each of the substrate support plate 160 and the heater 132 should be matched. The high thermal conductivity increases thermal coupling between the substrate support plate 160 and the heater 132 to facilitate uniform temperatures for the support surface 174 of the plate 160 and a substrate 114 thereon. The matching low coefficients of thermal expansion reduce the expansion/contraction of the substrate support plate 160 relative to the heater 132 across a broad range of temperatures (e.g., from about 0 to 500 degrees Celsius).
  • The heat transfer assembly 164 facilitates a controlled heat sink path to the cooling plate 166, for heat generated by the embedded heater 132, as well as for heat produced during substrate processing, e.g., plasma processing. By regulating the total and local thermal conductivity of the heat transfer assembly 164, temperature uniformity for the substrate support 116 may be achieved.
  • The heat transfer assembly 164 is used to selectively optimize over a broad range of temperatures and process parameters the thermal properties (i.e., temperature uniformity and maximum temperature) of the substrate support 116. In one embodiment, the heat transfer assembly 164 comprises an electrostatic chuck and embedded heater. The electrostatic chuck and embedded heater may each be of a variety of design configurations. Specifically, the heat transfer assembly 164 may be used to selectively optimize the thermal properties of a substrate support 116 having a detachable embedded heater, e.g., resistive electric heater.
  • The cooling plate 166 is thermally coupled to the heat transfer assembly 164 and generally, is formed from a metal, such as aluminum (Al), copper (Cu), stainless steel, and the like.
  • In the depicted embodiment, the cooling plate 166 comprises a plurality of recesses 192, e.g., blind holes, grooves, and the like. Each recess 192 houses a bias member 190, including at least one cylindrical spring and the like. The bias member 190 exerts an expanding elastic force. Such force engages the substrate support plate 160, embedded heater 162, heat transfer assembly 164, and cooling plate 166 against one another and facilitates thermal coupling between the components of the substrate support 116.
  • The bias members 190 are disposed such that the substrate support plate 160, embedded heater 162, heat transfer assembly 164, and cooling plate 166 are uniformly compressed against one another to provide thermal coupling between the components. In one exemplary embodiment, the bias members 190 are disposed along at least one circle that is concentric with the substrate support 116, e.g., around the lift pins 172. Alternatively, the bias members 190 may be similarly disposed in recesses that are formed in a surface 169 of the base plate 168, or both in the cooling plate 166 and base plate 168.
  • The mounting assembly 106 generally comprises a base plate (or ring) 168, a collar ring 184, a flange 162, and a plurality of fasteners (e.g., screws, bolts, clamps, and the like) 167. The fasteners 167 couple the flange 162, cooling plate 166 and base plate 168 together to provide mechanical integrity for the substrate support 116. In further embodiments (not shown), the support pedestal 116 may also include various process-specific improvements, e.g., a purge gas ring, lift bellows, substrate shields, and the like.
  • In one embodiment, the collar ring 184 is formed from KOVAR (i.e., an alloy comprising, by weight, about 54% iron (Fe), 29% nickel (Ni), and 17% cobalt (Co)). Further, the collar ring 184 is brazed to the substrate support plate 160 and flange 162 to facilitate gas-tight coupling between the support plate and flange. KOVAR has a low coefficient of thermal expansion and a low thermal conductivity and is known in the art for forming strong brazed bonds with materials, such as ceramics (support plate 160) and metals (flange 162). KOVAR is commercially available from EFI of Los Alamitos, Calif., and other suppliers.
  • The mounting assembly 106 encompasses an interior region 186 of the substrate support 116. In operation, the interior region 186 generally is maintained at a gas pressure that is higher than the gas pressure in a reaction volume 141. Such higher gas pressure (e.g., atmospheric pressure) prevents radio-frequency arcing within the support pedestal 116 that otherwise is promoted by the biasing power source 122.
  • FIG. 2 and FIG. 3 are, respectively, schematic, cross-sectional and top plan views of a heat transfer assembly 164 of a substrate support 116 of the reactor 100. The cross-sectional view in FIG. 2 is taken along a centerline 3-3 in FIG. 3.
  • Referring to FIGS. 2 and 3, in one illustrative embodiment, the heat transfer assembly 164 comprises a heat spreader plate 254 that is sandwiched between a first contact plate 256 and a second contact plate 258. Alternatively, the heat transfer assembly 164 may comprise a single composite sandwich-like member. Additionally, the embedded heater 132 may be included in the heat transfer assembly 164.
  • The first and second contact plates 256, 258 are used to reduce, in a controlled manner, heat flux from the embedded heater 132 through the heat transfer assembly 164 to the cooling plate 166. The cooling plate 166 comprises conduits 210 that facilitate coolant flow to remove heat from the cooling plate 166. The contact plates 256, 258 may be formed from materials having a low thermal conductivity, e.g., KOVAR, titanium (Ti), and the like. Generally, the contact plates 256, 258 have a thickness of about 3 to 12 mm.
  • The first contact plate 256 has a flat (i.e., smooth) first contact surface 256A and an embossed second contact surface 256B. Similarly, the second contact plate 258 has a smooth first contact surface 258A and an embossed second contact surface 258B. The smooth first contact surfaces 256A, 258A engage a bottom surface 202 of the embedded heater 132 and a bottom surface 204 of the heat spreader plate 254, respectively. Accordingly, the embossed second contact surface 256B engages a top surface 203 of the heat spreader plate 254, while the embossed second contact surface 256B engages a top surface 205 of the cooling plate 166.
  • A surface area of the embossed second contact surfaces 256B, 258B generally comprises about 5 to 50% of the surface area of the smooth first contact surfaces 256A, 258A, respectively. The second contact surfaces 256B, 258B may be embossed using conventional machining techniques, such as milling, turning, and the like.
  • Contact plates with a smaller embossed surface area provide a corresponding lower thermal conductivity in the direction that is orthogonal to the smooth contact surfaces. This means that contact plates 256, 258 having a smaller embossed surface area will reduce the heat flux from the embedded heater 132 at a slower rate then contact plates having a larger embossed surface area. In one exemplary embodiment, the surface area of the embossed contact surfaces 256B, 258B comprise about 20% of the surface area of the respective first contact surfaces 256A, 258A.
  • Further, a local pattern density for the embossed surfaces 256B, 258B (i.e., surface area in a specific region of contact surface 256B or 258B) may be selected such that a contact plate has a pre-determined local thermal conductivity. The pre-determined local thermal conductivity in one region of a contact plate may be higher or lower than the thermal conductivity in other regions of the plate. Such contact plates may be used to control the flux of heat in specific regions of the heat transfer assembly 164 to improve temperature uniformity across the substrate support plate 160 as well as the substrate 114.
  • The heat spreader plate 254 reduces temperature non-uniformity caused by features formed in the substrate support 116 (e.g., guide holes 188, gas conduit 149, the embossed surfaces 256B, 258B of the contact plates 256, 258, and the like). Generally, the heat spreader plate 254 is formed to a thickness of about 3 to 12 mm of a material having a high thermal conductivity (e.g., aluminum nitride (AlN), copper (Cu), and the like).
  • The thermal conductivity of the heat transfer assembly 164 may be selectively controlled by choosing the materials and thickness for the heat spreader plate 254 and contact plates 256, 258, as well as a pattern and pattern density of the embossed contact surfaces 256B, 258B.
  • In one illustrative embodiment shown in FIG. 2, the embossed contact surfaces 256B, 258B comprise a plurality of grooves 231 that are concentric with the substrate support 116. Each groove has a width 261 and depth 259 of about 4 and 3 mm, respectively, and the grooves are separated from one another by a wall having a thickness 257 of about 3 mm.
  • In alternative embodiments, the embossed contact surfaces 256B, 258B each may comprise a plurality of parallel grooves, orthogonal grooves, grooves separated by walls having different thicknesses, and the like. To reduce temperature non-uniformity across the substrate, the embossments generally have a higher pattern density in areas that oppose hotter zones of the substrate support plate 160, the embedded heater 132, or the substrate 114.
  • Thermally conductive sheets 213 may be placed between one or more surfaces of the components comprising the substrate support 116. In one embodiment, the thermally conductive sheets 213 are placed between the bottom surface 133 of the substrate support plate 160 and the embedded heater 132 (shown in FIG. 2), the bottom surface 202 of the embedded heater 132 and the top surface 256A of the first contact plate 256 (not shown), the embossed surface 256B of the first contact plate 256 and the top surface 203 of the heat spreader plate 254 (not shown), the bottom surface 204 of the heat spreader plate 254 and the top surface 258A of the second contact plate 258 (not shown), and the embossed surface 258B of the second contact plate and the top surface 205 of the cooling plate 166 (not shown). Each thermally conductive sheet 213 has cutouts that conform to the surfaces of the components they separate to allow passage of lift pins 172 as well as the gas conduit 149. The thermally conductive sheets 213 facilitate uniform heat transfer between the components comprising the substrate support 116, when such components are compressed by bias members 190.
  • The thermally conductive sheets 213 may comprise graphite (GRAFOIL® flexible graphite commercially available from UCAR International, Inc., Nashville, Tenn.), aluminum, and the like. The thickness of the thermally conductive sheets 213 should be within a range of about 1-5 micrometers.
  • The heat transfer assembly 164 described herein may also be used to improve the temperature uniformity of a substrate placed on a substrate support plate (e.g., electrostatic chuck) having a detachable heater or, alternatively, a substrate support plate having an embedded heater.
  • To facilitate isolation of the interior region 186, the base plate 168 is supplied with gas- tight seals 281, 283, and 285. Together, the seals and collar ring 184 isolate the interior region 186 from the reaction volume 141 (seal 281), guide hole 188 (seal 283), and gas conduit 149 (seal 285). In the illustrative embodiment shown in FIG. 2, each such seal comprises an elastic member (e.g., O-rings and the like) that is disposed in a conventional manner in a circular groove.
  • Those skilled in the art will readily realize other permissible modifications of the substrate support 116 and heat transfer assembly 164 that facilitate advantageous in-situ control of the substrate temperature and temperature non-uniformity.
  • While foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (25)

1. A heat transfer assembly for a substrate support in a substrate processing system, comprising:
a first contact plate;
a second contact plate disposed below the first contact plate; and
a heat spreading member sandwiched between the first and the second contact plates, wherein the heat transfer assembly is configured to be disposed between a heat source and a heat sink in a substrate support and to reduce temperature non-uniformity caused by features formed in the substrate support, and wherein the first and second contact plates reduce heat flux through the heat transfer assembly.
2. The heat transfer assembly of claim 1, wherein the heat spreading member has a higher thermal conductivity than the first and second contact plates.
3. The heat transfer assembly of claim 1, wherein the heat spreading member is between about 3-12 millimeters thick.
4. The heat transfer assembly of claim 1, wherein the first and the second contact plates are between about 3-12 millimeters thick.
5. The heat transfer assembly of claim 1, wherein the first and second contact plates each comprise one smooth contact surface and one embossed contact surface.
6. The heat transfer assembly of claim 5, wherein the embossed contact surface comprises an area that is about 5 to 50% of the surface area of the smooth contact surface.
7. The heat transfer assembly of claim 5, wherein embossment in a first region of the embossed contact surface differs from embossment in at least one other region of the embossed contact surface.
8. The heat transfer assembly of claim 5, wherein the embossed contact surface of the first contact plate contacts an upper surface of the heat spreader member and a the smooth contact surface of the second contact plate contacts a bottom surface of the heat spreader member.
9. The heat transfer assembly of claim 1, wherein the heat spreading member is formed of a material selected from the group consisting of aluminum nitride (AlN) and copper (Cu).
10. The heat transfer assembly of claim 1, wherein the first and second contact plates are formed of a material selected from the group consisting of titanium (Ti) and an alloy comprising iron (Fe), nickel (Ni) and cobalt (Co).
11. The heat transfer assembly of claim 1, wherein a thermally conductive sheet is disposed in least one of the following locations: adjacent a top surface of the first contact plate, immediately between the first contact plate and the heat spreader member, immediately between the heat spreader member and the second contact plate, or adjacent a lower surface of the second contact plate.
12. The heat transfer assembly of claim 11, wherein the thermally conductive sheet is formed of a material selected from the group consisting of graphite and aluminum.
13. The heat transfer assembly of claim 11, wherein the thermally conductive sheet is between about 1-5 micrometers thick.
14. Apparatus for processing a semiconductor substrate, comprising:
a process chamber; and
a substrate support disposed in the process chamber comprising a heat spreading member sandwiched between a first contact plate and a second contact plate, wherein the first contact plate is thermally coupled to a heat source and the second contact plate is thermally coupled to a heat sink, wherein the heat spreading member is configured to reduce temperature non-uniformity caused by features formed in the substrate support, and wherein the first and second contact plates reduce heat flux from the heat source to the heat sink.
15. The apparatus of claim 14, wherein the heat source is an embedded heater.
16. The apparatus of claim 15, wherein the embedded heater is a detachable heater that is thermally coupled to a substrate support plate of the substrate support.
17. The apparatus of claim 14, wherein the first and second contact plates each comprise one smooth contact surface and one embossed contact surface, wherein the embossed contact surface of the first contact plate contacts an upper surface of the heat spreader member and a the smooth contact surface of the second contact plate contacts a bottom surface of the heat spreader member.
18. The apparatus of claim 17, wherein embossment in a first region of the embossed contact surface differs from embossment in at least one other region of the embossed contact surface.
19. The apparatus of claim 14, wherein the heat spreading member is formed of a material selected from the group consisting of aluminum nitride (AlN) and copper (Cu).
20. The apparatus of claim 14, wherein the first and second contact plates are formed of a material selected from the group consisting of titanium (Ti) and an alloy comprising iron (Fe), nickel (Ni) and cobalt (Co).
21. The apparatus of claim 14, further comprising at least one bias member that engages and improves thermal coupling between a substrate support plate, the heat source, the heat transfer assembly, and the heat sink.
22. The apparatus of claim 21, wherein each bias member exerts an expanding elastic force and is disposed in the heat sink or a base member coupled to the heat sink.
23. The apparatus of claim 14, wherein a thermally conductive sheet is disposed immediately between at least one of the following: a bottom surface of a substrate support plate and the heat source, a bottom surface of the heat source and a top surface of the first contact plate, a bottom surface of the first contact plate and a top surface of the heat spreader member, a bottom surface of the heat spreader member and a top surface of the second contact plate, or a bottom surface of the second contact plate and a top surface of a heat sink.
24. The apparatus of claim 23, wherein the thermally conductive sheet is formed of a material selected from the group consisting of graphite and aluminum and is between about 1-5 micrometers thick.
25. A substrate support, comprising:
a heat source disposed beneath a support surface of the substrate support;
a heat sink disposed beneath the heat source; and
a heat transfer assembly thermally coupling the heat source to the heat sink, the heat transfer assembly comprising:
a heat spreading member sandwiched between a first contact plate and a second contact plate, wherein the first and second contact plates reduce heat flux through the heat transfer assembly.
US11/535,658 2003-05-16 2006-09-27 Heat transfer assembly Abandoned US20070079761A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/535,658 US20070079761A1 (en) 2003-05-16 2006-09-27 Heat transfer assembly

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/440,365 US7846254B2 (en) 2003-05-16 2003-05-16 Heat transfer assembly
US11/535,658 US20070079761A1 (en) 2003-05-16 2006-09-27 Heat transfer assembly

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/440,365 Continuation US7846254B2 (en) 2003-05-16 2003-05-16 Heat transfer assembly

Publications (1)

Publication Number Publication Date
US20070079761A1 true US20070079761A1 (en) 2007-04-12

Family

ID=33417989

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/440,365 Expired - Fee Related US7846254B2 (en) 2003-05-16 2003-05-16 Heat transfer assembly
US11/535,658 Abandoned US20070079761A1 (en) 2003-05-16 2006-09-27 Heat transfer assembly

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/440,365 Expired - Fee Related US7846254B2 (en) 2003-05-16 2003-05-16 Heat transfer assembly

Country Status (2)

Country Link
US (2) US7846254B2 (en)
JP (1) JP2005051201A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070089670A1 (en) * 2005-10-18 2007-04-26 Asm Japan K.K. Substrate-supporting device
US20080083724A1 (en) * 2006-09-25 2008-04-10 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20090014323A1 (en) * 2007-07-13 2009-01-15 Boris Yendler High temperature cathode for plasma etching
US20090283976A1 (en) * 2008-05-16 2009-11-19 Canon Anelva Corporation Substrate holding apparatus
US20100101771A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Heated cooling plate for e-chucks and pedestals
US20130037532A1 (en) * 2011-08-08 2013-02-14 Applied Materials, Inc. Substrate support with heater
CN105074884A (en) * 2013-03-27 2015-11-18 株式会社Eugene科技 Apparatus for processing substrate
US20180076062A1 (en) * 2016-09-14 2018-03-15 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1719167B1 (en) * 2004-02-13 2011-10-26 ASM America, Inc. Substrate support system for reduced autodoping and backside deposition
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR100867191B1 (en) * 2006-11-02 2008-11-06 주식회사 유진테크 substrate processing apparatus and substrate processing method
DE102007027704A1 (en) * 2007-06-15 2008-12-18 Aixtron Ag Device for coating substrates arranged on a susceptor
JP2009084686A (en) * 2007-09-11 2009-04-23 Tokyo Electron Ltd Substrate-placing mechanism, apparatus for processing substrate, method for suppressing film deposition on substrate placing mechanism, and storage medium
KR100943427B1 (en) * 2008-02-04 2010-02-19 주식회사 유진테크 Substrate supporting unit and substrate processing apparatus, manufacturing method of the substrate supporting unit
US10192760B2 (en) 2010-07-29 2019-01-29 Eugene Technology Co., Ltd. Substrate supporting unit, substrate processing apparatus, and method of manufacturing substrate supporting unit
JP5224855B2 (en) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 Electrode unit, substrate processing apparatus, and temperature control method for electrode unit
JP5564139B2 (en) * 2008-06-13 2014-07-30 キヤノンアネルバ株式会社 Substrate holding apparatus and plasma processing apparatus
JP2010021510A (en) * 2008-06-13 2010-01-28 Canon Anelva Corp Substrate support device and plasma processing apparatus
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US20100107974A1 (en) * 2008-11-06 2010-05-06 Asm America, Inc. Substrate holder with varying density
KR100974822B1 (en) 2009-12-15 2010-08-09 주식회사 광성테크 Method and apparatus for pressing nickel base thin film for forming melamine sheet
KR20140009907A (en) * 2011-01-10 2014-01-23 에스씨아이브이에이엑스 가부시키가이샤 Temperature adjusting device, and imprinting device using same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5989593B2 (en) * 2012-04-27 2016-09-07 日本碍子株式会社 Components for semiconductor manufacturing equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5807160B2 (en) * 2012-12-13 2015-11-10 パナソニックIpマネジメント株式会社 Non-plasma dry etching equipment
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6531675B2 (en) * 2016-02-29 2019-06-19 住友大阪セメント株式会社 Electrostatic chuck device
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170352565A1 (en) * 2016-06-07 2017-12-07 Chunlei Zhang Workpiece carrier with gas pressure in inner cavities
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6882040B2 (en) * 2017-04-03 2021-06-02 日本特殊陶業株式会社 Holding device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US20220262657A1 (en) * 2019-08-02 2022-08-18 Applied Materials, Inc. Pedestal with multi-zone heating
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
CN111968901B (en) * 2020-08-25 2022-08-16 北京北方华创微电子装备有限公司 Semiconductor reaction chamber and semiconductor processing equipment
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5675471A (en) * 1994-07-19 1997-10-07 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5968273A (en) * 1996-08-16 1999-10-19 Sony Corporation Wafer stage for manufacturing a semiconductor device
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6129046A (en) * 1996-03-15 2000-10-10 Anelva Corporation Substrate processing apparatus
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6166897A (en) * 1997-01-22 2000-12-26 Tomoegawa Paper Co., Ltd. Static chuck apparatus and its manufacture
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6262346B1 (en) * 1996-04-15 2001-07-17 Zeneca Limited Ripening-related DNAs from banana
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US20030198005A1 (en) * 2002-04-16 2003-10-23 Yasumi Sago Electrostatic chucking stage and substrate processing apparatus
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
US6796074B1 (en) * 2002-06-19 2004-09-28 Robert V. Obong Firearm magazine grip

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5511799A (en) 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
JP4256503B2 (en) 1997-10-30 2009-04-22 東京エレクトロン株式会社 Vacuum processing equipment
JP3980187B2 (en) * 1998-07-24 2007-09-26 日本碍子株式会社 Semiconductor holding device, its manufacturing method and its use
JP2001237222A (en) 2000-02-22 2001-08-31 Shibaura Mechatronics Corp Vacuum-treating device
JP2002170868A (en) 2000-11-30 2002-06-14 Kyocera Corp Electrostatic chuck

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5675471A (en) * 1994-07-19 1997-10-07 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6129046A (en) * 1996-03-15 2000-10-10 Anelva Corporation Substrate processing apparatus
US6262346B1 (en) * 1996-04-15 2001-07-17 Zeneca Limited Ripening-related DNAs from banana
US5968273A (en) * 1996-08-16 1999-10-19 Sony Corporation Wafer stage for manufacturing a semiconductor device
US6166897A (en) * 1997-01-22 2000-12-26 Tomoegawa Paper Co., Ltd. Static chuck apparatus and its manufacture
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US20030198005A1 (en) * 2002-04-16 2003-10-23 Yasumi Sago Electrostatic chucking stage and substrate processing apparatus
US6796074B1 (en) * 2002-06-19 2004-09-28 Robert V. Obong Firearm magazine grip

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7691205B2 (en) * 2005-10-18 2010-04-06 Asm Japan K.K. Substrate-supporting device
US20070089670A1 (en) * 2005-10-18 2007-04-26 Asm Japan K.K. Substrate-supporting device
US8450657B2 (en) 2006-09-25 2013-05-28 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20080083724A1 (en) * 2006-09-25 2008-04-10 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20090014323A1 (en) * 2007-07-13 2009-01-15 Boris Yendler High temperature cathode for plasma etching
US20090283976A1 (en) * 2008-05-16 2009-11-19 Canon Anelva Corporation Substrate holding apparatus
US9064911B2 (en) 2008-10-24 2015-06-23 Applied Materials, Inc. Heated cooling plate for E-chucks and pedestals
US20100101771A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Heated cooling plate for e-chucks and pedestals
US20130037532A1 (en) * 2011-08-08 2013-02-14 Applied Materials, Inc. Substrate support with heater
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
CN105074884A (en) * 2013-03-27 2015-11-18 株式会社Eugene科技 Apparatus for processing substrate
US20180076062A1 (en) * 2016-09-14 2018-03-15 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus
US10950472B2 (en) * 2016-09-14 2021-03-16 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus
US20210159099A1 (en) * 2016-09-14 2021-05-27 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus
US11881420B2 (en) * 2016-09-14 2024-01-23 SCREEN Holdings Co., Ltd. Light-irradiation thermal treatment apparatus

Also Published As

Publication number Publication date
US20040226515A1 (en) 2004-11-18
US7846254B2 (en) 2010-12-07
JP2005051201A (en) 2005-02-24

Similar Documents

Publication Publication Date Title
US7846254B2 (en) Heat transfer assembly
US7544251B2 (en) Method and apparatus for controlling temperature of a substrate
KR101476566B1 (en) Substrate support having dynamic temperature control
KR100907848B1 (en) High-temperature electrostatic chuck
US11043360B2 (en) Gas distribution plate assembly for high power plasma etch processes
US6095083A (en) Vacuum processing chamber having multi-mode access
JP5274918B2 (en) Method for controlling temperature of chamber inner member of plasma processing apparatus, chamber inner member and substrate mounting table, and plasma processing apparatus including the same
KR102025908B1 (en) Electrostatic chuck assembly
KR101541202B1 (en) Showerhead electrode assemblies for plasma processing apparatuses
US9490150B2 (en) Substrate support for substrate backside contamination control
KR20110049867A (en) Electrostatic chuck assembly
JPH10144614A (en) Face plate thermal choke in cvd plasma reactor
US11367597B2 (en) Electrostatic chuck and plasma processing apparatus including the same
US20030089457A1 (en) Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
JP7381713B2 (en) Process kit sheath and temperature control
JP7308950B2 (en) Cryogenic electrostatic chuck
KR102268559B1 (en) Shower head unit and system for treating substrate with the shower head unit
WO2024035589A1 (en) Vacuum seal for electrostatic chuck
WO2021202136A1 (en) Cooled edge ring with integrated seals
KR20070000225U (en) Apparatus for controlling temperature of a substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YENDLER, BORIS;MATYUSHKIN, ALEXANDER;REEL/FRAME:018336/0858;SIGNING DATES FROM 20030430 TO 20030514

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION