US20070099552A1 - Conductive pad with ion exchange membrane for electrochemical mechanical polishing - Google Patents

Conductive pad with ion exchange membrane for electrochemical mechanical polishing Download PDF

Info

Publication number
US20070099552A1
US20070099552A1 US11/555,145 US55514506A US2007099552A1 US 20070099552 A1 US20070099552 A1 US 20070099552A1 US 55514506 A US55514506 A US 55514506A US 2007099552 A1 US2007099552 A1 US 2007099552A1
Authority
US
United States
Prior art keywords
polishing
article
conductive
disposed
membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/555,145
Other versions
US7344432B2 (en
Inventor
Liang-Yuh Chen
Yuchun Wang
Yan Wang
Alain Duboust
Daniel Carl
Ralph Wadensweiler
Manoocher Birang
Paul Butterfield
Rashid Mavliev
Stan Tsai
You Wang
Jie Diao
Renhe Jia
Lakshmanan Karuppiah
Robert Ewald
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/033,732 external-priority patent/US7066800B2/en
Priority claimed from US11/393,220 external-priority patent/US7137879B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/555,145 priority Critical patent/US7344432B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BIRANG, MANOOCHER, JIA, RENHE
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, YAN, CHEN, LIANG-YUH, EWALD, ROBERT, KARUPPIAH, LAKSHMANAN, CARL, DANIEL A., DUBOUST, ALAIN, BUTTERFIELD, PAUL D., DIAO, JIE, MAVLIEV, RASHID A., TSAI, STAN D., WADENSWEILER, RALPH, WANG, YOU, WANG, YUCHUN
Publication of US20070099552A1 publication Critical patent/US20070099552A1/en
Application granted granted Critical
Publication of US7344432B2 publication Critical patent/US7344432B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/046Lapping machines or devices; Accessories designed for working plane surfaces using electric current
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B27/00Other grinding machines or devices
    • B24B27/0023Other grinding machines or devices grinding machines with a plurality of working posts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • B24D3/34Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents characterised by additives enhancing special physical properties, e.g. wear resistance, electric conductivity, self-cleaning properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Definitions

  • the present invention relates to an article of manufacture and apparatus for planarizing a substrate surface.
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large-scale integration (ULSI).
  • the multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • ECP electro-chemical plating
  • Planarizing a surface is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
  • ECMP electrochemical mechanical polishing
  • CMP chemical mechanical polishing
  • the substrate is held in a carrier head that rotates relative to a rotating platen assembly.
  • the carrier head is adapted to controllably urge the substrate toward the platen assembly.
  • the electrochemical dissolution is performed by applying a bias between a cathode and substrate surface to remove conductive materials from a substrate surface into a surrounding electrolyte.
  • the bias is applied by polishing pad coupled to the platen.
  • the polishing pad Includes a partially conductive polishing surface that is in electrical communication with the substrate surface when the substrate is urged toward the polishing surface by the carrier head.
  • the polishing pad also includes an electrode that functions as the cathode, and the electrochemical cell is complete when the electrolyte is flowed onto the pad.
  • Another challenge is posed by the accumulation of material, such as conductive material removed from the substrate surface and reaction by products, on the electrode. This material accumulation may decrease the electrochemical efficiency and uniformity of the polishing process.
  • aspects of the invention generally provide an article of manufacture and an apparatus for planarizing a layer on a substrate using electrochemical deposition techniques, electrochemical dissolution techniques, polishing techniques, and combinations thereof.
  • an article of manufacture for polishing a substrate including polishing article Including a body having at least a partially conductive surface adapted to polish the substrate and a mounting surface.
  • the polishing article may have a plurality of perforations formed therein to allow flow of fluid therethrough.
  • an article of manufacture for polishing a substrate includes a polishing surface adapted to contact the substrate, an electrode disposed below the polishing surface, and a planar membrane disposed between the polishing surface and the electrode.
  • an article of manufacture for polishing a substrate includes a perforated dielectric support layer, a perforated conductive material layer disposed on the perforated dielectric support layer, an electrode disposed below the dielectric support layer, and a membrane disposed between the electrode and the perforated dielectric support layer, wherein the membrane is substantially parallel to one of the conductive material layer, or the electrode.
  • an article of manufacture for polishing a substrate includes a polishing surface adapted to contact the substrate, an electrode disposed below the polishing surface, and a membrane disposed between the polishing surface and the electrode, wherein the membrane is permeable to ions and is in a substantially parallel orientation relative to the electrode.
  • FIG. 1 is a plan view of one embodiment of a processing apparatus of the invention
  • FIG. 2 is a sectional view of one embodiment of an ECMP station
  • FIG. 3 is a side schematic view of one embodiment of the polishing article
  • FIGS. 4 is a side schematic view of another embodiment of the polishing article
  • FIGS. 5A-5B are side schematic views of another embodiment of the polishing article.
  • FIG. 6 is a side schematic view of another embodiment of the polishing article.
  • FIG. 7 is a top plan view of one embodiment of a grooved polishing article
  • FIG. 8 is a top plan view of another embodiment of a grooved polishing article.
  • FIG. 9 is a top plan view of another embodiment of a grooved polishing article.
  • FIG. 10A is perspective view of one embodiment of a polishing article having a conductive element
  • FIG. 10B is partial perspective view of another embodiment of a polishing article having a conductive element
  • FIG. 10C is partial perspective view of another embodiment of a polishing article having a conductive element
  • FIG. 10D is detailed view of the polishing article of FIG. 10C ;
  • FIG. 11A is a partial sectional view of another embodiment of a conductive element
  • FIG. 11B is a partial sectional view of another embodiment of a conductive element
  • FIG. 12A is a partial sectional view of another embodiment of a conductive element
  • FIG. 12B is a partial sectional view of another embodiment of a conductive element
  • FIG. 13 is perspective view of another embodiment of a polishing article having a conductive element
  • FIG. 14A is a partial perspective view of another embodiment of a polishing article
  • FIG. 14B is a perspective view of another embodiment of a polishing article
  • FIG. 14C is a partial perspective view of another embodiment of a polishing article
  • FIG. 14D shows another embodiment of a polishing article having conductive elements comprising loops secured to the polishing article
  • FIGS. 15A-15D are schematic top and side views of one embodiment of a inlet power pad mounted on the polishing article described herein;
  • FIG. 16A is a sectional view of another embodiment of an ECMP station
  • FIG. 16B is an exploded schematic view of a portion of the polishing article shown in FIG. 16A ;
  • FIG. 17 is an exploded isometric view of one embodiment of a polishing article
  • FIG. 18 is a top view of one embodiment of a membrane support member.
  • FIG. 19 is a sectional side view of another embodiment of a polishing article.
  • FIG. 1 depicts a processing apparatus 100 having at least one station suitable for electrochemical deposition and chemical mechanical polishing, such as electrochemical mechanical polishing (ECMP) stations 102 , 104 , and at least one conventional polishing or buffing station 106 disposed on a single platform or tool.
  • ECMP electrochemical mechanical polishing
  • Examples of polishing tools that may be adapted to benefit from the invention are the MIRRA® chemical mechanical polishing system and REFLEXION LK EcmpTM system available from Applied Materials, Inc. located in Santa Clara, Calif. Other planarizing modules commonly used in the art may also be adapted to benefit from the invention.
  • the exemplary apparatus 100 generally includes a base 108 that supports one or more ECMP stations 102 , 104 , one or more polishing stations 106 , a transfer station 110 and a carousel 112 .
  • the transfer station 110 generally facilitates transfer of substrates 114 to and from the apparatus 100 via a loading robot 116 .
  • the loading robot 116 typically transfers substrates 114 between the transfer station 110 and a factory interface 120 that may include a cleaning module 122 , a metrology device 105 and one or more substrate storage cassettes 118 .
  • the loading robot 116 (or factory interface 120 ) may transfer substrates to one or more other processing tools (not shown) such as a chemical vapor deposition tool, physical vapor deposition tool, etch tool and the like.
  • a metrology device 105 is a NOVASCANTM Integrated Thickness Monitoring system, available from Nova Measuring Instruments, Inc., located in Phoenix, Ariz.
  • the apparatus 100 shown in FIG. 1 includes a first ECMP station 102 , a second ECMP station 104 , and one CMP station 106 . It is to be understood that the invention is not limited to this configuration and that all of the stations 102 , 104 , and 106 may be adapted to use an ECMP process to remove various layers deposited on the substrate. Alternatively, the apparatus 100 may include two stations that are adapted to perform a CMP process while another station may perform an ECMP process.
  • a substrate having feature definitions lined with a barrier layer and filled with a conductive material disposed over the barrier layer may have the conductive material removed in two steps in the two ECMP stations 102 , 104 , with the barrier layer processed in the conventional CMP station 106 to form a planarized surface on the substrate.
  • the stations 102 , 104 , and 106 in any of the combinations mentioned above may also be adapted to deposit a material on a substrate by an electrochemical and/or an electrochemical mechanical plating process.
  • the transfer station 110 comprises at least an input buffer station 124 , an output buffer station 126 , a transfer robot 132 , and a load cup assembly 128 .
  • the loading robot 116 places the substrate 114 onto the input buffer station 124 .
  • the transfer robot 132 has two gripper assemblies, each having pneumatic gripper fingers that hold the substrate 114 by the substrate's edge. The transfer robot 132 lifts the substrate 114 from the input buffer station 124 and rotates the gripper and substrate 114 to position the substrate 114 over the load cup assembly 128 , then places the substrate 114 down onto the load cup assembly 128 .
  • the carousel 112 generally supports a plurality of polishing heads 130 , each of which retains one substrate 114 during processing.
  • the carousel 112 transfers the polishing heads 130 between the transfer station 110 , the one or more ECMP stations 102 and the one or more polishing stations 106 .
  • One carousel 112 that may be adapted to benefit from the invention is generally described in U.S. Pat. No. 5,804,507, issued Sept. 8, 1998 to Tolles et al., which is hereby incorporated by reference to the extent it is not inconsistent with the claims and disclosure herein.
  • the carousel 112 is centrally disposed on the base 108 .
  • the carousel 112 typically includes a plurality of arms 138 .
  • Each arm 138 generally supports one of the polishing heads 130 .
  • One of the arms 138 depicted in FIG. 1 is not shown so that the transfer station 110 may be seen.
  • the carousel 112 is indexable such that the polishing head 130 may be moved between the stations 102 , 106 and the transfer station 110 in a sequence defined by the user.
  • the polishing head 130 retains the substrate 114 while the substrate 114 is disposed in the ECMP stations 102 , 104 , or polishing station 106 .
  • the arrangement of the ECMP stations 106 and polishing stations 102 on the apparatus 100 allow for the substrate 114 to be sequentially plated or polished by moving the substrate between stations while being retained in the same polishing head 130 .
  • One polishing head that may be adapted to be used in the invention is a TITAN HEADTM substrate carrier, manufactured by Applied Materials, Inc., located in Santa Clara, Calif.
  • a controller 140 comprising a central processing unit (CPU) 142 , memory 144 , and support circuits 146 , is connected to the polishing apparatus 100 .
  • the CPU 142 may be one of any form of computer processor that can be used in an industrial setting for controlling various drives and pressures.
  • the memory 144 is connected to the CPU 142 .
  • the memory 144 or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 146 are connected to the CPU 142 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 2 depicts a sectional view of the polishing head 130 supported above an ECMP station 102 .
  • the ECMP station 102 generally includes a basin 202 , an electrode 204 , polishing article 205 , a disc 206 and a cover 208 .
  • the basin 202 is coupled to the base 108 of the polishing apparatus 100 .
  • the basin 202 generally defines a container or electrolyte cell in which a conductive fluid such as an electrolyte 220 can be confined.
  • the electrolyte 220 used in processing the substrate 114 can include metals such as copper, aluminum, tungsten, gold, silver or other materials which can be electrochemically deposited onto or electrochemically removed from the substrate 114 .
  • the basin 202 can be a bowl shaped member made of a plastic such as fluoropolymers, a TEFLON® material, PFA, PE, PES, or other materials that are compatible with electroplating and electropolishing chemistries.
  • the basin 202 has a bottom 210 that includes an aperture 216 and a drain 214 .
  • the aperture 216 is generally disposed in the center of the bottom 210 and allows a shaft 212 to pass therethrough.
  • a seal 218 is disposed between the aperture 216 and the shaft 212 and allows the shaft 212 to rotate while preventing fluids disposed in the basin 202 from passing through the aperture 216 .
  • the basin 202 typically includes the electrode 204 , the disc 206 , and the polishing article 205 disposed therein.
  • Polishing article 205 such as a polishing pad, is disposed and supported in the basin 202 on the disc 206 .
  • the electrode 204 is a counter-electrode to the substrate 114 and/or polishing article 205 contacting a substrate surface.
  • the polishing article 205 is at least partially conductive and may act as an electrode in combination with the substrate during electrochemical processes, such as an electrochemical mechanical plating process (ECMPP), which includes electrochemical deposition and chemical mechanical polishing, or electrochemical dissolution.
  • EMPP electrochemical mechanical plating process
  • the electrode 204 may be an anode or cathode depending upon the positive bias (anode) or negative bias (cathode) applied between the electrode 204 and polishing article 405 .
  • the electrode 204 acts as an anode and the substrate surface and/or polishing article 205 acts as a cathode.
  • the electrode 204 functions as a cathode and the substrate surface and/or polishing article 205 may act as an anode for the dissolution process.
  • the electrode 204 is generally positioned between the disc 206 and the bottom 210 of the basin 202 where it may be immersed in the electrolyte 220 .
  • the electrode 204 can be a plate-like member, a plate having multiple apertures formed therethrough, or a plurality of electrode pieces disposed in a permeable membrane or container.
  • a permeable membrane (shown in FIGS. 16A-19 ) may be disposed between the disc 206 and the electrode 204 or electrode 204 and polishing article 205 to filter bubbles, such as hydrogen bubbles, from the wafer surface and to reduce defect formation and stabilize or more uniformly apply current or power therebetween.
  • the electrode 204 is comprised of the material to be deposited or removed, such as copper, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on the substrate 114 .
  • the electrode 204 may include a non-consumable electrode of a material other than the deposited material, such as platinum for copper dissolution.
  • the non-consumable electrode is used in planarization processes combining both electrochemical deposition and removal.
  • polishing article for an electrochemical-mechanical polishing (ECMP) process
  • the invention contemplates using the conductive polishing article in other fabrication processes involving electrochemical activity.
  • electrochemical deposition which involves the polishing article 205 being used to apply a uniform bias to a substrate surface for depositing a conductive material without the use of conventional bias application apparatus, such as edge contacts
  • electrochemical mechanical plating processes EMPP
  • the polishing article 205 can be a pad, a web or a belt of material, which is compatible with the fluid environment and the processing specifications.
  • the polishing article 205 is circular in form and positioned at an upper end of the basin 202 , supported on its lower surface by the disc 206 .
  • the polishing article 205 includes at least a partially conductive surface of a conductive material, such as one or more conductive elements, for contact with the substrate surface during processing.
  • the polishing article 205 may be a conductive polishing material or a composite of a conductive polishing material disposed on a conventional polishing material.
  • the conductive material may be disposed on a “backing” material disposed between the disc 206 and polishing article 205 to tailor the compliance and/or durometer of the polishing article 205 during processing.
  • the basin 202 , the cover 208 , and the disc 206 may be movably disposed on the base 108 .
  • the basin 202 , cover 208 and disc 206 may be axially moved toward the base 108 to facilitate clearance of the polishing head 130 as the carousel 112 indexes the substrate 114 between the ECMP and polishing stations 102 , 106 .
  • the disc 206 is disposed in the basin 202 and coupled to the shaft 212 .
  • the shaft 212 is generally coupled to a motor 224 disposed below the base 108 .
  • the motor 224 in response to a signal from the controller 140 , rotates the disc 206 at a predetermined rate.
  • the disc 206 may be a perforated article support made from a material compatible with the electrolyte 220 which would not detrimentally affect polishing.
  • the disc 206 may be fabricated from a polymer, for example fluoropolymers, PE, TEFLON®, PFA, PES, HDPE, UHMW or the like.
  • the disc 206 can be secured in the basin 202 using fasteners such as screws or other means such as snap or interference fit with the enclosure, being suspended therein and the like.
  • the disc 206 is preferably spaced from the electrode 204 to provide a wider process window, thus reducing the sensitivity of depositing material and removing material from the substrate surface to the electrode 204 dimensions.
  • the disc 206 is generally permeable to the electrolyte 220 .
  • the disc 206 includes a plurality of perforations or channels 222 formed therein.
  • the perforation size and density is selected to provide uniform distribution of the electrolyte 220 through the disc 206 to the substrate 114 .
  • the disc 206 includes perforations having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm).
  • the perforations may have a perforation density between about 30% and about 80% of the polishing article. A perforation density of about 50% has been observed to provide electrolyte flow with minimal detrimental effects to polishing processes.
  • the perforations of the disc 206 and the polishing article 205 are aligned to provide to provide for sufficient mass flow of electrolyte through the disc 206 and polishing article 205 to the substrate surface.
  • the polishing article 205 may be disposed on the disc 206 by a mechanical clamp or conductive adhesive.
  • the electrolyte 220 is prevented from overflowing the processing area 232 by a plurality of apertures 234 disposed in a skirt 254 .
  • the apertures 234 generally provide a path through the cover 208 for the electrolyte 220 exiting the processing area 232 and flowing into the lower portion of the basin 202 .
  • the apertures 234 are generally positioned between a lower surface 236 of the depression 258 and the center portion 252 .
  • the electrolyte 220 fills the processing area 232 and is thus brought into contact with the substrate 114 and polishing article 205 .
  • the substrate 114 maintains contact with the electrolyte 220 through the complete range of relative spacing between the cover 208 and the disc 206 .
  • the electrolyte 220 collected in the basin 202 generally flows through the drain 214 disposed at the bottom 210 into the fluid delivery system 272 .
  • the fluid delivery system 272 typically includes a reservoir 233 and a pump 242 .
  • the electrolyte 220 flowing into the fluid delivery system 272 is collected in the reservoir 233 .
  • the pump 242 transfers the electrolyte 220 from the reservoir 233 through a supply line 244 to the nozzle 270 where the electrolyte 220 recycled through the ECMP station 102 .
  • a filter 240 is generally disposed between the reservoir 233 and the nozzle 270 to remove particles and agglomerated material that may be present in the electrolyte 220 .
  • the polishing article 205 is composed of a single layer of conductive polishing material described herein disposed on the disc 206 .
  • the polishing article 205 may comprises a plurality of material layers including at least one conductive material on the substrate surface or providing for a conductive surface for contacting a substrate.
  • FIG. 3 is a cross section view of the polishing article 205 illustrating a multi-layer or composite layer polishing article having a conductive polishing portion 310 for polishing a substrate surface and a mounting portion 320 .
  • the conductive polishing portion 310 may include a conductive polishing material or be a composite of the conductive polishing material and the conventional polishing material described herein.
  • the thickness of the polishing article 205 may be between about 0.1 mm and about 5 mm.
  • the mounting portion 320 generally comprises the same material as the conductive polishing portion 310 .
  • the mounting portion 320 may be formed of other materials, such as formed only from a conventional hard polishing material, i.e., a shore D hardness of about 80 or greater, which provides support to the conductive polishing portion 310 during polishing.
  • the mounting portion 320 may be a conventional soft material, such as compressed felt fibers leached with urethane, for absorbing some of the pressure applied between the polishing article 205 and the carrier head 130 .
  • the soft material may have a Shore D hardness between about 25 and about 40.
  • the conductive polishing portion 310 is adhered to the mounting portion 320 by a conventional adhesive.
  • the adhesive may be conductive or dielectric depending on the requirements of the process.
  • the mounting portion 320 may be affixed to the disc 206 by an adhesive or mechanical clamps.
  • the conductive polishing portion 310 and the mounting portion 320 of the polishing article 205 are generally permeable to the electrolyte by a plurality of perforations or channels formed therein.
  • the plurality of perforations or channels allows electrolyte to flow through and contact the surface during processing.
  • Perforations 350 formed in the polishing article 205 may include channels or apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). While not shown in FIG. 3 , the perforations may have a diameter about equal to the thickness of the polishing article 205 , or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • the polishing article may have a perforation density between about 30% and about 80% of the polishing article to provide for sufficient mass flow of electrolyte across the polishing article surface. In one embodiment, a perforation density of about 50% provides sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface. Perforation density is broadly described herein as the area or volume of polishing article that the perforations comprise, i.e., the aggregate number and diameter or size of the perforations, of the surface or body of the polishing article when perforations are formed in the polishing article 205 .
  • the perforation size and density is selected to provide uniform distribution of electrolyte through the polishing article 205 to a substrate surface.
  • the perforation size, perforation density, and organization of the perforations of both the conductive polishing portion 310 and the mounting portion 320 are configured and aligned to each another to provide for sufficient mass flow of electrolyte through the conductive polishing portion 310 and the mounting portion 320 to the substrate surface.
  • the polishing article 205 includes conductive polishing surface article 410 for polishing a substrate surface, a conductive article support layer 420 , and a rigid support layer 430 .
  • the conductive polishing surface article 410 is disposed on the conductive article support layer 420 and is adhered to the conductive article support layer 420 by a conductive adhesive.
  • the conductive article support layer 420 may be affixed to the rigid support layer 430 by a conventional adhesive used with polishing materials and in polishing processes.
  • the rigid support layer 430 may comprise a mounting portion to be disposed on an article support structure, such as the disc 206 , or may comprise the disc 206 itself.
  • the polishing article 205 may be affixed to the disc 206 by an adhesive or mechanical clamp (not shown).
  • the thickness of the polishing article 205 is between about 0.1 mm and about 5 mm in thickness.
  • the conductive polishing surface article 410 may comprise a conductive polishing material or composite of a conductive polishing material disposed in conventional polishing materials as described herein.
  • the conductive polishing surface article 410 generally includes a surface roughness of about 1 micron or less.
  • the conductive polishing surface article 410 generally has a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials.
  • the conductive article support layer 420 may be made from a conductive material compatible with surrounding electrolyte which would not detrimentally affect polishing.
  • the conductive article support layer 420 can be made of materials including conductive noble metals, such as platinum, or a conductive polymer to provide electrical conduction across the polishing article. Suitable materials for the conductive article support layer 420 are those which are inert materials in the polishing process and are resistant to being consumed or damaged during ECMP.
  • the invention contemplates the use of materials for the conductive article support layer 420 that are reactive with the surrounding electrolyte, such as copper, if such materials are isolated from the surrounding electrolyte.
  • the conductive article support layer 420 may be conformally covered with the conductive polishing material to minimize any detrimental impact of reactivity between the material of the conductive article support layer 420 and surrounding electrolyte.
  • the conductive article support layer 420 generally has a better conductivity, i.e., lower resistivity, than does the conductive polishing surface article 410 .
  • the conductive article support may comprise platinum, which has a resistivity 9.81 ⁇ -cm at 0° C., and is a lower resistivity than carbon fibers or carbon nanotubes, both of which exhibit resistivities of 1.0 ⁇ -cm or less.
  • the conductive article support layer 420 is used to provide for uniform bias or current to minimize conductive resistance along the surface of the article, for example, the radius of the article, during polishing for uniform anodic dissolution across the substrate surface.
  • the conductive article support layer 420 is connected to a power source (not shown).
  • the conductive article support layer 420 provides the current carrying capability, i.e., the anodic bias for anodic dissolution, of the conductive polishing article 205 .
  • the power source may be connected to the conductive article support layer 420 by one or more conductive contacts disposed around the conductive article support layer 420 .
  • One or more power sources may be connected to the conductive article support layer 420 by the one or more contacts to allow for generating variable bias or current across portion of the substrate surface.
  • the rigid support layer 430 generally comprises a rigid support material used to hold polishing article.
  • Rigid support layer 430 may include polymeric materials, for example fluoropolymers, PE, a TEFLON® material, PFA, PES, HDPE, UHMW or the like used for the disc 206 .
  • the rigid support layer 430 may include a conventional hard polishing material, for example, materials found in the IC series of polishing article, such as polyurethane or polyurethane composites, including the IC-1000 polishing pad, from Rodel Inc., of Phoenix, Ariz.
  • the hard conventional material has a hardness less than that of the conductive polishing surface article 410 .
  • a layer of compressible material such as soft polishing material may be disposed in place of the rigid support layer 430 or between the conductive article support layer 420 and rigid support layer 430 .
  • the compressible material includes a conventional soft material, such as compressed felt fibers leached with urethane, and having a Shore D hardness between about 25 and about 40.
  • the conductive polishing surface article 410 , the conductive article support layer 420 , and the rigid support layer 430 of the polishing article 205 are generally made permeable to the electrolyte by a plurality of perforations or channels formed therein.
  • the perforations 405 include channels or apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm) and a perforation density may be between about 30% and about 80% of the polishing article 205 .
  • a perforation density of about 50% may be used with the polishing article 205 .
  • the perforations 405 may have a diameter about equal to the thickness of the polishing article 205 , or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • the perforation size, organization, and density of the conductive polishing surface article 410 , the conductive article support layer 420 , and the rigid support layer 430 are configured and aligned to provide for sufficient mass flow of electrolyte through rigid support layer 430 , the conductive article support layer 420 , and the conductive polishing surface article 410 to the substrate surface.
  • the rigid support 430 includes a surface for mounting on disc 206 .
  • the disc 206 may be perforated.
  • the rigid support 430 may be secured to the disc 206 by mechanical clamps or a conventional adhesion for securing polishing materials to support structures.
  • the perforations of the disc 206 are configured and aligned with the rigid support 430 , the conductive article support layer 420 , and the conductive polishing surface article 410 to provide for sufficient mass flow of electrolyte through the polishing article 205 and the disc 206 to the substrate surface.
  • FIG. 5A is a side schematic view of another embodiment of the polishing article 205 disposed on the disc 206 .
  • the polishing article 205 in this embodiment includes a round polishing pad including conductive polishing surface article 510 disposed on a article support layer 520 , which is disposed on a support layer 530 .
  • the conductive polishing surface article 510 includes carbon fibers and polyurethane
  • the article support layer 520 includes a platinum film
  • the support 530 typically includes a compressible material, such as a soft material described herein, including compressed felt fibers leached with urethane.
  • a less compressible material such as a hard material described herein, for example, polyurethane, may be used as the support layer 530 .
  • Grooves 550 are formed in the conductive polishing surface article 510 , the conductive article support layer 520 , and the rigid support 530 of the polishing article 205 , and perforations 505 are formed in the disc 206 to further allow electrolyte to contact the substrate surface during ECMP.
  • FIG. 5B is a side schematic view of another embodiment of the polishing article 205 disposed on disc 206 .
  • the conductive article support layer 520 layer is isolated from the surrounding electrolyte 560 by a conformal layer of the conductive polishing surface article 510 .
  • the conductive polishing surface article 510 is provided at a thickness of about 1 mm and covers the entire exposed conductive article support layer 520 . Since the conductive article support layer 520 is not exposed to the surrounding electrolyte, the conductive layer 520 may include materials, such as copper which has a resistivity of 1.6 ⁇ -cm at 0° C., that may be consumed if exposed to ECMP processing.
  • the perforations 505 may have a diameter about equal to the thickness of the polishing article 205 or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • FIG. 6 is a side schematic view of another embodiment of the polishing article 205 disposed on the disc 206 .
  • a metal mesh 610 of a conductive metal is disposed in the polishing article 205 to provide conductivity to the polishing article 205 .
  • the polishing article 205 using a metal mesh generally comprise a stack of polishing materials including metal mesh 610 disposed in a first conventional polishing material, a flexible polishing material 620 , and rigid support 630 of a second conventional polishing material.
  • the metal mesh 610 disposed in the first conventional polishing material includes the conductive polishing portion of the polishing article 205 and the flexible polishing material 620 and rigid support 630 comprise the mounting portion of the polishing article 205 .
  • the amount, thickness, material, and configuration of the metal mesh and the thickness of the conventional polishing material are designed to provide a bias or current to the substrate surface with minimal resistance between an external power source and the polishing article surface.
  • the metal mesh may be interwoven wire forming a “X-Y” grid (a square pattern) or a triangular pattern, an “X-Y” grid with diagonal wires passing therethrough, formed in the conventional polishing material.
  • the metal mesh includes conductive materials, such as platinum described herein, which is chemically inert to the surrounding electrolyte. Additionally, conformal coverage of the metal mesh by the conventional or conductive polishing material may allow the use of materials, such as copper which has a resistivity of 1.6 ⁇ -cm at 0° C., that may be consumed if exposed to ECMP processing.
  • the conductive polishing article 610 with the metal mesh may be manufactured by forming a pattern in the first conventional polishing material and then electroplating or pressing a metal mesh in the pattern.
  • the conductive polishing article 610 may also be manufactured to be conformally covered by the conventional polishing material by disposing the metal mesh in an injection molding apparatus and then forming the conventional polishing material around the mesh by an injection molding process.
  • Grooves 650 and perforations 605 may also formed in the polishing article 205 with the metal mesh for effective flow of electrolyte across the polishing article 205 .
  • the metal mesh is generally formed in the conductive polishing article 610 with exposed contacts for connecting to a power source.
  • the conventional polishing material used with the metal mesh 610 and the conventional polishing material of the rigid support 630 may be the same or different conventional material.
  • the conventional polishing material used with the metal mesh 610 and the conventional polishing material of the rigid support 630 may have the same or different hardness.
  • a first conventional polishing material may be used with the metal mesh 610 may have a Shore D hardness between about 50 and about 60
  • the rigid support 630 may be formed by a second conventional polishing material having a hardness of about 80.
  • Conductive materials such as conductive polymers and conductive filler material, may also be used with the metal mesh 610 .
  • the flexible polishing material 620 may comprise a uniformly compressible plastic, foam or rubber.
  • An example of a flexible polishing material is compressed felt fibers leached with urethane.
  • One polishing article material suitable for use as the flexible polishing material 620 includes the materials used in the Politex or Suba IV polishing article from Rodel, Inc. of Phoenix, Ariz. (Politex and Suba IV are product names of Rodel, Inc.).
  • the flexible polishing material may have a Shore D hardness between about 25 and about 40.
  • grooves may be disposed in the polishing article 205 to promote electrolyte flow to the substrate surface for anodic dissolution or electroplating processes. While the grooves shown in FIGS. 5A, 5B , and 6 , illustrate grooves through multiple layers, the invention contemplates grooves being formed in the upper layer or polishing surface that contacts the substrate surface with perforations in the non-grooved layer to provide electrolyte flow therethrough.
  • grooves used to facilitate electrolyte flow include linear grooves, arcuate grooves, annular concentric grooves, and helical grooves among others.
  • the grooves formed in the article 205 may have a cross-section that is square, circular, semi-circular, or any other shape conventionally used in the art.
  • the grooves may be configured into patterns, such as an X-Y pattern disposed on the polishing surface or a triangular pattern formed on the polishing surface, or combinations thereof, to improve electrolyte flow over the surface of the substrate. Any suitable groove configuration, size, diameter, and spacing may be used to provide the desired flow of electrolyte.
  • the grooves are configured to intersect with the perforations formed in the polishing article.
  • FIG. 7 is a top plan view of one embodiment of a grooved polishing article.
  • a round pad 740 of the polishing article 205 is shown having a plurality of perforations 746 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface.
  • the perforations 746 can be spaced between about 0.2 inches and about 1.0 inches from one another.
  • the perforations may be circular perforations having a diameter of between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). Further the number and shape of the perforations may vary depending upon the apparatus, processing parameters, and ECMP compositions being used.
  • Grooves 742 are formed in the polishing surface 748 of the polishing article 205 therein to assist transport of fresh electrolyte from the bulk solution from basin 202 to the gap between the substrate and the polishing article.
  • the grooves 742 may be spaced between about 30 mils and about 300 mils apart from one another.
  • grooves 742 formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing.
  • An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another. Transport of electrolyte may be enhanced by forming the perforations at least partially in the grooves to improve flow of the electrolyte.
  • the grooves 742 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 748 as shown in FIG. 7 , an X-Y pattern as shown in FIG. 8 and a triangular pattern as shown in FIG. 9 . While these patterns are shown and described herein, the invention contemplates the use of other patterns which can facilitate electrolyte flow to a substrate surface during processing.
  • FIG. 8 is a top plan view of another embodiment of a polishing pad having grooves 842 disposed in an X-Y pattern on the polishing article 848 of a polishing pad 840 .
  • Perforations 846 may be disposed at the intersections of the vertically and horizontally disposed grooves, and may also be disposed on a vertical groove, a horizontal groove, or disposed in the polishing article 848 outside of the grooves 842 .
  • the perforations 846 and grooves 842 are disposed in the inner diameter of the polishing article and the outer diameter 850 of the polishing pad 844 may be free of perforations and grooves and perforations.
  • FIG. 9 is another embodiment of patterned polishing article 948 .
  • grooves 942 may be disposed in an X-Y pattern with diagonally disposed grooves 945 intersecting the X-Y patterned grooves 942 disposed on a round pad 940 .
  • the diagonal grooves 945 may be disposed at an angle between about 30° and about 60° from any of the X-Y grooves 942 .
  • Perforations 946 may be disposed at the intersections of the X-Y grooves 942 , the intersections of the X-Y grooves 942 and diagonal grooves 945 , along any of the grooves 942 and 945 , or disposed in the polishing article 948 outside of the grooves 942 and 945 .
  • the perforations 946 and grooves 942 are disposed in the inner diameter 950 of the polishing article and the outer diameter 950 of the polishing pad 944 may be free of perforations and grooves.
  • the grooves provide a supply of electrolyte to the substrate surface that is evenly distributed on the substrate surface allowing for a more even dissolution of material into the substrate, and thereby increasing substrate planarity and uniformity. It is further believed that the use of intersecting grooves and perforations will allow electrolyte to enter through one set of perforation, be evenly distributed around the substrate surface, and then removed through a second set of perforations.
  • the conductive polishing article 205 of the invention may alternatively comprise discrete conductive elements disposed in a polishing material. While not shown, the following polishing article descriptions may include polishing articles having perforation and grooving patterns described herein and shown in FIGS. 7-9 , with configurations to the patterns to incorporate the conductive elements described herein as follows.
  • FIG. 10A depicts one embodiment of a polishing article 205 having conductive elements disposed therein.
  • the polishing article 205 generally comprises a body 1006 having a polishing surface 1002 adapted to contact the substrate while processing.
  • the polishing surface 1002 has one or more opening or pockets 1004 formed therein to at least partially receive the conductive elements 1065 .
  • the conductive elements 1065 are generally disposed to have a contact surface 1008 with a substrate that extends above a plane defined by the polishing surface 1002 .
  • the contact surface 1008 is typically configured, such as by having a compliant, flexible, or pressure moldable surface, to maximize electrical contact of the conductive elements 1065 when contacting the substrate.
  • a bias force that urges the contact surface 1008 into a position co-planar with the polishing surface 1002 .
  • the body 1006 is generally made permeable to the electrolyte by a plurality of perforations 1010 formed therein as described herein.
  • the polishing article 205 may have an aperture density between about 30% and about 80% of the surface area of the polishing article 205 to provide for sufficient mass flow of electrolyte across the polishing surface 1002 . In one embodiment, an aperture density of about 50% provides sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface.
  • the body 1006 generally comprises a dielectric material such as the conventional materials described herein.
  • the pockets 1004 formed in the body 1006 are generally configured to retain the conductive elements 1065 while processing, and accordingly may vary in shape and orientation.
  • the pockets 1004 are grooves of rectangular cross section and are disposed across the polishing surface 1002 coupling two points on the perimeter of the polishing article 205 .
  • the pockets 1004 (and conductive elements 1065 disposed therein) may be disposed at irregular intervals, be orientated radially, perpendicular and may additionally be linear, curved, concentric, involute curves or other orientation.
  • the conductive elements 1065 disposed in the body 1006 are generally made of a conductive material 1060 and are provided to produce a bulk resistivity or a bulk surface resistivity of about 10 ⁇ -cm or less. In one aspect of the polishing article, the polishing article has a resistivity of about 1 ⁇ -cm or less.
  • the conductive elements 1065 generally have mechanical properties that do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes. In one embodiment, the conductive elements 1065 are sufficiently compliant or flexible as to maintain electrical contact between the entire contact surface 1008 and the substrate during processing. Sufficient compliant or flexible materials for the conductive element 1065 may have an analogous hardness of about 80 or less on the Shore D Hardness scale compared to the polishing material. A conductive element 1065 having an analogous hardness of about 50 or less on the Shore D Hardness scale for polymeric materials may be used.
  • the conductive elements 1065 are embedded in the polishing surface 1002 in a parallel, spaced-apart relation at regular intervals. At least one perforation 1010 is formed through the polishing article 205 between each conductive element 1065 .
  • An example of the conductive elements 1065 include conductive and compliant tubing fabricated from silicon filled with nickel-coated carbon. The conductive elements may also extend over only a portion of the width or diameter of the substrate surface, rather than across the entire surface of the polishing pas as shown in FIG. 10A .
  • the conductive elements 1065 are comprised of a spring 1090 disposed in groove 1095 .
  • the spring 1090 is fabricated or coated with an at least partially conductive material as described herein.
  • the spring 1090 may extend above the polishing surface 1002 from the groove 1095 .
  • a connector 1030 is utilized to couple the conductive elements 1065 to a power source (not shown) to electrically bias the conductive elements 1065 while processing.
  • the connector 1030 is generally a wire, tape or other conductor compatible with process fluids or having a covering or coating that protects the connector 1030 from the process fluids.
  • the connector 1030 may be coupled to the conductive elements 1065 by soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices. Examples of materials that may be utilized in the connector 1030 include insulated copper, graphite, titanium, platinum, gold, and a HASTELOY® material, among other materials.
  • Coatings disposed around the connectors 1030 may include polymers such as fluorocarbons, PVC and polyimide.
  • one connector 1030 is coupled to each conductive element 1065 at the perimeter of the polishing article 205 .
  • the connectors 1030 may be disposed through the body 1006 of the polishing article 205 .
  • the connector 1030 may be coupled to a conductive grid (not shown) disposed in the pockets and/or through the body 1006 that electrically couples the conductive elements 1065 .
  • FIG. 10C illustrates another embodiment of the polishing article 205 having conductive elements 1065 comprising a conductive structure 1075 embedded in a conductive filler 1080 , such as a conductive polymeric material as described above, including conductive polyurethane materials, disposed in the body 1006 , which comprises a dielectric material, such as polyurethane.
  • the conductive elements 1075 may be embedded in the body 1006 a parallel, spaced-apart relation at regular intervals.
  • the conductive filler 1080 is generally planar with the polishing surface 1002 . At least one perforation 1010 is formed through the polishing article 205 between each conductive element 1075 .
  • An example of the conductive element 1075 includes copper wire or tubing in a conductive polyurethane filler disposed in a body of polyurethane.
  • a sub-pad 1085 may be disposed beneath the body 1006 and in contact with the conductive filler 1080 .
  • the sub-pad 1085 may be perforated and is primarily used to provide support for the body 1006 and the conductive elements 1075 disposed therein.
  • the conductive elements may also extend over only a portion of the width or diameter of the substrate surface, rather than across the entire surface of the polishing pas as shown in FIG. 10C .
  • FIG. 11A depicts another embodiment of a conductive member 1100 disposed in the pocket 1004 of the polishing article 205 .
  • the conductive member 1100 is generally an at least partially conductive bar, cylinder or coil that includes a contact surface 1102 that extends above a plane defined by the polishing surface 1002 of the body 1006 .
  • the contact surface 1102 is generally rounded to prevent damage to the substrate during processing.
  • a biasing member 1104 is disposed between the conductive member 1100 and the body 1006 .
  • the biasing member 1104 generally provides a bias that urges the conductive member 1100 away from the body 1006 .
  • the biasing member 1104 is comprised of a resilient material or device and may be a compression spring, flat spring, coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON®), an elastomer, a bladder or other member or device that urges the conductive member 1100 .
  • FIG. 11B depicts another embodiment of a conductive member 1150 disposed in the pocket 1004 of the polishing article 205 .
  • the conductive member 1150 is generally comprises a plurality of balls or pins 1154 .
  • the pins 1154 are at least partially comprised and/or coated with an at least partially conductive material as described herein.
  • Each pin 1154 includes a contact surface 1152 that extends above a plane defined by the polishing surface 1002 of the body 1006 .
  • the contact surface 1152 is generally rounded to prevent damage to the substrate during processing.
  • the pins 1154 are disposed through a bushing 1156 disposed in the pocket 1004 .
  • the pins 1154 may move through the bushing 1156 so that the contact surface 1152 of the pins 1154 may become coplanar with the polishing surface 1002 when polishing.
  • a biasing member 1158 is disposed between the conductive member 1150 and the body 1006 .
  • the biasing member 1158 generally provides a bias that urges the conductive member 1150 away from the body 1006 .
  • the biasing member 1158 is comprised of a resilient material or device and may be a compression spring, flat spring, coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON®), an elastomer, a bladder or other member or device that urges the conductive member 1150 .
  • at least one of the biasing member 1158 or the bushing 1156 comprises a conductive material or coating to electrically couple the pins 1154 .
  • FIGS. 12 A-B depict alternative embodiments of a conductive member 1200 disposed in the pocket 1004 of the polishing article 205 .
  • the conductive member 1200 generally includes a carrier 1202 and a contact member 1204 .
  • a biasing member 1206 similar to the biasing member 1104 described herein is disposed between the carrier 1202 and body 1006 of the polishing article 205 for urging the contact member 1204 to a position at least partially above a plane defined by the polishing surface 1002 of the body 1006 .
  • the carrier 1202 is typically formed from a conductive material such as graphite or a metal or other material compatible with process chemistries as described herein. Alternatively, other materials such as semiconductors or dielectric may be utilized.
  • the carrier 1202 is configured to remain in contact with the contact member 1204 during processing.
  • the contact member 1204 is typically formed from a conductive material such as graphite or a metal or other at least partially conductive material compatible with process chemistries as described herein.
  • the contact member 1204 is typically a cylinder, coil, bar or ball although other shapes may be utilized.
  • the contact member 1204 is a graphite rod seated on a graphite carrier 1202 in the embodiment depicted in FIG. 12A and the contact member 1204 is a plurality of graphite or gold balls seated on and electrically coupled through a graphite carrier 1202 in the embodiment depicted in FIG. 12B .
  • FIG. 13 depicts another embodiment of a polishing material 1300 .
  • the polishing material 1300 includes a body 1302 having one or more at least partially conductive elements 1304 disposed on a polishing surface 1306 .
  • the conductive elements 1304 generally comprise a plurality of fibers, strands, and/or flexible fingers with contact the substrate while processing.
  • the conductive elements 1304 is comprised an at least partially conductive materials as described herein.
  • the conductive elements 1304 are a brush comprises a plurality of conductive sub-elements 1313 coupled to a base 1309 .
  • the conductive sub-elements 1313 include electrically conductive fibers, such as carbon fibers or other conductive, compliant (i.e., flexible) made from a conductive material described herein.
  • the base 1309 also comprises an electrically conductive material and is coupled to a connector 1030 .
  • the conductive elements 1304 generally are disposed in a pocket 1308 formed in the polishing surface 1306 .
  • the conductive elements 1304 may be orientated between 0 and 90 degrees relative to the polishing surface 1306 . In embodiments where the conductive elements 1304 are orientated parallel to the polishing surface 1306 , the conductive elements 1304 may partially be disposed on the polishing surface 1306 .
  • the pockets 1308 have a lower mounting portion 1310 and an upper, clearance portion 1312 .
  • the mounting portion 1310 is configured to receive the base 1309 of the conductive elements 1304 , and retain the conductive elements 1304 by press fit, clamping, adhesives or by other methods.
  • the clearance portion 1312 is disposed where the pocket 1308 intersects the polishing surface 1306 .
  • the clearance portion 1312 is generally larger in cross section than the mounting portion 1310 to allow the conductive elements 1304 to flex when contacting a substrate while polishing without being disposed between the substrate and the polishing surface 1306 .
  • FIG. 14A depicts one embodiment of a brush 1400 comprised of conductive elements 1402 (only four are shown for clarity).
  • the brush 1400 is generally orientated between 0 to 90 degrees relative to a polishing surface 1404 and can be inclined in any polar orientation relative a line normal to the polishing surface 1404 .
  • Each conductive element 1402 generally comprises a loop or ring 1406 having a first end 1408 and a second end 1410 disposed in a pocket 1412 formed in the polishing surface 1404 .
  • Each conductive element 1402 is typically coupled to an adjoining conductive element to form a plurality of loops 1406 extending above the polishing surface 1404 .
  • each loop 1406 is fabricated from graphite or conductive metal, such as gold, and are coupled by a tie wire base 1414 adhered to the pocket 1412 .
  • the contact height of the loop 1406 above the polishing surface is between about 1 millimeter and about 2 millimeters and the diameter of the material comprising the loop is between about 1 mil (thousandths of an inch) and about 2 mils.
  • the tie wire base 1414 may be a conductive material, such as titanium.
  • the tie wire base 1414 may also be coated in a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing.
  • FIG. 14B depicts another embodiment of a brush 1400 having a conducting surface 1440 and a plurality of discreet conductive elements 1402 formed thereon.
  • the conductive elements 1402 generally comprise fibers of a conductive material, such as carbon, vertically displaced from the conducting surface 1440 of the polishing article 205 and horizontally displaced from each other.
  • the conducting elements 1402 of the brush 1400 are generally orientated between 0 to 90 degrees relative to a conducting surface 1440 and can be inclined in any polar orientation relative a line normal to the conducting surface 1440 .
  • the conductive elements 1402 may be formed across the length of the polishing pads, as shown in FIG. 14B or may only be partially disposed in the polishing pad.
  • the contact height of the conductive elements 1402 above the polishing surface may be up to about 5 millimeters and the diameter of the material comprising the conductive element 1402 is between about 1 mil (thousandths of an inch) and about 2 mils.
  • the height above the polishing surface and the diameter of the conductive elements 1402 may vary upon the polishing process being performed.
  • the conducting elements 1402 may comprise a conducting wire of copper, platinum, platinum-coated copper, aluminum, or combinations thereof.
  • the conducting surface 1440 may comprise a metal material, such as a copper sheet, a platinum sheet, or a platinum coated copper sheet.
  • the fibers of the conductive elements 1402 are compliant enough to deform under a contact pressure while maintaining an electrical contact with a substrate surface with reduced or minimal scratching of the substrate surface. Generally, the substrate surface only contacts the conductive elements 1402 of the polishing article 205 . The conductive elements 1402 are positioned so as to provide a uniform current density over the surface of the polishing article 205 .
  • the conductive elements 1402 are adhered to the conducting surface by a non-conductive, or dielectric, adhesive or binder.
  • the non-conductive adhesive may provide a dielectric coating to the conducting surface 1440 to provide an electrochemical barrier between the conducting surface 1440 and any surrounding electrolyte.
  • the conducting surface 1440 may be in the form of a round polishing pad or a linear web or belt of polishing article 205 .
  • a series of perforations (not shown) may be disposed in the conducting surface 1440 for provided flow of electrolyte therethrough.
  • the conductive plate may be disposed on a support pad of conventional polishing material for positioning and handling of the polishing article 205 on a rotating or linear polishing platen.
  • FIG. 14C shows another embodiment of a brush 1400 having a plurality of conductive elements 1402 , disposed in a radial pattern from the center of the substrate to the edge.
  • the plurality of conductive elements may be displaced from each other at intervals of 15°, 30°, 45°, 60°, and 90° degrees, or any other combinations desired.
  • the conductive elements 1402 are generally spaced to provide as uniform application of current or power for polishing of the substrate.
  • the conductive elements may be further spaced so as to not contact each other.
  • Wedge portions 1404 of a dielectric polishing material of the body 1006 may be configured to electrically isolate the conductive elements 1402 .
  • a spacer or recessed area 1460 is also formed in the polishing article to also isolate the conductive elements 1402 from each other.
  • the conductive elements 1402 may be in the form of loops as shown in FIG. 14A or vertical extending fibers as shone in FIG. 14B .
  • FIG. 14D shows another embodiment of forming the conductive elements 1402 having loops 1406 formed therein and securing the conductive elements to the body 1006 of the polishing article.
  • Passages 1450 are formed in the body 1006 of the polishing article intersecting grooves 1470 for the conductive elements 1402 .
  • An insert 1455 is disposed in the passages 1450 .
  • the insert 1455 comprises a conductive material, such as gold or the same material as the conductive element 1406 .
  • Connectors 1030 may then be disposed in the passages 1450 and contacted with the insert 1455 . Ends 1475 of the conductive element 1402 may be contacted with the insert 1455 for flow of power therethrough.
  • the ends 1475 of the conductive element 1402 and the connectors 1030 are then secured to the conductive insert 1455 by dielectric inserts 1460 .
  • the invention contemplated using the passages for every loop 1406 of the conductive element 1402 , at intervals along the length of the conductive element 1402 , or only at the extreme ends of the conductive element 1402 .
  • the polishing article 205 is disposed on the disc 206 in an electrolyte in the basin 202 .
  • a substrate 114 on the polishing head is disposed in the electrolyte and contacted with the polishing article 205 .
  • Electrolyte is flowed through the perforations of the disc 206 and the polishing article 205 and is distributed on the substrate surface by grooves formed therein. Power from a power source is then applied to the conductive polishing article 205 and the electrode 204 , and conductive material, such as copper, in the electrolyte is then removed by an anodic dissolution method.
  • the substrate 114 and polishing article 205 are rotated relative to one another to polish the substrate surface.
  • the polishing article 205 typically applies a pressure of about 6 psi or less to the substrate surface.
  • a pressure between of about 2 psi or less is used with substrate containing low dielectric constant material between the substrate 114 and the polishing article 205 during polishing of the substrate.
  • Electrolyte solutions may include commercially available electrolytes.
  • the electrolyte may include sulfuric acid based electrolytes or phosphoric acid based electrolytes, such as potassium phosphate (K 3 PO 4 ), or combinations thereof.
  • the electrolyte may also contain derivatives of sulfuric acid based electrolytes, such as copper sulfate, and derivatives of phosphoric acid based electrolytes, such as copper phosphate. Electrolytes having perchloric acid-acetic acid solutions and derivatives thereof may also be used.
  • the invention contemplates using electrolyte compositions conventionally used in electroplating or electropolishing processes, including conventionally used electroplating or electropolishing additives, such as brighteners among others.
  • the electrolyte may have a concentration between about 0.2 and about 1.2 Molar of the solution.
  • copper sulfate can be used as the electrolyte.
  • One source for electrolyte solutions used for electrochemical processes such as copper plating, copper anodic dissolution, or combinations thereof is Shipley Leonel, a division of Rohm and Haas, headquartered in Philadelphia, Pa., under the tradename ULTRAFILLTM 2000.
  • the bias is applied between the electrode 204 , performing as a cathode, and the conductive article support layer 520 of the polishing article 205 , performing as the anode.
  • the substrate in contact with the polishing article is polarized via the conductive polishing surface article 510 at the same time the bias is applied to the conductive article support member.
  • the application of the bias allows removal of conductive material, such as copper-containing materials, formed on a substrate surface.
  • the bias may include the application of a voltage of about 15 volts or less to the substrate surface. A voltage between about 0.1 volts and about 10 volts may be used to dissolve copper-containing material from the substrate surface and into the electrolyte.
  • the bias may be a current density between about 0.1 milliamps/cm 2 and about 50 milliamps/cm 2 , or between about 0.1 amps to about 20 amps for a 200 mm substrate. It is believed that biasing the substrate from the polishing article 205 provides uniform dissolution of conductive materials, such as metals, into the electrolyte from the substrate surface as compared to the higher edge removal rate and lower center removal rate from conventional edge contact-pins bias.
  • the bias applied to perform the anodic dissolution process may be varied in power and application depending upon the user requirements in removing material from the substrate surface.
  • a time varying anodic potential may be provided to the conductive polishing article 205 .
  • the bias may also be applied by electrical pulse modulation techniques.
  • the electrical pulse modification technique comprises applying a constant current density or voltage over the substrate for a first time period, than applying a constant reverse voltage over the substrate for a second time period, and repeating the first and second steps.
  • the electrical pulse modification technique may use a varying potential from between about ⁇ 0.1 volts and about ⁇ 15 volts to between about 0.1 volts and about 15 volts.
  • Conductive material such as copper containing material can be removed from at least a portion of the substrate surface at a rate of about 15,000 ⁇ /min or less, such as between about 100 ⁇ /min and about 15,000 ⁇ /min.
  • the voltage may be applied to the conductive polishing article 205 to provide a removal rate between about 100 ⁇ /min and about 5,000 ⁇ /min.
  • Power may be coupled into the polishing articles 205 described above by using a power transference device, such as a power inlet bar, for conductive polishing materials that do not have readily available power coupling points, such as a polishing material comprising carbon fibers or carbon nanotubes disposed in polyurethane.
  • a power transference device is typically configured to provide a linear voltage reduction in equipotent lines to the polishing material. The highest potential is closest to the power inlet bar and the lowest potential is furthest from the power inlet bar.
  • the power transference device typically has a greater conductivity than the conductive material, such as metal, i.e., platinum or copper.
  • the polishing article may be of any possible shape, i.e., a round polishing pad or linear belt, and the power transference device may be of any shape, such as a bar inlet bar or conductive mesh.
  • the power transference device usually has a substrate facing side at least as wide or long as the diameter of the substrate.
  • Substrate rotation on the polishing pad will equalize or average out the potential imparted to the substrate surface during polishing to provide for more uniform material deposition rate or removal rate.
  • the substrate may move or “sweep” parallel to the power inlet bar to provide for improved polishing without detrimentally affecting uniformity in deposition rates or removal rates. Perpendicular movement is also contemplated for polishing.
  • the power transference device can be located either outside the electrolyte or immersed in the electrolyte if properly composed of or encapsulated with a material that will not react with the surrounding electrolyte as described for the conductive materials above.
  • a copper power inlet bar may be used for providing power external of an electrolyte and a platinum power inlet bar or platinum covered copper power inlet bar may be used submerged in an electrolyte solution.
  • the power pad is connected to a power source via a power cord.
  • FIGS. 15A-15C illustrate one embodiment of a power inlet bar disposed on polishing article described herein.
  • FIG. 15A is a schematic side view of a power inlet bar 1510 disposed on an edge portion of a conductive perforated polishing material 1520 .
  • the power inlet bar 1510 is coupled to a power source (not shown) by a power cord 1530 .
  • FIG. 15B illustrates the power inlet bar 1510 disposed on a linear belt or web 1550 of conductive, perforated polishing material 1520 .
  • Equipotent lines 1560 of the linear voltage reduction from the power bar across the conductive, perforated polishing material 1520 in equipotent lines is shown in relationship to a substrate 1570 being rotated counter-clockwise.
  • FIG. 15C illustrates one embodiment of a power inlet bar 1510 being mounted on a round polishing pad 1580 of conductive, perforated polishing material 1520 . Both figures depict the power inlet bar 1510 as wider than the diameter of the substrate to be polished.
  • FIG. 15D shows an alternative embodiment supplying power to the conductive elements 1590 .
  • a power strip 1530 is connected to a power source 1535 and a side of the polishing material 1520 .
  • the power strip 1530 and polishing material 1520 are configured to electrically conduct power from the power strip 1530 and the conductive elements 1590 during rotation of the polishing pad.
  • the conductive element 1590 has an exposed contact on the side of the polishing material 1520 for contacting the power strip 1530 .
  • the power strip 1530 may comprise a conductive tape, such as copper tape.
  • the conductive elements 1590 and power strip 1530 are electrically connected for between about 20% and 60%, for example, about 40%, of the rotation period of the polishing material 1520 .
  • the conductive polishing material may include conductive polymers, polymer composites with conductive materials, conductive metals, conductive fillers or conductive doping materials, or combinations thereof.
  • the conductive polishing material may form a composite of a conductive polishing material as a polishing layer disposed on a conventional, dielectric, polishing material as a support layer.
  • Conductive polymers include polymeric materials that are intrinsically conductive, such as polyacetylene, polyethylenedioxythiophene (PEDT), which is commercially available under the trade name BAYTRONTM, polyaniline, polypyrrole, and combinations thereof.
  • PET polyethylenedioxythiophene
  • BAYTRONTM polyethylenedioxythiophene
  • Another example of a conductive polymer is silicon filled with nickel-coated carbon.
  • the polymer composites with conductive materials include polymer-noble metal hybrid materials.
  • Polymer-noble metal hybrid materials that may be used as the conductive polishing material described herein are generally chemically inert with a surrounding electrolyte, such as those with noble metals that are resistant to oxidation.
  • An example of a polymer-noble metal hybrid material is a platinum-polymer hybrid material.
  • the invention contemplates the use of polymer-noble metal hybrid materials that are chemically reactive with a surrounding electrolyte when the polymer-noble metal hybrid material is insulated from a surrounding electrolyte by another material.
  • the conductive polishing material may include conductive metals.
  • Conductive metals that may be used as the polishing material are those metals that are relatively inert to chemical reactions with the surrounding electrolyte. Platinum is an example of a conductive metal that may be used as the polishing material.
  • the conductive metals may form a portion or the entire polishing surface of the polishing material. When forming a portion of the polishing surface, the conductive metals are typically disposed in a conventional polishing material.
  • the conductive polishing materials may further include conductive fillers or conductive doping materials disposed in a binder material, such as the conductive polymers described herein or a conventional polishing material.
  • conductive fillers include carbon powder, carbon fibers, carbon nanotubes, carbon nanofoam, carbon aerogels, and combinations thereof.
  • Carbon nanotubes are conductive hollow filaments of carbon material having a diameter in the micron and nanometer size range.
  • the conductive fillers or conductive doping materials are disposed in the binding material in an amount sufficient to provide a polishing article having a desired conductivity.
  • the binder material is typically a conventional polishing material.
  • the conductive material may alternatively be a conductive or dielectric material at least partially coated or covered with an at least partially conductive material such as those described herein.
  • the conductive material may be gold plated dielectric materials.
  • Conductive materials may include other conductive materials and/or metals that are relatively inert to chemical reactions with the surrounding electrolyte.
  • One material that may be used is graphite.
  • Composites of conductive and conventional polishing materials include conductive polishing materials disposed in a conventional polishing material or a conductive material layer disposed on a conventional polishing material.
  • Conventional polishing materials are generally dielectric materials and may include polymeric materials, such as polyurethane, polycarbonate, polyphenylene sulfide (PPS), or combinations thereof, and other polishing materials used in polishing substrate surfaces.
  • the conventional polishing material may also include fillers and/or be in a foamed state.
  • An exemplary conventional material includes dielectric material, such as polyurethane and polyurethane mixed with fillers, found in the IC series of polishing article, including IC-1010, which are commercially available from Rodel Inc., of Phoenix, Ariz.
  • the invention further contemplates the use of other conventional polishing materials, such as a layer of compressible material.
  • the compressible material includes a conventional soft material, such as compressed felt fibers leached with urethane.
  • Mechanical properties of the conventional polishing materials used in the conductive polishing article herein provide, for example, a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa.
  • the conductive polishing material or the composite of the conductive polishing material and conventional polishing material are provided to produce a conductive polishing article having a bulk resistivity or a bulk surface resistivity of about 10 ⁇ -cm or less.
  • the polishing article has a resistivity of about 1 ⁇ -cm or less.
  • An example of the conductive polishing material is a layer of platinum, which has a resistivity 9.81 ⁇ -cm at 0° C., disposed on a layer of polyurethane.
  • the composite of the conductive polishing material and conventional polishing material may include between about 5 wt. % and about 60 wt. % of conductive polishing material in the polishing article 205 .
  • An example of a composite of the conductive polishing material and conventional polishing material includes carbon fibers or carbon nanotubes, both of which.exhibit resistivities of 1 ⁇ -cm or less, disposed in a conventional polishing material of polycarbonate or polyurethane in sufficient amounts to provide a polishing article having a bulk resistivity of about 10 ⁇ -cm or less.
  • an example of the conductive polishing portion 310 or conductive polishing surface article 410 includes between about 5 wt. % and about 60 wt. % of carbon fibers or carbon nanotubes disposed in a conventional polishing material of polycarbonate or polyurethane.
  • the carbon fibers or carbon nanotubes are generally provided in sufficient amounts to produce a conductive polishing surface article 410 having a bulk resistivity of about 10 ⁇ -cm or less.
  • Another example of the polishing article 205 is a layer of platinum forming the conductive polishing portion 310 or conductive polishing surface article 410 disposed on a layer of polyurethane.
  • an example of the polishing surface includes a metal mesh of platinum, gold, or platinum coated copper in a conventional polishing material of polyurethane.
  • the metal mesh providing a bulk resistivity of about 10 ⁇ -cm or less.
  • the conductive polishing materials and the conventional polishing materials generally have mechanical properties which do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes.
  • the conductive polishing materials and the composite of conductive polishing materials and conventional polishing materials have mechanical properties similar to that of conventional polishing materials alone.
  • the combination of materials has a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa. In one aspect, the combination of materials has a hardness of about 80 or greater on the Shore D Hardness scale for polymeric materials.
  • the polishing article 205 generally includes a surface roughness of about 1 micron or less.
  • the polishing article 205 may comprise a metal mesh disposed in the conventional polishing material.
  • the metal mesh may comprise a chemically inert conductive material, such as platinum, which has a resistivity 9.81 ⁇ -cm at 0° C.
  • the metal mesh may also include materials that have been observed to react with the surrounding electrolyte, such as copper which has a resistivity of 1.6 ⁇ -cm at 0° C., if the metal mesh is chemically insulated from the electrolyte such as by a conformal layer of conventional material.
  • the invention contemplates the use of abrasive materials embedded in the conventional polishing material.
  • the fixed abrasive particles generally include conductive abrasive materials.
  • the invention further contemplates other polishing article configurations, such as polishing webs and linear polishing belts, in addition to polishing pads.
  • FIG. 16A depicts a sectional view of an exemplary ECMP station 104 depicting a carrier head assembly having a polishing head 130 positioned over a platen assembly 230 that is similar to the ECMP station 102 depicted in FIG. 2 .
  • the basin 202 includes a modified polishing article 205 that is a variation of the polishing articles 205 shown in FIGS. 3-9 .
  • the basin 202 is configured to contain electrolyte 220 and includes a drain 214 as shown in FIG. 2 .
  • the carrier head assembly typically includes a polishing head 130 as shown in FIG. 2 that is controllably urged against a polishing surface 1648 of the polishing article 205 during processing. ECMP station 104 and the platen assembly.
  • the substrate 114 and the polishing article 205 are rotated relative to one another in an ECMP process to remove material from the substrate 114 .
  • the polishing head 130 is rotated at a rotational speed greater than, less than, or equal to, the rotational speed of the platen assembly 230 .
  • the polishing head 130 may be capable of remaining in a fixed position while rotating and may also provide back and forth movement, orbital movement, or sweeping movement while rotating.
  • the platen assembly 230 generally includes a platen 232 that is coupled to a hollow shaft 212 by bearings, rotary couplings, seals, and the like in order to facilitate rotational movement of the platen assembly 230 and contain fluids.
  • the platen 232 may be fabricated from a rigid material, such as a metal or rigid plastic, and in one embodiment the platen 232 has an upper surface 260 that is fabricated from or coated with a dielectric material, such as CPVC.
  • the platen 232 may have a circular, rectangular or other plane form and the upper surface 260 may resemble that plane form.
  • the polishing article 205 may be adapted to releasably couple to the upper surface 260 of the platen assembly 230 .
  • the polishing article 205 may be bound to the upper surface 260 by the use of pressure and/or temperature sensitive adhesives, allowing replacement of the polishing article 205 by peeling the assembly from the upper surface 260 and applying fresh adhesive prior to placement of a new polishing article 205 .
  • the upper surface 260 of the platen assembly 230 having the polishing article 205 coupled thereto, may be adapted to releasably couple to the platen assembly 230 via fasteners, such as screws.
  • a detailed description of an exemplary planarizing assembly suitable for using the present invention can be found in U.S. Patent Publication No. 2004/0163946, entitled “Pad Assembly for Electrochemical Mechanical Processing,” filed Dec. 23, 2003, which is incorporated herein by reference in its entirety.
  • FIG. 16B is an exploded schematic view of a portion of the polishing article 205 shown in FIG. 16A .
  • the polishing article 205 generally includes a first conductive layer 1605 , an interface layer 1615 , a membrane 1600 , and a membrane support member 1620 disposed on or coupled to a second conductive layer or electrode 1630 .
  • the various portions of the polishing article 205 may be coupled to respective layers or members by pressure and/or temperature sensitive adhesives that are configured not to react with process chemistry and electrolyte.
  • the polishing article 205 may be adapted to releasably couple to the upper surface 260 of the platen 232 by fasteners, an adhesive as described above, magnetically, or a combination of magnetic attraction and fasteners.
  • An example of a polishing article that may be used is described in U.S.
  • the conductive layer 1605 may be fabricated from a conductive polishing material, a composite of a conductive polishing material disposed on a conventional polishing material, or a conductive fabric. At least a portion of the conductive layer 1605 may comprise conductive elements, such as conductive particles or flakes of a conductive material that may be disposed in a polymer matrix.
  • the conductive particles may be particles made of copper, tin, nickel, gold, silver, or combinations thereof.
  • the conductive particles may exhibit a hardness less than, greater than, or equal to that of the conductive material to be removed from the substrate 114 .
  • the conductive layer 1605 also includes an polishing surface 1648 configured to contact the substrate 114 during processing.
  • the polishing surface 1648 may be patterned and/or embossed to form grooves or channels to facilitate electrolyte transportation and facilitate movement of materials removed from the substrate 114 during processing.
  • the first conductive layer 1605 is disposed on a conductive carrier 1610 to form a unitary structure.
  • the conductive carrier 1610 may be a flexible member adapted to support the conductive carrier 1610 .
  • the conductive carrier 1610 may be a metal foil, a mesh made of metal wire or metal-coated wire, or a laminated metal layer on a polymer material compatible with the electrolyte, such as a polyimide, polyester, fluoroethylene, polypropylene, or polyethylene sheet.
  • the conductive carrier 1610 may be further coated with a conductive metal, such as tin, copper, gold, silver, platinum, or a combination thereof to enhance electrical communication between the conductive layer 1605 and the conductive carrier 1610 .
  • the first conductive layer 1605 and the electrode 1630 may be coupled to opposing poles of a power source 1650 in order to provide an electrical signal to the polishing article 205 .
  • the first conductive layer 1605 may receive a first voltage from the power source 1650 while the electrode receives a second voltage from the power source that is different from the first voltage.
  • the interface layer 1615 facilitates support for the conductive layer 1605 while electrically insulating the conductive layer 1605 from other conductive portions of the polishing article 205 .
  • the interface layer 1615 may be made of a soft material that is configured to provide compressibility to the polishing article 205 .
  • the interface layer 1615 may be made of a polymer material, such as an open cell foamed polymers, closed cell foamed polymers, a MYLAR® material, heat activated adhesives, or combinations thereof
  • the interface layer 1615 may have a hardness of about 60 Shore A to about 100 Shore A.
  • the polishing article 205 also includes a plurality of apertures 1640 formed through various layers of the polishing article 205 .
  • Each of the plurality of apertures 1640 are square, round, oval, or other geometrically shaped openings formed in respective layers and are configured to enhance electrochemical activity and/or electrical continuity within the polishing article 205 during processing.
  • each of the plurality of apertures 1640 are formed through the various layers of the polishing article 205 at least to a reaction surface 262 of the electrode 1630 , which increases the surface area of the electrode 1630 that is in communication with the electrolyte.
  • each of the plurality of apertures 1640 are formed through the first conductive layer 1605 and the interface layer 1615 , and the membrane 1600 , although permeable to ions and current, does not have any apertures. However, the apertures are formed through the membrane support member 1620 substantially align with the apertures in the first conductive layer 1605 and the interface layer 1615 . In this manner, each of the plurality of apertures form an enhanced electrochemical cell during processing by allowing at least a portion of the polishing surface 1648 of the polishing article 205 to be in communication with the reaction surface of the electrode 1630 .
  • the polishing article 205 comprises a membrane 1600 that is configured to minimize or prevent hydrogen bubbles from reaching the surface of the substrate 114 .
  • the membrane 1600 may include hydrophylic regions and hydrophobic regions and is configured to be permeable to ions.
  • the membrane 1600 functions as a filter to substantially stop hydrogen bubbles, which typically form on or near the reaction surface 262 of the electrode 1630 , from reaching the surface of the substrate 114 .
  • the membrane 1600 also functions to allow migration of ions between the electrode 1630 and the upper surface of the polishing article 205 . In this manner, defects on the substrate, which may be caused by hydrogen gas accumulation near the surface of the substrate, is minimized or eliminated.
  • the membrane 1600 may be made of a polymeric material that facilitates ionic exchange, such as a sulfonated tetrafluoroethylene copolymer material, for example a perfluorosulfonate ionomer material.
  • the membrane 1600 is permeable to ions, such as cations.
  • a membrane 1600 that may be used is a DuPontTM NAFION® membrane available from the E.I. du Pont de Nemours and Company.
  • the ECMP station 104 may also include an electrolyte delivery system 272 as described in reference to FIG. 2 .
  • electrolyte 220 A may be provided from the reservoir 233 A, through appropriate plumbing and controls, such as supply line 244 A, to nozzle 270 above the polishing article 205 of the ECMP station 104 to supply electrolyte to an upper portion 206 A of the polishing article 205 .
  • the electrolyte 220 A may be an anodic electrolyte or anolyte that is supplied to the upper portion 206 A of the polishing article 205 .
  • a cathodic electrolyte or catholyte 220 B may be provided to the lower portion 206 B of the polishing article 205 through a supply line 244 B to the shaft 212 .
  • the electrolyte 220 A or anolyte may be an acid based electrolyte with a chelating agent, a corrosion inhibitor, a passivating polymeric material, a pH adjusting agent, a solvent, and include a pH between about 3 and about 10.
  • the catholyte 220 B may include sulfuric acid (H 2 SO 4 ) or copper (II) sulfate (CuSO 4 ) having a pH between about 3 and about 10. In one embodiment, the pH of the electrolyte 220 A and the catholyte 220 B are substantially equal.
  • FIG. 17 is an exploded isometric view of the polishing article 205 shown in FIGS. 16A and 16B .
  • the upper portion 206 A of the polishing article 205 includes a first conductive layer 1605 that is coupled to a conductive carrier 1610 , and an interface layer 1615 .
  • the lower portion 206 B is separated from the upper portion 206 A by the membrane 1600 .
  • the lower portion 206 B includes the membrane support member 1620 and the electrode 1630 .
  • the first conductive layer 1605 and the interface layer 1615 includes a plurality of perforations 1641 A and 1641 B, respectively.
  • the membrane support member 1620 also includes a plurality of perforations 1641 C.
  • the perforations 1641 A- 1641 C substantially align in the various layers and member 1620 to form the apertures 1640 as described in reference to FIG. 16B .
  • the electrode 1630 may include a plurality of depressions 1632 formed in the reaction surface 262 by drilling or machining.
  • the depressions 1632 may be substantially aligned with the perforations 1641 A- 1641 C to increase the volume of the apertures 1640 and enhance the electrochemical reaction area of the polishing article 205 .
  • the membrane support member 1620 also includes a center hole 1705 that substantially aligns with a center hole 1706 of the electrode 1630 .
  • the center holes 1705 , 1706 of the membrane support member 1620 and electrode 1630 respectively, substantially align with a center hole 1707 of the platen 230 to form a conduit for an electrolyte, such as the catholyte 220 B.
  • the catholyte 220 B may be delivered to the center holes 1705 , 1706 , and 1707 by the shaft 212 ( FIG. 16A ) coupled to the platen 230 .
  • FIG. 18 is a top view of one embodiment of a membrane support member 1620 .
  • the membrane support member 1620 includes a body 1621 that includes perforations 1641 C formed therethrough as described in reference to FIG. 17 .
  • the body 1621 may be made of a polymer material with mechanical properties to perform the polishing process and resistance to process chemistry, such as a plastic material.
  • the body 1621 also includes a plurality of internal channels 1622 , outer channels 1624 , and central channels 1626 at least partially formed in the body 1621 .
  • Each of the plurality of channels 1622 , 1624 , and 1626 may be formed in the body 1621 by molding, casting, milling, or similar operation in order to at least partially intersect with at least one perforation 1641 C.
  • each of the plurality of channels 1622 , 1624 , and 1626 are approximately one half of the thickness of the body 1621 .
  • the areas between the channels 1622 , 1624 , and 1626 comprise a plurality of support surfaces 1625 to support the membrane 1600 in a substantially planar orientation.
  • the support surfaces 1625 share a substantially equal height to provide substantially planar support for the membrane 1600 , thus the membrane 1600 is maintained in a substantially parallel orientation with one of both of the first conductive layer 1605 and the electrode 1630 .
  • the plurality of channels 1622 , 1624 , and 1626 facilitate flow of a fluid, such as the catholyte 220 B, and polishing by-products, radially across and out of the polishing article 205 during processing.
  • the perforations 1641 are joined at least partially by a plurality of internal channels 1622 to facilitate fluid flow between adjacent perforations 1641 .
  • the body 1621 also includes central channels 1626 to enhance fluid flow from the center hole 1705 to the perforations 1641 C.
  • the perimeter of the body also includes outer channels 1624 in communication with a plurality of perforations 1641 on the perimeter of the body 1621 .
  • FIG. 19 is a partial sectional side view of the polishing station 104 shown in FIG. 16A .
  • the substrate (not shown) contacts the polishing surface 1648 during processing.
  • the first conductive layer 1605 which is in contact with the conductive material on the substrate, is biased relative to the electrode 1630 .
  • Electrolyte 220 A which permeates the upper portion of the polishing article 205 and at least partially fills the apertures 1640 at least to the membrane 1600 , is supplied to the upper portion 206 A of the polishing article 205 .
  • Catholyte 220 B is supplied to the lower portion 206 B of the polishing article 205 and at least partially permeates the lower portion.
  • the polishing article 205 and the substrate are rotated relative to one another as the substrate is controllably urged against the polishing surface 1648 of the polishing article 205 .
  • conductive materials and other materials are removed from the substrate. These materials, if allowed to accumulate on the reaction surface 262 of the electrode 1630 , may hinder electrochemical reactions within the polishing article 205 .
  • the membrane 1600 acts as a filter to minimize or prohibit these materials from reaching the lower portion of the polishing article 205 . In this manner, substantially all of the reaction surface 262 of the electrode 1630 remains capable of driving the electrochemical process. Thus, the reaction surface 262 maintains a greater steady-state electrochemical reaction and the electrochemical process is enhanced and stabilized.
  • the membrane 1600 prevents or minimizes hydrogen bubbles, which typically form at or near the reaction surface 262 of the electrode 1630 , from reaching the upper portion of the polishing article 205 . More specifically, the membrane 1600 substantially prevents hydrogen bubbles from reaching the polishing surface 1648 of the polishing article 205 .
  • the membrane 1600 allows ions to flow through, and as in the case of anodic dissolution, allows the ions to travel from the upper portion of the polishing article to the catholyte 220 B in the lower portion of the polishing article 205 .
  • the membrane 1600 assists in reducing substrate defects while enhancing processing uniformity and process control.
  • the rotational movement of the polishing article 205 promotes a flow path of catholyte 220 B as shown by arrows in FIG. 19 .
  • Catholyte 220 B is supplied to the center hole 1707 of the platen 232 and flows to the perimeter of the polishing article 205 .
  • the catholyte 220 B flows by pressure and/or centrifugal forces through the central channels 1626 to enhance fluid flow from the center hole 1705 to the perforations 1641 C, and exits the polishing article 205 through the outer channels 1624 .
  • ions, or other materials, that have accumulated in the catholyte 220 B, and hydrogen bubbles formed at or near the reaction surface 262 of the electrode 1630 are removed by the radially flowing catholyte.

Abstract

An article of manufacture and apparatus are provided for processing a substrate surface. In one aspect, an article of manufacture is provided for polishing a substrate including polishing article comprising a body having at least a partially conductive polishing surface. An electrode is disposed below the polishing surface having a dielectric material therebetween. A plurality of apertures may be formed in the polishing surface and the dielectric material to at least partially expose the electrode to the polishing surface. A membrane may be disposed between the electrode and the polishing surface that is permeable to ions and current to promote continuity between the electrode and the polishing surface.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part U.S. patent application Ser. No. 11/393,220 (Attorney Docket No. 004100.C6), filed Mar. 30, 2006, which is a continuation of co-pending U.S. patent application Ser. No. 10/033,732 (Attorney Docket No. 004100.P1), filed on Dec. 27, 2001, which issued as U.S. Pat. No. 7,066,800 on Jun. 27, 2006, which claims benefit of U.S. Provisional Patent Application Ser. No. 60/286,107 (Attorney Docket No. 005844), filed Apr. 24, 2001, and U.S. Provisional Patent Application Ser. No. 60/326,263 (Attorney Docket No. 006508L), filed Oct. 1, 2001. All of the aforementioned applications are incorporated herein by reference to the extent said applications are not inconsistent with this application.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to an article of manufacture and apparatus for planarizing a substrate surface.
  • 2. Background of the Related Art
  • Sub-quarter micron multi-level metallization is one of the key technologies for the next generation of ultra large-scale integration (ULSI). The multilevel interconnects that lie at the heart of this technology require planarization of interconnect features formed in high aspect ratio apertures, including contacts, vias, lines and other features. Reliable formation of these interconnect features is very important to the success of ULSI and to the continued effort to increase circuit density and quality on individual substrates and die.
  • In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), and electro-chemical plating (ECP).
  • As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or “polishing” a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material used to fill the features and to provide an even surface for subsequent levels of metallization and processing.
  • One method for polishing substrate surfaces is known as electrochemical mechanical polishing (ECMP). ECMP techniques remove conductive material and other materials from a substrate surface by electrochemical dissolution while concurrently polishing the substrate with reduced mechanical abrasion compared to conventional chemical mechanical polishing (CMP) processes. Generally, the substrate is held in a carrier head that rotates relative to a rotating platen assembly. The carrier head is adapted to controllably urge the substrate toward the platen assembly. The electrochemical dissolution is performed by applying a bias between a cathode and substrate surface to remove conductive materials from a substrate surface into a surrounding electrolyte. In one embodiment of an ECMP system, the bias is applied by polishing pad coupled to the platen. The polishing pad Includes a partially conductive polishing surface that is in electrical communication with the substrate surface when the substrate is urged toward the polishing surface by the carrier head. The polishing pad also includes an electrode that functions as the cathode, and the electrochemical cell is complete when the electrolyte is flowed onto the pad.
  • However, hydrogen evolution, which is typically a by-product of electrochemical dissolution using acid-based electrolytes, creates challenges in the polishing process. For example, hydrogen bubble formation typically evolves at or near the electrode surface and the bubbles generally drift towards the polishing surface. Experiments have shown that the bubbles accumulating on the polishing surface create a non-uniform electrochemical reaction between the substrate surface and the polishing surface of the pad. This results in non-uniform processing results and a poor surface finish.
  • Another challenge is posed by the accumulation of material, such as conductive material removed from the substrate surface and reaction by products, on the electrode. This material accumulation may decrease the electrochemical efficiency and uniformity of the polishing process.
  • Therefore, there is a need for an improved polishing article for the removal of conductive material on a substrate surface that minimizes or eliminates the challenges discussed above.
  • SUMMARY OF THE INVENTION
  • Aspects of the invention generally provide an article of manufacture and an apparatus for planarizing a layer on a substrate using electrochemical deposition techniques, electrochemical dissolution techniques, polishing techniques, and combinations thereof. In one aspect, an article of manufacture is provided for polishing a substrate including polishing article Including a body having at least a partially conductive surface adapted to polish the substrate and a mounting surface. The polishing article may have a plurality of perforations formed therein to allow flow of fluid therethrough.
  • In one embodiment, an article of manufacture for polishing a substrate is described. the article of manufacture includes a polishing surface adapted to contact the substrate, an electrode disposed below the polishing surface, and a planar membrane disposed between the polishing surface and the electrode.
  • In another embodiment, an article of manufacture for polishing a substrate is described. The article of manufacture includes a perforated dielectric support layer, a perforated conductive material layer disposed on the perforated dielectric support layer, an electrode disposed below the dielectric support layer, and a membrane disposed between the electrode and the perforated dielectric support layer, wherein the membrane is substantially parallel to one of the conductive material layer, or the electrode.
  • In another embodiment, an article of manufacture for polishing a substrate is described. The article of manufacture includes a polishing surface adapted to contact the substrate, an electrode disposed below the polishing surface, and a membrane disposed between the polishing surface and the electrode, wherein the membrane is permeable to ions and is in a substantially parallel orientation relative to the electrode.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and, therefore, are not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a plan view of one embodiment of a processing apparatus of the invention;
  • FIG. 2 is a sectional view of one embodiment of an ECMP station;
  • FIG. 3 is a side schematic view of one embodiment of the polishing article;
  • FIGS. 4 is a side schematic view of another embodiment of the polishing article;
  • FIGS. 5A-5B are side schematic views of another embodiment of the polishing article;
  • FIG. 6 is a side schematic view of another embodiment of the polishing article;
  • FIG. 7 is a top plan view of one embodiment of a grooved polishing article;
  • FIG. 8 is a top plan view of another embodiment of a grooved polishing article;
  • FIG. 9 is a top plan view of another embodiment of a grooved polishing article;
  • FIG. 10A is perspective view of one embodiment of a polishing article having a conductive element;
  • FIG. 10B is partial perspective view of another embodiment of a polishing article having a conductive element;
  • FIG. 10C is partial perspective view of another embodiment of a polishing article having a conductive element;
  • FIG. 10D is detailed view of the polishing article of FIG. 10C;
  • FIG. 11A is a partial sectional view of another embodiment of a conductive element;
  • FIG. 11B is a partial sectional view of another embodiment of a conductive element;
  • FIG. 12A is a partial sectional view of another embodiment of a conductive element;
  • FIG. 12B is a partial sectional view of another embodiment of a conductive element;
  • FIG. 13 is perspective view of another embodiment of a polishing article having a conductive element;
  • FIG. 14A is a partial perspective view of another embodiment of a polishing article;
  • FIG. 14B is a perspective view of another embodiment of a polishing article;
  • FIG. 14C is a partial perspective view of another embodiment of a polishing article;
  • FIG. 14D shows another embodiment of a polishing article having conductive elements comprising loops secured to the polishing article;
  • FIGS. 15A-15D are schematic top and side views of one embodiment of a inlet power pad mounted on the polishing article described herein;
  • FIG. 16A is a sectional view of another embodiment of an ECMP station;
  • FIG. 16B is an exploded schematic view of a portion of the polishing article shown in FIG. 16A;
  • FIG. 17 is an exploded isometric view of one embodiment of a polishing article;
  • FIG. 18 is a top view of one embodiment of a membrane support member; and
  • FIG. 19 is a sectional side view of another embodiment of a polishing article.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is also contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 depicts a processing apparatus 100 having at least one station suitable for electrochemical deposition and chemical mechanical polishing, such as electrochemical mechanical polishing (ECMP) stations 102, 104, and at least one conventional polishing or buffing station 106 disposed on a single platform or tool. Examples of polishing tools that may be adapted to benefit from the invention are the MIRRA® chemical mechanical polishing system and REFLEXION LK Ecmp™ system available from Applied Materials, Inc. located in Santa Clara, Calif. Other planarizing modules commonly used in the art may also be adapted to benefit from the invention.
  • The exemplary apparatus 100 generally includes a base 108 that supports one or more ECMP stations 102, 104, one or more polishing stations 106, a transfer station 110 and a carousel 112. The transfer station 110 generally facilitates transfer of substrates 114 to and from the apparatus 100 via a loading robot 116. The loading robot 116 typically transfers substrates 114 between the transfer station 110 and a factory interface 120 that may include a cleaning module 122, a metrology device 105 and one or more substrate storage cassettes 118. Alternatively, the loading robot 116 (or factory interface 120) may transfer substrates to one or more other processing tools (not shown) such as a chemical vapor deposition tool, physical vapor deposition tool, etch tool and the like. One example of a metrology device 105 is a NOVASCAN™ Integrated Thickness Monitoring system, available from Nova Measuring Instruments, Inc., located in Phoenix, Ariz.
  • For example, the apparatus 100 shown in FIG. 1 includes a first ECMP station 102, a second ECMP station 104, and one CMP station 106. It is to be understood that the invention is not limited to this configuration and that all of the stations 102, 104, and 106 may be adapted to use an ECMP process to remove various layers deposited on the substrate. Alternatively, the apparatus 100 may include two stations that are adapted to perform a CMP process while another station may perform an ECMP process. In one exemplary process, a substrate having feature definitions lined with a barrier layer and filled with a conductive material disposed over the barrier layer may have the conductive material removed in two steps in the two ECMP stations 102, 104, with the barrier layer processed in the conventional CMP station 106 to form a planarized surface on the substrate. It is to be noted that the stations 102, 104, and 106 in any of the combinations mentioned above may also be adapted to deposit a material on a substrate by an electrochemical and/or an electrochemical mechanical plating process.
  • In one embodiment, the transfer station 110 comprises at least an input buffer station 124, an output buffer station 126, a transfer robot 132, and a load cup assembly 128. The loading robot 116 places the substrate 114 onto the input buffer station 124. The transfer robot 132 has two gripper assemblies, each having pneumatic gripper fingers that hold the substrate 114 by the substrate's edge. The transfer robot 132 lifts the substrate 114 from the input buffer station 124 and rotates the gripper and substrate 114 to position the substrate 114 over the load cup assembly 128, then places the substrate 114 down onto the load cup assembly 128.
  • The carousel 112 generally supports a plurality of polishing heads 130, each of which retains one substrate 114 during processing. The carousel 112 transfers the polishing heads 130 between the transfer station 110, the one or more ECMP stations 102 and the one or more polishing stations 106. One carousel 112 that may be adapted to benefit from the invention is generally described in U.S. Pat. No. 5,804,507, issued Sept. 8, 1998 to Tolles et al., which is hereby incorporated by reference to the extent it is not inconsistent with the claims and disclosure herein.
  • Generally, the carousel 112 is centrally disposed on the base 108. The carousel 112 typically includes a plurality of arms 138. Each arm 138 generally supports one of the polishing heads 130. One of the arms 138 depicted in FIG. 1 is not shown so that the transfer station 110 may be seen. The carousel 112 is indexable such that the polishing head 130 may be moved between the stations 102, 106 and the transfer station 110 in a sequence defined by the user.
  • Generally the polishing head 130 retains the substrate 114 while the substrate 114 is disposed in the ECMP stations 102, 104, or polishing station 106. The arrangement of the ECMP stations 106 and polishing stations 102 on the apparatus 100 allow for the substrate 114 to be sequentially plated or polished by moving the substrate between stations while being retained in the same polishing head 130. One polishing head that may be adapted to be used in the invention is a TITAN HEAD™ substrate carrier, manufactured by Applied Materials, Inc., located in Santa Clara, Calif.
  • Examples of embodiments of polishing heads 130 that may be used with the polishing apparatus 100 described herein are described in U.S. Pat. No. 6,024,630, issued Feb. 25, 2000 to Shendon, et al., which is hereby incorporated by reference to the extent it is not inconsistent with the claims and disclosure herein.
  • To facilitate control of the polishing apparatus 100 and processes performed thereon, a controller 140 comprising a central processing unit (CPU) 142, memory 144, and support circuits 146, is connected to the polishing apparatus 100. The CPU 142 may be one of any form of computer processor that can be used in an industrial setting for controlling various drives and pressures. The memory 144 is connected to the CPU 142. The memory 144, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 146 are connected to the CPU 142 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 2 depicts a sectional view of the polishing head 130 supported above an ECMP station 102. The ECMP station 102 generally includes a basin 202, an electrode 204, polishing article 205, a disc 206 and a cover 208. In one embodiment, the basin 202 is coupled to the base 108 of the polishing apparatus 100. The basin 202 generally defines a container or electrolyte cell in which a conductive fluid such as an electrolyte 220 can be confined. The electrolyte 220 used in processing the substrate 114 can include metals such as copper, aluminum, tungsten, gold, silver or other materials which can be electrochemically deposited onto or electrochemically removed from the substrate 114.
  • The basin 202 can be a bowl shaped member made of a plastic such as fluoropolymers, a TEFLON® material, PFA, PE, PES, or other materials that are compatible with electroplating and electropolishing chemistries. The basin 202 has a bottom 210 that includes an aperture 216 and a drain 214. The aperture 216 is generally disposed in the center of the bottom 210 and allows a shaft 212 to pass therethrough. A seal 218 is disposed between the aperture 216 and the shaft 212 and allows the shaft 212 to rotate while preventing fluids disposed in the basin 202 from passing through the aperture 216.
  • The basin 202 typically includes the electrode 204, the disc 206, and the polishing article 205 disposed therein. Polishing article 205, such as a polishing pad, is disposed and supported in the basin 202 on the disc 206.
  • The electrode 204 is a counter-electrode to the substrate 114 and/or polishing article 205 contacting a substrate surface. The polishing article 205 is at least partially conductive and may act as an electrode in combination with the substrate during electrochemical processes, such as an electrochemical mechanical plating process (ECMPP), which includes electrochemical deposition and chemical mechanical polishing, or electrochemical dissolution. The electrode 204 may be an anode or cathode depending upon the positive bias (anode) or negative bias (cathode) applied between the electrode 204 and polishing article 405.
  • For example, depositing material from an electrolyte on the substrate surface, the electrode 204 acts as an anode and the substrate surface and/or polishing article 205 acts as a cathode. When removing material from a substrate surface, such as by dissolution from an applied bias, the electrode 204 functions as a cathode and the substrate surface and/or polishing article 205 may act as an anode for the dissolution process.
  • The electrode 204 is generally positioned between the disc 206 and the bottom 210 of the basin 202 where it may be immersed in the electrolyte 220. The electrode 204 can be a plate-like member, a plate having multiple apertures formed therethrough, or a plurality of electrode pieces disposed in a permeable membrane or container. A permeable membrane (shown in FIGS. 16A-19) may be disposed between the disc 206 and the electrode 204 or electrode 204 and polishing article 205 to filter bubbles, such as hydrogen bubbles, from the wafer surface and to reduce defect formation and stabilize or more uniformly apply current or power therebetween.
  • The electrode 204 is comprised of the material to be deposited or removed, such as copper, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on the substrate 114. For electrochemical removal processes, such as anodic dissolution, the electrode 204 may include a non-consumable electrode of a material other than the deposited material, such as platinum for copper dissolution. The non-consumable electrode is used in planarization processes combining both electrochemical deposition and removal.
  • While the following polishing article is described for an electrochemical-mechanical polishing (ECMP) process, the invention contemplates using the conductive polishing article in other fabrication processes involving electrochemical activity. Examples of such processes using electrochemical activity include electrochemical deposition, which involves the polishing article 205 being used to apply a uniform bias to a substrate surface for depositing a conductive material without the use of conventional bias application apparatus, such as edge contacts, and electrochemical mechanical plating processes (ECMPP) that include a combination of electrochemical deposition and chemical mechanical polishing.
  • The polishing article 205 can be a pad, a web or a belt of material, which is compatible with the fluid environment and the processing specifications. In the embodiment depicted in FIG. 2, the polishing article 205 is circular in form and positioned at an upper end of the basin 202, supported on its lower surface by the disc 206. The polishing article 205 includes at least a partially conductive surface of a conductive material, such as one or more conductive elements, for contact with the substrate surface during processing. The polishing article 205 may be a conductive polishing material or a composite of a conductive polishing material disposed on a conventional polishing material. For example the conductive material may be disposed on a “backing” material disposed between the disc 206 and polishing article 205 to tailor the compliance and/or durometer of the polishing article 205 during processing.
  • The basin 202, the cover 208, and the disc 206 may be movably disposed on the base 108. The basin 202, cover 208 and disc 206 may be axially moved toward the base 108 to facilitate clearance of the polishing head 130 as the carousel 112 indexes the substrate 114 between the ECMP and polishing stations 102, 106. The disc 206 is disposed in the basin 202 and coupled to the shaft 212. The shaft 212 is generally coupled to a motor 224 disposed below the base 108. The motor 224, in response to a signal from the controller 140, rotates the disc 206 at a predetermined rate.
  • The disc 206 may be a perforated article support made from a material compatible with the electrolyte 220 which would not detrimentally affect polishing. The disc 206 may be fabricated from a polymer, for example fluoropolymers, PE, TEFLON®, PFA, PES, HDPE, UHMW or the like. The disc 206 can be secured in the basin 202 using fasteners such as screws or other means such as snap or interference fit with the enclosure, being suspended therein and the like. The disc 206 is preferably spaced from the electrode 204 to provide a wider process window, thus reducing the sensitivity of depositing material and removing material from the substrate surface to the electrode 204 dimensions.
  • The disc 206 is generally permeable to the electrolyte 220. In one embodiment, the disc 206 includes a plurality of perforations or channels 222 formed therein. The perforation size and density is selected to provide uniform distribution of the electrolyte 220 through the disc 206 to the substrate 114. In one aspect of the disc 206 includes perforations having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). The perforations may have a perforation density between about 30% and about 80% of the polishing article. A perforation density of about 50% has been observed to provide electrolyte flow with minimal detrimental effects to polishing processes. Generally, the perforations of the disc 206 and the polishing article 205 are aligned to provide to provide for sufficient mass flow of electrolyte through the disc 206 and polishing article 205 to the substrate surface. The polishing article 205 may be disposed on the disc 206 by a mechanical clamp or conductive adhesive.
  • The electrolyte 220 is prevented from overflowing the processing area 232 by a plurality of apertures 234 disposed in a skirt 254. The apertures 234 generally provide a path through the cover 208 for the electrolyte 220 exiting the processing area 232 and flowing into the lower portion of the basin 202. The apertures 234 are generally positioned between a lower surface 236 of the depression 258 and the center portion 252. As at least a portion of the apertures 234 are typically higher than the surface of the substrate 114 in a processing position, the electrolyte 220 fills the processing area 232 and is thus brought into contact with the substrate 114 and polishing article 205. Thus, the substrate 114 maintains contact with the electrolyte 220 through the complete range of relative spacing between the cover 208 and the disc 206.
  • The electrolyte 220 collected in the basin 202 generally flows through the drain 214 disposed at the bottom 210 into the fluid delivery system 272. The fluid delivery system 272 typically includes a reservoir 233 and a pump 242. The electrolyte 220 flowing into the fluid delivery system 272 is collected in the reservoir 233. The pump 242 transfers the electrolyte 220 from the reservoir 233 through a supply line 244 to the nozzle 270 where the electrolyte 220 recycled through the ECMP station 102. A filter 240 is generally disposed between the reservoir 233 and the nozzle 270 to remove particles and agglomerated material that may be present in the electrolyte 220.
  • Polishing Article Structures
  • In one aspect, the polishing article 205 is composed of a single layer of conductive polishing material described herein disposed on the disc 206. In another aspects, the polishing article 205 may comprises a plurality of material layers including at least one conductive material on the substrate surface or providing for a conductive surface for contacting a substrate.
  • FIG. 3 is a cross section view of the polishing article 205 illustrating a multi-layer or composite layer polishing article having a conductive polishing portion 310 for polishing a substrate surface and a mounting portion 320. The conductive polishing portion 310 may include a conductive polishing material or be a composite of the conductive polishing material and the conventional polishing material described herein. The thickness of the polishing article 205 may be between about 0.1 mm and about 5 mm.
  • The mounting portion 320 generally comprises the same material as the conductive polishing portion 310. However, the mounting portion 320 may be formed of other materials, such as formed only from a conventional hard polishing material, i.e., a shore D hardness of about 80 or greater, which provides support to the conductive polishing portion 310 during polishing. Additionally, the mounting portion 320 may be a conventional soft material, such as compressed felt fibers leached with urethane, for absorbing some of the pressure applied between the polishing article 205 and the carrier head 130. The soft material may have a Shore D hardness between about 25 and about 40.
  • Generally, the conductive polishing portion 310 is adhered to the mounting portion 320 by a conventional adhesive. The adhesive may be conductive or dielectric depending on the requirements of the process. The mounting portion 320 may be affixed to the disc 206 by an adhesive or mechanical clamps.
  • The conductive polishing portion 310 and the mounting portion 320 of the polishing article 205 are generally permeable to the electrolyte by a plurality of perforations or channels formed therein. The plurality of perforations or channels allows electrolyte to flow through and contact the surface during processing. Perforations 350 formed in the polishing article 205 may include channels or apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). While not shown in FIG. 3, the perforations may have a diameter about equal to the thickness of the polishing article 205, or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • The polishing article may have a perforation density between about 30% and about 80% of the polishing article to provide for sufficient mass flow of electrolyte across the polishing article surface. In one embodiment, a perforation density of about 50% provides sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface. Perforation density is broadly described herein as the area or volume of polishing article that the perforations comprise, i.e., the aggregate number and diameter or size of the perforations, of the surface or body of the polishing article when perforations are formed in the polishing article 205.
  • The perforation size and density is selected to provide uniform distribution of electrolyte through the polishing article 205 to a substrate surface. Generally, the perforation size, perforation density, and organization of the perforations of both the conductive polishing portion 310 and the mounting portion 320 are configured and aligned to each another to provide for sufficient mass flow of electrolyte through the conductive polishing portion 310 and the mounting portion 320 to the substrate surface.
  • Referring to FIG. 4, in one aspect, the polishing article 205 includes conductive polishing surface article 410 for polishing a substrate surface, a conductive article support layer 420, and a rigid support layer 430. Generally, the conductive polishing surface article 410 is disposed on the conductive article support layer 420 and is adhered to the conductive article support layer 420 by a conductive adhesive. The conductive article support layer 420 may be affixed to the rigid support layer 430 by a conventional adhesive used with polishing materials and in polishing processes. The rigid support layer 430 may comprise a mounting portion to be disposed on an article support structure, such as the disc 206, or may comprise the disc 206 itself. The polishing article 205 may be affixed to the disc 206 by an adhesive or mechanical clamp (not shown). The thickness of the polishing article 205 is between about 0.1 mm and about 5 mm in thickness.
  • The conductive polishing surface article 410 may comprise a conductive polishing material or composite of a conductive polishing material disposed in conventional polishing materials as described herein. The conductive polishing surface article 410 generally includes a surface roughness of about 1 micron or less. The conductive polishing surface article 410 generally has a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials.
  • The conductive article support layer 420 may be made from a conductive material compatible with surrounding electrolyte which would not detrimentally affect polishing. The conductive article support layer 420 can be made of materials including conductive noble metals, such as platinum, or a conductive polymer to provide electrical conduction across the polishing article. Suitable materials for the conductive article support layer 420 are those which are inert materials in the polishing process and are resistant to being consumed or damaged during ECMP.
  • However, the invention contemplates the use of materials for the conductive article support layer 420 that are reactive with the surrounding electrolyte, such as copper, if such materials are isolated from the surrounding electrolyte. For example, the conductive article support layer 420 may be conformally covered with the conductive polishing material to minimize any detrimental impact of reactivity between the material of the conductive article support layer 420 and surrounding electrolyte.
  • The conductive article support layer 420 generally has a better conductivity, i.e., lower resistivity, than does the conductive polishing surface article 410. For example, the conductive article support may comprise platinum, which has a resistivity 9.81 μΩ-cm at 0° C., and is a lower resistivity than carbon fibers or carbon nanotubes, both of which exhibit resistivities of 1.0 Ω-cm or less. The conductive article support layer 420 is used to provide for uniform bias or current to minimize conductive resistance along the surface of the article, for example, the radius of the article, during polishing for uniform anodic dissolution across the substrate surface.
  • The conductive article support layer 420 is connected to a power source (not shown). The conductive article support layer 420 provides the current carrying capability, i.e., the anodic bias for anodic dissolution, of the conductive polishing article 205. The power source may be connected to the conductive article support layer 420 by one or more conductive contacts disposed around the conductive article support layer 420. One or more power sources may be connected to the conductive article support layer 420 by the one or more contacts to allow for generating variable bias or current across portion of the substrate surface.
  • The rigid support layer 430 generally comprises a rigid support material used to hold polishing article. Rigid support layer 430 may include polymeric materials, for example fluoropolymers, PE, a TEFLON® material, PFA, PES, HDPE, UHMW or the like used for the disc 206. Additionally, the rigid support layer 430 may include a conventional hard polishing material, for example, materials found in the IC series of polishing article, such as polyurethane or polyurethane composites, including the IC-1000 polishing pad, from Rodel Inc., of Phoenix, Ariz. Generally, when using a hard conventional material for the rigid support layer 430, the hard conventional material has a hardness less than that of the conductive polishing surface article 410.
  • Alternatively, a layer of compressible material, such as soft polishing material may be disposed in place of the rigid support layer 430 or between the conductive article support layer 420 and rigid support layer 430. The compressible material includes a conventional soft material, such as compressed felt fibers leached with urethane, and having a Shore D hardness between about 25 and about 40.
  • The conductive polishing surface article 410, the conductive article support layer 420, and the rigid support layer 430 of the polishing article 205 are generally made permeable to the electrolyte by a plurality of perforations or channels formed therein. The perforations 405 include channels or apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm) and a perforation density may be between about 30% and about 80% of the polishing article 205. A perforation density of about 50% may be used with the polishing article 205. While not shown in FIG. 4, the perforations 405 may have a diameter about equal to the thickness of the polishing article 205, or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • Generally the perforation size, organization, and density of the conductive polishing surface article 410, the conductive article support layer 420, and the rigid support layer 430 are configured and aligned to provide for sufficient mass flow of electrolyte through rigid support layer 430, the conductive article support layer 420, and the conductive polishing surface article 410 to the substrate surface.
  • In one embodiment, the rigid support 430 includes a surface for mounting on disc 206. The disc 206 may be perforated. The rigid support 430 may be secured to the disc 206 by mechanical clamps or a conventional adhesion for securing polishing materials to support structures. Generally, the perforations of the disc 206 are configured and aligned with the rigid support 430, the conductive article support layer 420, and the conductive polishing surface article 410 to provide for sufficient mass flow of electrolyte through the polishing article 205 and the disc 206 to the substrate surface.
  • FIG. 5A is a side schematic view of another embodiment of the polishing article 205 disposed on the disc 206. The polishing article 205 in this embodiment includes a round polishing pad including conductive polishing surface article 510 disposed on a article support layer 520, which is disposed on a support layer 530. The conductive polishing surface article 510 includes carbon fibers and polyurethane, the article support layer 520 includes a platinum film, and the support 530 typically includes a compressible material, such as a soft material described herein, including compressed felt fibers leached with urethane. A less compressible material, such as a hard material described herein, for example, polyurethane, may be used as the support layer 530. Grooves 550 are formed in the conductive polishing surface article 510, the conductive article support layer 520, and the rigid support 530 of the polishing article 205, and perforations 505 are formed in the disc 206 to further allow electrolyte to contact the substrate surface during ECMP.
  • FIG. 5B is a side schematic view of another embodiment of the polishing article 205 disposed on disc 206. In this embodiment, the conductive article support layer 520 layer is isolated from the surrounding electrolyte 560 by a conformal layer of the conductive polishing surface article 510. The conductive polishing surface article 510 is provided at a thickness of about 1 mm and covers the entire exposed conductive article support layer 520. Since the conductive article support layer 520 is not exposed to the surrounding electrolyte, the conductive layer 520 may include materials, such as copper which has a resistivity of 1.6 μΩ-cm at 0° C., that may be consumed if exposed to ECMP processing.
  • While not shown in FIGS. 5A and 5B, the perforations 505 may have a diameter about equal to the thickness of the polishing article 205 or an aspect ratio of about 1:1 between the thickness of the polishing article 205 and the diameter of the perforations disposed therein.
  • FIG. 6 is a side schematic view of another embodiment of the polishing article 205 disposed on the disc 206. A metal mesh 610 of a conductive metal is disposed in the polishing article 205 to provide conductivity to the polishing article 205. In one embodiment, the polishing article 205 using a metal mesh generally comprise a stack of polishing materials including metal mesh 610 disposed in a first conventional polishing material, a flexible polishing material 620, and rigid support 630 of a second conventional polishing material. The metal mesh 610 disposed in the first conventional polishing material includes the conductive polishing portion of the polishing article 205 and the flexible polishing material 620 and rigid support 630 comprise the mounting portion of the polishing article 205.
  • The amount, thickness, material, and configuration of the metal mesh and the thickness of the conventional polishing material are designed to provide a bias or current to the substrate surface with minimal resistance between an external power source and the polishing article surface. For example, the metal mesh may be interwoven wire forming a “X-Y” grid (a square pattern) or a triangular pattern, an “X-Y” grid with diagonal wires passing therethrough, formed in the conventional polishing material.
  • The metal mesh includes conductive materials, such as platinum described herein, which is chemically inert to the surrounding electrolyte. Additionally, conformal coverage of the metal mesh by the conventional or conductive polishing material may allow the use of materials, such as copper which has a resistivity of 1.6 μΩ-cm at 0° C., that may be consumed if exposed to ECMP processing.
  • The conductive polishing article 610 with the metal mesh may be manufactured by forming a pattern in the first conventional polishing material and then electroplating or pressing a metal mesh in the pattern. The conductive polishing article 610 may also be manufactured to be conformally covered by the conventional polishing material by disposing the metal mesh in an injection molding apparatus and then forming the conventional polishing material around the mesh by an injection molding process. Grooves 650 and perforations 605 may also formed in the polishing article 205 with the metal mesh for effective flow of electrolyte across the polishing article 205. The metal mesh is generally formed in the conductive polishing article 610 with exposed contacts for connecting to a power source.
  • The conventional polishing material used with the metal mesh 610 and the conventional polishing material of the rigid support 630 may be the same or different conventional material. The conventional polishing material used with the metal mesh 610 and the conventional polishing material of the rigid support 630 may have the same or different hardness. For example, a first conventional polishing material may be used with the metal mesh 610 may have a Shore D hardness between about 50 and about 60, and the rigid support 630 may be formed by a second conventional polishing material having a hardness of about 80. Conductive materials, such as conductive polymers and conductive filler material, may also be used with the metal mesh 610.
  • The flexible polishing material 620 may comprise a uniformly compressible plastic, foam or rubber. An example of a flexible polishing material is compressed felt fibers leached with urethane. One polishing article material suitable for use as the flexible polishing material 620 includes the materials used in the Politex or Suba IV polishing article from Rodel, Inc. of Phoenix, Ariz. (Politex and Suba IV are product names of Rodel, Inc.). The flexible polishing material may have a Shore D hardness between about 25 and about 40.
  • Referring back to FIGS. 5A, 5B, and 6, grooves may be disposed in the polishing article 205 to promote electrolyte flow to the substrate surface for anodic dissolution or electroplating processes. While the grooves shown in FIGS. 5A, 5B, and 6, illustrate grooves through multiple layers, the invention contemplates grooves being formed in the upper layer or polishing surface that contacts the substrate surface with perforations in the non-grooved layer to provide electrolyte flow therethrough.
  • Examples of grooves used to facilitate electrolyte flow include linear grooves, arcuate grooves, annular concentric grooves, and helical grooves among others. The grooves formed in the article 205 may have a cross-section that is square, circular, semi-circular, or any other shape conventionally used in the art. The grooves may be configured into patterns, such as an X-Y pattern disposed on the polishing surface or a triangular pattern formed on the polishing surface, or combinations thereof, to improve electrolyte flow over the surface of the substrate. Any suitable groove configuration, size, diameter, and spacing may be used to provide the desired flow of electrolyte. In one aspect of the polishing article, the grooves are configured to intersect with the perforations formed in the polishing article.
  • FIG. 7 is a top plan view of one embodiment of a grooved polishing article. A round pad 740 of the polishing article 205 is shown having a plurality of perforations 746 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface. The perforations 746 can be spaced between about 0.2 inches and about 1.0 inches from one another. The perforations may be circular perforations having a diameter of between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). Further the number and shape of the perforations may vary depending upon the apparatus, processing parameters, and ECMP compositions being used.
  • Grooves 742 are formed in the polishing surface 748 of the polishing article 205 therein to assist transport of fresh electrolyte from the bulk solution from basin 202 to the gap between the substrate and the polishing article. The grooves 742 may be spaced between about 30 mils and about 300 mils apart from one another. Generally, grooves 742 formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing. An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another. Transport of electrolyte may be enhanced by forming the perforations at least partially in the grooves to improve flow of the electrolyte.
  • The grooves 742 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 748 as shown in FIG. 7, an X-Y pattern as shown in FIG. 8 and a triangular pattern as shown in FIG. 9. While these patterns are shown and described herein, the invention contemplates the use of other patterns which can facilitate electrolyte flow to a substrate surface during processing.
  • FIG. 8 is a top plan view of another embodiment of a polishing pad having grooves 842 disposed in an X-Y pattern on the polishing article 848 of a polishing pad 840. Perforations 846 may be disposed at the intersections of the vertically and horizontally disposed grooves, and may also be disposed on a vertical groove, a horizontal groove, or disposed in the polishing article 848 outside of the grooves 842.
  • The perforations 846 and grooves 842 are disposed in the inner diameter of the polishing article and the outer diameter 850 of the polishing pad 844 may be free of perforations and grooves and perforations.
  • FIG. 9 is another embodiment of patterned polishing article 948. In this embodiment, grooves 942 may be disposed in an X-Y pattern with diagonally disposed grooves 945 intersecting the X-Y patterned grooves 942 disposed on a round pad 940. The diagonal grooves 945 may be disposed at an angle between about 30° and about 60° from any of the X-Y grooves 942. Perforations 946 may be disposed at the intersections of the X-Y grooves 942, the intersections of the X-Y grooves 942 and diagonal grooves 945, along any of the grooves 942 and 945, or disposed in the polishing article 948 outside of the grooves 942 and 945. The perforations 946 and grooves 942 are disposed in the inner diameter 950 of the polishing article and the outer diameter 950 of the polishing pad 944 may be free of perforations and grooves.
  • It is believed that the grooves provide a supply of electrolyte to the substrate surface that is evenly distributed on the substrate surface allowing for a more even dissolution of material into the substrate, and thereby increasing substrate planarity and uniformity. It is further believed that the use of intersecting grooves and perforations will allow electrolyte to enter through one set of perforation, be evenly distributed around the substrate surface, and then removed through a second set of perforations.
  • Conductive Elements in Polishing Articles
  • The conductive polishing article 205 of the invention may alternatively comprise discrete conductive elements disposed in a polishing material. While not shown, the following polishing article descriptions may include polishing articles having perforation and grooving patterns described herein and shown in FIGS. 7-9, with configurations to the patterns to incorporate the conductive elements described herein as follows.
  • FIG. 10A depicts one embodiment of a polishing article 205 having conductive elements disposed therein. The polishing article 205 generally comprises a body 1006 having a polishing surface 1002 adapted to contact the substrate while processing. The polishing surface 1002 has one or more opening or pockets 1004 formed therein to at least partially receive the conductive elements 1065. The conductive elements 1065 are generally disposed to have a contact surface 1008 with a substrate that extends above a plane defined by the polishing surface 1002. The contact surface 1008 is typically configured, such as by having a compliant, flexible, or pressure moldable surface, to maximize electrical contact of the conductive elements 1065 when contacting the substrate. During polishing, a bias force that urges the contact surface 1008 into a position co-planar with the polishing surface 1002.
  • The body 1006 is generally made permeable to the electrolyte by a plurality of perforations 1010 formed therein as described herein. The polishing article 205 may have an aperture density between about 30% and about 80% of the surface area of the polishing article 205 to provide for sufficient mass flow of electrolyte across the polishing surface 1002. In one embodiment, an aperture density of about 50% provides sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface.
  • The body 1006 generally comprises a dielectric material such as the conventional materials described herein. The pockets 1004 formed in the body 1006 are generally configured to retain the conductive elements 1065 while processing, and accordingly may vary in shape and orientation. In the embodiment depicted in FIG. 10A, the pockets 1004 are grooves of rectangular cross section and are disposed across the polishing surface 1002 coupling two points on the perimeter of the polishing article 205. Alternatively, the pockets 1004 (and conductive elements 1065 disposed therein) may be disposed at irregular intervals, be orientated radially, perpendicular and may additionally be linear, curved, concentric, involute curves or other orientation.
  • The conductive elements 1065 disposed in the body 1006 are generally made of a conductive material 1060 and are provided to produce a bulk resistivity or a bulk surface resistivity of about 10 Ω-cm or less. In one aspect of the polishing article, the polishing article has a resistivity of about 1 Ω-cm or less. The conductive elements 1065 generally have mechanical properties that do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes. In one embodiment, the conductive elements 1065 are sufficiently compliant or flexible as to maintain electrical contact between the entire contact surface 1008 and the substrate during processing. Sufficient compliant or flexible materials for the conductive element 1065 may have an analogous hardness of about 80 or less on the Shore D Hardness scale compared to the polishing material. A conductive element 1065 having an analogous hardness of about 50 or less on the Shore D Hardness scale for polymeric materials may be used.
  • In the embodiment depicted in FIG. 10A, the conductive elements 1065 are embedded in the polishing surface 1002 in a parallel, spaced-apart relation at regular intervals. At least one perforation 1010 is formed through the polishing article 205 between each conductive element 1065. An example of the conductive elements 1065 include conductive and compliant tubing fabricated from silicon filled with nickel-coated carbon. The conductive elements may also extend over only a portion of the width or diameter of the substrate surface, rather than across the entire surface of the polishing pas as shown in FIG. 10A.
  • In another embodiment depicted in FIG. 10B, the conductive elements 1065 are comprised of a spring 1090 disposed in groove 1095. The spring 1090 is fabricated or coated with an at least partially conductive material as described herein. The spring 1090 may extend above the polishing surface 1002 from the groove 1095.
  • A connector 1030 is utilized to couple the conductive elements 1065 to a power source (not shown) to electrically bias the conductive elements 1065 while processing. The connector 1030 is generally a wire, tape or other conductor compatible with process fluids or having a covering or coating that protects the connector 1030 from the process fluids. The connector 1030 may be coupled to the conductive elements 1065 by soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices. Examples of materials that may be utilized in the connector 1030 include insulated copper, graphite, titanium, platinum, gold, and a HASTELOY® material, among other materials.
  • Coatings disposed around the connectors 1030 may include polymers such as fluorocarbons, PVC and polyimide. In the embodiment depicted in FIG. 10A, one connector 1030 is coupled to each conductive element 1065 at the perimeter of the polishing article 205. Alternatively, the connectors 1030 may be disposed through the body 1006 of the polishing article 205. In yet another embodiment, the connector 1030 may be coupled to a conductive grid (not shown) disposed in the pockets and/or through the body 1006 that electrically couples the conductive elements 1065.
  • FIG. 10C illustrates another embodiment of the polishing article 205 having conductive elements 1065 comprising a conductive structure 1075 embedded in a conductive filler 1080, such as a conductive polymeric material as described above, including conductive polyurethane materials, disposed in the body 1006, which comprises a dielectric material, such as polyurethane. The conductive elements 1075 may be embedded in the body 1006 a parallel, spaced-apart relation at regular intervals. The conductive filler 1080 is generally planar with the polishing surface 1002. At least one perforation 1010 is formed through the polishing article 205 between each conductive element 1075. An example of the conductive element 1075 includes copper wire or tubing in a conductive polyurethane filler disposed in a body of polyurethane. A sub-pad 1085 may be disposed beneath the body 1006 and in contact with the conductive filler 1080. The sub-pad 1085 may be perforated and is primarily used to provide support for the body 1006 and the conductive elements 1075 disposed therein. The conductive elements may also extend over only a portion of the width or diameter of the substrate surface, rather than across the entire surface of the polishing pas as shown in FIG. 10C.
  • FIG. 11A depicts another embodiment of a conductive member 1100 disposed in the pocket 1004 of the polishing article 205. The conductive member 1100 is generally an at least partially conductive bar, cylinder or coil that includes a contact surface 1102 that extends above a plane defined by the polishing surface 1002 of the body 1006. The contact surface 1102 is generally rounded to prevent damage to the substrate during processing.
  • A biasing member 1104 is disposed between the conductive member 1100 and the body 1006. The biasing member 1104 generally provides a bias that urges the conductive member 1100 away from the body 1006. The biasing member 1104 is comprised of a resilient material or device and may be a compression spring, flat spring, coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON®), an elastomer, a bladder or other member or device that urges the conductive member 1100.
  • FIG. 11B depicts another embodiment of a conductive member 1150 disposed in the pocket 1004 of the polishing article 205. The conductive member 1150 is generally comprises a plurality of balls or pins 1154. The pins 1154 are at least partially comprised and/or coated with an at least partially conductive material as described herein. Each pin 1154 includes a contact surface 1152 that extends above a plane defined by the polishing surface 1002 of the body 1006. The contact surface 1152 is generally rounded to prevent damage to the substrate during processing.
  • The pins 1154 are disposed through a bushing 1156 disposed in the pocket 1004. The pins 1154 may move through the bushing 1156 so that the contact surface 1152 of the pins 1154 may become coplanar with the polishing surface 1002 when polishing.
  • A biasing member 1158 is disposed between the conductive member 1150 and the body 1006. The biasing member 1158 generally provides a bias that urges the conductive member 1150 away from the body 1006. The biasing member 1158 is comprised of a resilient material or device and may be a compression spring, flat spring, coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON®), an elastomer, a bladder or other member or device that urges the conductive member 1150. Typically, at least one of the biasing member 1158 or the bushing 1156 comprises a conductive material or coating to electrically couple the pins 1154.
  • FIGS. 12A-B depict alternative embodiments of a conductive member 1200 disposed in the pocket 1004 of the polishing article 205. The conductive member 1200 generally includes a carrier 1202 and a contact member 1204. A biasing member 1206 similar to the biasing member 1104 described herein is disposed between the carrier 1202 and body 1006 of the polishing article 205 for urging the contact member 1204 to a position at least partially above a plane defined by the polishing surface 1002 of the body 1006.
  • The carrier 1202 is typically formed from a conductive material such as graphite or a metal or other material compatible with process chemistries as described herein. Alternatively, other materials such as semiconductors or dielectric may be utilized. The carrier 1202 is configured to remain in contact with the contact member 1204 during processing.
  • The contact member 1204 is typically formed from a conductive material such as graphite or a metal or other at least partially conductive material compatible with process chemistries as described herein. The contact member 1204 is typically a cylinder, coil, bar or ball although other shapes may be utilized. For example, the contact member 1204 is a graphite rod seated on a graphite carrier 1202 in the embodiment depicted in FIG. 12A and the contact member 1204 is a plurality of graphite or gold balls seated on and electrically coupled through a graphite carrier 1202 in the embodiment depicted in FIG. 12B.
  • FIG. 13 depicts another embodiment of a polishing material 1300. The polishing material 1300 includes a body 1302 having one or more at least partially conductive elements 1304 disposed on a polishing surface 1306. The conductive elements 1304 generally comprise a plurality of fibers, strands, and/or flexible fingers with contact the substrate while processing. The conductive elements 1304 is comprised an at least partially conductive materials as described herein. In the embodiment depicted in FIG. 13, the conductive elements 1304 are a brush comprises a plurality of conductive sub-elements 1313 coupled to a base 1309. The conductive sub-elements 1313 include electrically conductive fibers, such as carbon fibers or other conductive, compliant (i.e., flexible) made from a conductive material described herein. The base 1309 also comprises an electrically conductive material and is coupled to a connector 1030.
  • The conductive elements 1304 generally are disposed in a pocket 1308 formed in the polishing surface 1306. The conductive elements 1304 may be orientated between 0 and 90 degrees relative to the polishing surface 1306. In embodiments where the conductive elements 1304 are orientated parallel to the polishing surface 1306, the conductive elements 1304 may partially be disposed on the polishing surface 1306.
  • The pockets 1308 have a lower mounting portion 1310 and an upper, clearance portion 1312. The mounting portion 1310 is configured to receive the base 1309 of the conductive elements 1304, and retain the conductive elements 1304 by press fit, clamping, adhesives or by other methods. The clearance portion 1312 is disposed where the pocket 1308 intersects the polishing surface 1306. The clearance portion 1312 is generally larger in cross section than the mounting portion 1310 to allow the conductive elements 1304 to flex when contacting a substrate while polishing without being disposed between the substrate and the polishing surface 1306.
  • FIG. 14A depicts one embodiment of a brush 1400 comprised of conductive elements 1402 (only four are shown for clarity). The brush 1400 is generally orientated between 0 to 90 degrees relative to a polishing surface 1404 and can be inclined in any polar orientation relative a line normal to the polishing surface 1404.
  • Each conductive element 1402 generally comprises a loop or ring 1406 having a first end 1408 and a second end 1410 disposed in a pocket 1412 formed in the polishing surface 1404. Each conductive element 1402 is typically coupled to an adjoining conductive element to form a plurality of loops 1406 extending above the polishing surface 1404. In the embodiment depicted in FIG. 14A, each loop 1406 is fabricated from graphite or conductive metal, such as gold, and are coupled by a tie wire base 1414 adhered to the pocket 1412. The contact height of the loop 1406 above the polishing surface is between about 1 millimeter and about 2 millimeters and the diameter of the material comprising the loop is between about 1 mil (thousandths of an inch) and about 2 mils. The tie wire base 1414 may be a conductive material, such as titanium. The tie wire base 1414 may also be coated in a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing.
  • FIG. 14B depicts another embodiment of a brush 1400 having a conducting surface 1440 and a plurality of discreet conductive elements 1402 formed thereon. The conductive elements 1402 generally comprise fibers of a conductive material, such as carbon, vertically displaced from the conducting surface 1440 of the polishing article 205 and horizontally displaced from each other. The conducting elements 1402 of the brush 1400 are generally orientated between 0 to 90 degrees relative to a conducting surface 1440 and can be inclined in any polar orientation relative a line normal to the conducting surface 1440. The conductive elements 1402 may be formed across the length of the polishing pads, as shown in FIG. 14B or may only be partially disposed in the polishing pad. The contact height of the conductive elements 1402 above the polishing surface may be up to about 5 millimeters and the diameter of the material comprising the conductive element 1402 is between about 1 mil (thousandths of an inch) and about 2 mils. The height above the polishing surface and the diameter of the conductive elements 1402 may vary upon the polishing process being performed.
  • Alternatively, the conducting elements 1402 may comprise a conducting wire of copper, platinum, platinum-coated copper, aluminum, or combinations thereof. The conducting surface 1440 may comprise a metal material, such as a copper sheet, a platinum sheet, or a platinum coated copper sheet.
  • The fibers of the conductive elements 1402 are compliant enough to deform under a contact pressure while maintaining an electrical contact with a substrate surface with reduced or minimal scratching of the substrate surface. Generally, the substrate surface only contacts the conductive elements 1402 of the polishing article 205. The conductive elements 1402 are positioned so as to provide a uniform current density over the surface of the polishing article 205.
  • The conductive elements 1402 are adhered to the conducting surface by a non-conductive, or dielectric, adhesive or binder. The non-conductive adhesive may provide a dielectric coating to the conducting surface 1440 to provide an electrochemical barrier between the conducting surface 1440 and any surrounding electrolyte. The conducting surface 1440 may be in the form of a round polishing pad or a linear web or belt of polishing article 205. A series of perforations (not shown) may be disposed in the conducting surface 1440 for provided flow of electrolyte therethrough.
  • While not shown, the conductive plate may be disposed on a support pad of conventional polishing material for positioning and handling of the polishing article 205 on a rotating or linear polishing platen.
  • FIG. 14C shows another embodiment of a brush 1400 having a plurality of conductive elements 1402, disposed in a radial pattern from the center of the substrate to the edge. The plurality of conductive elements may be displaced from each other at intervals of 15°, 30°, 45°, 60°, and 90° degrees, or any other combinations desired. The conductive elements 1402 are generally spaced to provide as uniform application of current or power for polishing of the substrate. The conductive elements may be further spaced so as to not contact each other. Wedge portions 1404 of a dielectric polishing material of the body 1006 may be configured to electrically isolate the conductive elements 1402. A spacer or recessed area 1460 is also formed in the polishing article to also isolate the conductive elements 1402 from each other. The conductive elements 1402 may be in the form of loops as shown in FIG. 14A or vertical extending fibers as shone in FIG. 14B.
  • FIG. 14D shows another embodiment of forming the conductive elements 1402 having loops 1406 formed therein and securing the conductive elements to the body 1006 of the polishing article. Passages 1450 are formed in the body 1006 of the polishing article intersecting grooves 1470 for the conductive elements 1402. An insert 1455 is disposed in the passages 1450. The insert 1455 comprises a conductive material, such as gold or the same material as the conductive element 1406. Connectors 1030 may then be disposed in the passages 1450 and contacted with the insert 1455. Ends 1475 of the conductive element 1402 may be contacted with the insert 1455 for flow of power therethrough. The ends 1475 of the conductive element 1402 and the connectors 1030 are then secured to the conductive insert 1455 by dielectric inserts 1460. The invention contemplated using the passages for every loop 1406 of the conductive element 1402, at intervals along the length of the conductive element 1402, or only at the extreme ends of the conductive element 1402.
  • Further examples of conductive polishing pads are described in U.S. Provisional Patent Application Ser. No. 60/258,162, filed Dec. 22, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • Conductive Polishing Article Processing
  • In operation, the polishing article 205 is disposed on the disc 206 in an electrolyte in the basin 202. A substrate 114 on the polishing head is disposed in the electrolyte and contacted with the polishing article 205. Electrolyte is flowed through the perforations of the disc 206 and the polishing article 205 and is distributed on the substrate surface by grooves formed therein. Power from a power source is then applied to the conductive polishing article 205 and the electrode 204, and conductive material, such as copper, in the electrolyte is then removed by an anodic dissolution method.
  • The substrate 114 and polishing article 205 are rotated relative to one another to polish the substrate surface. When contacting the substrate surface, the polishing article 205 typically applies a pressure of about 6 psi or less to the substrate surface. A pressure between of about 2 psi or less is used with substrate containing low dielectric constant material between the substrate 114 and the polishing article 205 during polishing of the substrate.
  • Electrolyte solutions may include commercially available electrolytes. For example, in copper containing material removal, the electrolyte may include sulfuric acid based electrolytes or phosphoric acid based electrolytes, such as potassium phosphate (K3PO4), or combinations thereof. The electrolyte may also contain derivatives of sulfuric acid based electrolytes, such as copper sulfate, and derivatives of phosphoric acid based electrolytes, such as copper phosphate. Electrolytes having perchloric acid-acetic acid solutions and derivatives thereof may also be used. Additionally, the invention contemplates using electrolyte compositions conventionally used in electroplating or electropolishing processes, including conventionally used electroplating or electropolishing additives, such as brighteners among others. In one aspect of the electrolyte solution, the electrolyte may have a concentration between about 0.2 and about 1.2 Molar of the solution.
  • As one example, copper sulfate (CuSO4) can be used as the electrolyte. One source for electrolyte solutions used for electrochemical processes such as copper plating, copper anodic dissolution, or combinations thereof is Shipley Leonel, a division of Rohm and Haas, headquartered in Philadelphia, Pa., under the tradename ULTRAFILL™ 2000.
  • In anodic dissolution, the bias is applied between the electrode 204, performing as a cathode, and the conductive article support layer 520 of the polishing article 205, performing as the anode. The substrate in contact with the polishing article is polarized via the conductive polishing surface article 510 at the same time the bias is applied to the conductive article support member. The application of the bias allows removal of conductive material, such as copper-containing materials, formed on a substrate surface. The bias may include the application of a voltage of about 15 volts or less to the substrate surface. A voltage between about 0.1 volts and about 10 volts may be used to dissolve copper-containing material from the substrate surface and into the electrolyte.
  • Alternatively, the bias may be a current density between about 0.1 milliamps/cm2 and about 50 milliamps/cm2, or between about 0.1 amps to about 20 amps for a 200 mm substrate. It is believed that biasing the substrate from the polishing article 205 provides uniform dissolution of conductive materials, such as metals, into the electrolyte from the substrate surface as compared to the higher edge removal rate and lower center removal rate from conventional edge contact-pins bias.
  • The bias applied to perform the anodic dissolution process may be varied in power and application depending upon the user requirements in removing material from the substrate surface. For example, a time varying anodic potential may be provided to the conductive polishing article 205. The bias may also be applied by electrical pulse modulation techniques. The electrical pulse modification technique comprises applying a constant current density or voltage over the substrate for a first time period, than applying a constant reverse voltage over the substrate for a second time period, and repeating the first and second steps. For example, the electrical pulse modification technique may use a varying potential from between about −0.1 volts and about −15 volts to between about 0.1 volts and about 15 volts.
  • Conductive material, such as copper containing material can be removed from at least a portion of the substrate surface at a rate of about 15,000 Å/min or less, such as between about 100 Å/min and about 15,000 Å/min. In one embodiment of the invention where the copper material to be removed is less than 5,000 Å thick, the voltage may be applied to the conductive polishing article 205 to provide a removal rate between about 100 Å/min and about 5,000 Å/min.
  • Power may be coupled into the polishing articles 205 described above by using a power transference device, such as a power inlet bar, for conductive polishing materials that do not have readily available power coupling points, such as a polishing material comprising carbon fibers or carbon nanotubes disposed in polyurethane. A power transference device is typically configured to provide a linear voltage reduction in equipotent lines to the polishing material. The highest potential is closest to the power inlet bar and the lowest potential is furthest from the power inlet bar. The power transference device typically has a greater conductivity than the conductive material, such as metal, i.e., platinum or copper. The polishing article may be of any possible shape, i.e., a round polishing pad or linear belt, and the power transference device may be of any shape, such as a bar inlet bar or conductive mesh. The power transference device usually has a substrate facing side at least as wide or long as the diameter of the substrate.
  • Substrate rotation on the polishing pad will equalize or average out the potential imparted to the substrate surface during polishing to provide for more uniform material deposition rate or removal rate. The substrate may move or “sweep” parallel to the power inlet bar to provide for improved polishing without detrimentally affecting uniformity in deposition rates or removal rates. Perpendicular movement is also contemplated for polishing.
  • The power transference device can be located either outside the electrolyte or immersed in the electrolyte if properly composed of or encapsulated with a material that will not react with the surrounding electrolyte as described for the conductive materials above. For example, a copper power inlet bar may be used for providing power external of an electrolyte and a platinum power inlet bar or platinum covered copper power inlet bar may be used submerged in an electrolyte solution. The power pad is connected to a power source via a power cord.
  • FIGS. 15A-15C illustrate one embodiment of a power inlet bar disposed on polishing article described herein. FIG. 15A is a schematic side view of a power inlet bar 1510 disposed on an edge portion of a conductive perforated polishing material 1520. The power inlet bar 1510 is coupled to a power source (not shown) by a power cord 1530.
  • FIG. 15B illustrates the power inlet bar 1510 disposed on a linear belt or web 1550 of conductive, perforated polishing material 1520. Equipotent lines 1560 of the linear voltage reduction from the power bar across the conductive, perforated polishing material 1520 in equipotent lines is shown in relationship to a substrate 1570 being rotated counter-clockwise. FIG. 15C illustrates one embodiment of a power inlet bar 1510 being mounted on a round polishing pad 1580 of conductive, perforated polishing material 1520. Both figures depict the power inlet bar 1510 as wider than the diameter of the substrate to be polished.
  • FIG. 15D shows an alternative embodiment supplying power to the conductive elements 1590. A power strip 1530 is connected to a power source 1535 and a side of the polishing material 1520. The power strip 1530 and polishing material 1520 are configured to electrically conduct power from the power strip 1530 and the conductive elements 1590 during rotation of the polishing pad. For example, the conductive element 1590 has an exposed contact on the side of the polishing material 1520 for contacting the power strip 1530. The power strip 1530 may comprise a conductive tape, such as copper tape. The conductive elements 1590 and power strip 1530 are electrically connected for between about 20% and 60%, for example, about 40%, of the rotation period of the polishing material 1520.
  • Polishing Pad Materials
  • The conductive polishing material may include conductive polymers, polymer composites with conductive materials, conductive metals, conductive fillers or conductive doping materials, or combinations thereof. Alternatively, the conductive polishing material may form a composite of a conductive polishing material as a polishing layer disposed on a conventional, dielectric, polishing material as a support layer.
  • Conductive polymers include polymeric materials that are intrinsically conductive, such as polyacetylene, polyethylenedioxythiophene (PEDT), which is commercially available under the trade name BAYTRON™, polyaniline, polypyrrole, and combinations thereof. Another example of a conductive polymer is silicon filled with nickel-coated carbon.
  • The polymer composites with conductive materials include polymer-noble metal hybrid materials. Polymer-noble metal hybrid materials that may be used as the conductive polishing material described herein are generally chemically inert with a surrounding electrolyte, such as those with noble metals that are resistant to oxidation. An example of a polymer-noble metal hybrid material is a platinum-polymer hybrid material. The invention contemplates the use of polymer-noble metal hybrid materials that are chemically reactive with a surrounding electrolyte when the polymer-noble metal hybrid material is insulated from a surrounding electrolyte by another material.
  • The conductive polishing material may include conductive metals. Conductive metals that may be used as the polishing material are those metals that are relatively inert to chemical reactions with the surrounding electrolyte. Platinum is an example of a conductive metal that may be used as the polishing material. The conductive metals may form a portion or the entire polishing surface of the polishing material. When forming a portion of the polishing surface, the conductive metals are typically disposed in a conventional polishing material.
  • The conductive polishing materials may further include conductive fillers or conductive doping materials disposed in a binder material, such as the conductive polymers described herein or a conventional polishing material. Examples of conductive fillers include carbon powder, carbon fibers, carbon nanotubes, carbon nanofoam, carbon aerogels, and combinations thereof. Carbon nanotubes are conductive hollow filaments of carbon material having a diameter in the micron and nanometer size range. The conductive fillers or conductive doping materials are disposed in the binding material in an amount sufficient to provide a polishing article having a desired conductivity. The binder material is typically a conventional polishing material.
  • The conductive material may alternatively be a conductive or dielectric material at least partially coated or covered with an at least partially conductive material such as those described herein. For example, the conductive material may be gold plated dielectric materials. Conductive materials may include other conductive materials and/or metals that are relatively inert to chemical reactions with the surrounding electrolyte. One material that may be used is graphite.
  • Composites of conductive and conventional polishing materials include conductive polishing materials disposed in a conventional polishing material or a conductive material layer disposed on a conventional polishing material. Conventional polishing materials are generally dielectric materials and may include polymeric materials, such as polyurethane, polycarbonate, polyphenylene sulfide (PPS), or combinations thereof, and other polishing materials used in polishing substrate surfaces. The conventional polishing material may also include fillers and/or be in a foamed state.
  • An exemplary conventional material includes dielectric material, such as polyurethane and polyurethane mixed with fillers, found in the IC series of polishing article, including IC-1010, which are commercially available from Rodel Inc., of Phoenix, Ariz. The invention further contemplates the use of other conventional polishing materials, such as a layer of compressible material. The compressible material includes a conventional soft material, such as compressed felt fibers leached with urethane.
  • Mechanical properties of the conventional polishing materials used in the conductive polishing article herein provide, for example, a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa.
  • Generally, the conductive polishing material or the composite of the conductive polishing material and conventional polishing material are provided to produce a conductive polishing article having a bulk resistivity or a bulk surface resistivity of about 10 Ω-cm or less. In one aspect of the polishing article, the polishing article has a resistivity of about 1 Ω-cm or less. An example of the conductive polishing material is a layer of platinum, which has a resistivity 9.81 μΩ-cm at 0° C., disposed on a layer of polyurethane.
  • The composite of the conductive polishing material and conventional polishing material may include between about 5 wt. % and about 60 wt. % of conductive polishing material in the polishing article 205. An example of a composite of the conductive polishing material and conventional polishing material includes carbon fibers or carbon nanotubes, both of which.exhibit resistivities of 1 Ω-cm or less, disposed in a conventional polishing material of polycarbonate or polyurethane in sufficient amounts to provide a polishing article having a bulk resistivity of about 10 Ω-cm or less.
  • Examples of conductive material in the polishing articles 205 described above are the following. Referring back to FIGS. 3 and 4, an example of the conductive polishing portion 310 or conductive polishing surface article 410 includes between about 5 wt. % and about 60 wt. % of carbon fibers or carbon nanotubes disposed in a conventional polishing material of polycarbonate or polyurethane. The carbon fibers or carbon nanotubes are generally provided in sufficient amounts to produce a conductive polishing surface article 410 having a bulk resistivity of about 10 Ω-cm or less. Another example of the polishing article 205 is a layer of platinum forming the conductive polishing portion 310 or conductive polishing surface article 410 disposed on a layer of polyurethane.
  • Referring back to FIG. 6, an example of the polishing surface includes a metal mesh of platinum, gold, or platinum coated copper in a conventional polishing material of polyurethane. The metal mesh providing a bulk resistivity of about 10 Ω-cm or less.
  • The conductive polishing materials and the conventional polishing materials generally have mechanical properties which do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes. Generally, the conductive polishing materials and the composite of conductive polishing materials and conventional polishing materials have mechanical properties similar to that of conventional polishing materials alone. For example, the combination of materials has a hardness of about 50 or greater on the Shore D Hardness scale for polymeric materials as described and measured by the American Society for Testing and Materials (ASTM), headquartered in Philadelphia, Pa. In one aspect, the combination of materials has a hardness of about 80 or greater on the Shore D Hardness scale for polymeric materials. Additionally, the polishing article 205 generally includes a surface roughness of about 1 micron or less.
  • Alternatively, the polishing article 205 may comprise a metal mesh disposed in the conventional polishing material. The metal mesh may comprise a chemically inert conductive material, such as platinum, which has a resistivity 9.81 μΩ-cm at 0° C. The metal mesh may also include materials that have been observed to react with the surrounding electrolyte, such as copper which has a resistivity of 1.6 μΩ-cm at 0° C., if the metal mesh is chemically insulated from the electrolyte such as by a conformal layer of conventional material.
  • Further, the invention contemplates the use of abrasive materials embedded in the conventional polishing material. In such an embodiment, the fixed abrasive particles generally include conductive abrasive materials. The invention further contemplates other polishing article configurations, such as polishing webs and linear polishing belts, in addition to polishing pads.
  • Alternative Embodiments
  • FIG. 16A depicts a sectional view of an exemplary ECMP station 104 depicting a carrier head assembly having a polishing head 130 positioned over a platen assembly 230 that is similar to the ECMP station 102 depicted in FIG. 2. In this embodiment, the basin 202 includes a modified polishing article 205 that is a variation of the polishing articles 205 shown in FIGS. 3-9. In this embodiment, the basin 202 is configured to contain electrolyte 220 and includes a drain 214 as shown in FIG. 2. The carrier head assembly typically includes a polishing head 130 as shown in FIG. 2 that is controllably urged against a polishing surface 1648 of the polishing article 205 during processing. ECMP station 104 and the platen assembly.
  • Typically, the substrate 114 and the polishing article 205 are rotated relative to one another in an ECMP process to remove material from the substrate 114. Depending on process parameters, the polishing head 130 is rotated at a rotational speed greater than, less than, or equal to, the rotational speed of the platen assembly 230. The polishing head 130 may be capable of remaining in a fixed position while rotating and may also provide back and forth movement, orbital movement, or sweeping movement while rotating.
  • The platen assembly 230 generally includes a platen 232 that is coupled to a hollow shaft 212 by bearings, rotary couplings, seals, and the like in order to facilitate rotational movement of the platen assembly 230 and contain fluids. The platen 232 may be fabricated from a rigid material, such as a metal or rigid plastic, and in one embodiment the platen 232 has an upper surface 260 that is fabricated from or coated with a dielectric material, such as CPVC. The platen 232 may have a circular, rectangular or other plane form and the upper surface 260 may resemble that plane form. In one embodiment, the polishing article 205 may be adapted to releasably couple to the upper surface 260 of the platen assembly 230.
  • The polishing article 205 may be bound to the upper surface 260 by the use of pressure and/or temperature sensitive adhesives, allowing replacement of the polishing article 205 by peeling the assembly from the upper surface 260 and applying fresh adhesive prior to placement of a new polishing article 205. In another embodiment, the upper surface 260 of the platen assembly 230, having the polishing article 205 coupled thereto, may be adapted to releasably couple to the platen assembly 230 via fasteners, such as screws. A detailed description of an exemplary planarizing assembly suitable for using the present invention can be found in U.S. Patent Publication No. 2004/0163946, entitled “Pad Assembly for Electrochemical Mechanical Processing,” filed Dec. 23, 2003, which is incorporated herein by reference in its entirety.
  • FIG. 16B is an exploded schematic view of a portion of the polishing article 205 shown in FIG. 16A. The polishing article 205 generally includes a first conductive layer 1605, an interface layer 1615, a membrane 1600, and a membrane support member 1620 disposed on or coupled to a second conductive layer or electrode 1630. The various portions of the polishing article 205 may be coupled to respective layers or members by pressure and/or temperature sensitive adhesives that are configured not to react with process chemistry and electrolyte. The polishing article 205 may be adapted to releasably couple to the upper surface 260 of the platen 232 by fasteners, an adhesive as described above, magnetically, or a combination of magnetic attraction and fasteners. An example of a polishing article that may be used is described in U.S. patent application Ser. No. 11/327,527, filed Jan. 5, 2006, entitled “Fully Conductive Pad for Electrochemical Mechanical Processing,” which is incorporated herein in its entirety.
  • The conductive layer 1605 may be fabricated from a conductive polishing material, a composite of a conductive polishing material disposed on a conventional polishing material, or a conductive fabric. At least a portion of the conductive layer 1605 may comprise conductive elements, such as conductive particles or flakes of a conductive material that may be disposed in a polymer matrix. The conductive particles may be particles made of copper, tin, nickel, gold, silver, or combinations thereof. The conductive particles may exhibit a hardness less than, greater than, or equal to that of the conductive material to be removed from the substrate 114.
  • The conductive layer 1605 also includes an polishing surface 1648 configured to contact the substrate 114 during processing. The polishing surface 1648 may be patterned and/or embossed to form grooves or channels to facilitate electrolyte transportation and facilitate movement of materials removed from the substrate 114 during processing.
  • In one embodiment, the first conductive layer 1605 is disposed on a conductive carrier 1610 to form a unitary structure. The conductive carrier 1610 may be a flexible member adapted to support the conductive carrier 1610. For example, the conductive carrier 1610 may be a metal foil, a mesh made of metal wire or metal-coated wire, or a laminated metal layer on a polymer material compatible with the electrolyte, such as a polyimide, polyester, fluoroethylene, polypropylene, or polyethylene sheet. The conductive carrier 1610 may be further coated with a conductive metal, such as tin, copper, gold, silver, platinum, or a combination thereof to enhance electrical communication between the conductive layer 1605 and the conductive carrier 1610.
  • The first conductive layer 1605 and the electrode 1630 may be coupled to opposing poles of a power source 1650 in order to provide an electrical signal to the polishing article 205. The first conductive layer 1605 may receive a first voltage from the power source 1650 while the electrode receives a second voltage from the power source that is different from the first voltage.
  • The interface layer 1615 facilitates support for the conductive layer 1605 while electrically insulating the conductive layer 1605 from other conductive portions of the polishing article 205. The interface layer 1615 may be made of a soft material that is configured to provide compressibility to the polishing article 205. The interface layer 1615 may be made of a polymer material, such as an open cell foamed polymers, closed cell foamed polymers, a MYLAR® material, heat activated adhesives, or combinations thereof The interface layer 1615 may have a hardness of about 60 Shore A to about 100 Shore A.
  • The polishing article 205 also includes a plurality of apertures 1640 formed through various layers of the polishing article 205. Each of the plurality of apertures 1640 are square, round, oval, or other geometrically shaped openings formed in respective layers and are configured to enhance electrochemical activity and/or electrical continuity within the polishing article 205 during processing. For example, each of the plurality of apertures 1640 are formed through the various layers of the polishing article 205 at least to a reaction surface 262 of the electrode 1630, which increases the surface area of the electrode 1630 that is in communication with the electrolyte. In one embodiment, each of the plurality of apertures 1640 are formed through the first conductive layer 1605 and the interface layer 1615, and the membrane 1600, although permeable to ions and current, does not have any apertures. However, the apertures are formed through the membrane support member 1620 substantially align with the apertures in the first conductive layer 1605 and the interface layer 1615. In this manner, each of the plurality of apertures form an enhanced electrochemical cell during processing by allowing at least a portion of the polishing surface 1648 of the polishing article 205 to be in communication with the reaction surface of the electrode 1630.
  • In this embodiment, the polishing article 205 comprises a membrane 1600 that is configured to minimize or prevent hydrogen bubbles from reaching the surface of the substrate 114. The membrane 1600 may include hydrophylic regions and hydrophobic regions and is configured to be permeable to ions. In one embodiment, the membrane 1600 functions as a filter to substantially stop hydrogen bubbles, which typically form on or near the reaction surface 262 of the electrode 1630, from reaching the surface of the substrate 114. In this embodiment, the membrane 1600 also functions to allow migration of ions between the electrode 1630 and the upper surface of the polishing article 205. In this manner, defects on the substrate, which may be caused by hydrogen gas accumulation near the surface of the substrate, is minimized or eliminated. The membrane 1600 may be made of a polymeric material that facilitates ionic exchange, such as a sulfonated tetrafluoroethylene copolymer material, for example a perfluorosulfonate ionomer material. In one embodiment, the membrane 1600 is permeable to ions, such as cations. One example of a membrane 1600 that may be used is a DuPont™ NAFION® membrane available from the E.I. du Pont de Nemours and Company.
  • Referring back to FIG. 16A, the ECMP station 104 may also include an electrolyte delivery system 272 as described in reference to FIG. 2. For example, electrolyte 220A may be provided from the reservoir 233A, through appropriate plumbing and controls, such as supply line 244A, to nozzle 270 above the polishing article 205 of the ECMP station 104 to supply electrolyte to an upper portion 206A of the polishing article 205.
  • In one embodiment, the electrolyte 220A may be an anodic electrolyte or anolyte that is supplied to the upper portion 206A of the polishing article 205. Additionally, a cathodic electrolyte or catholyte 220B may be provided to the lower portion 206B of the polishing article 205 through a supply line 244B to the shaft 212. For example, the electrolyte 220A or anolyte may be an acid based electrolyte with a chelating agent, a corrosion inhibitor, a passivating polymeric material, a pH adjusting agent, a solvent, and include a pH between about 3 and about 10. The catholyte 220B may include sulfuric acid (H2SO4) or copper (II) sulfate (CuSO4) having a pH between about 3 and about 10. In one embodiment, the pH of the electrolyte 220A and the catholyte 220B are substantially equal.
  • FIG. 17 is an exploded isometric view of the polishing article 205 shown in FIGS. 16A and 16B. The upper portion 206A of the polishing article 205 includes a first conductive layer 1605 that is coupled to a conductive carrier 1610, and an interface layer 1615. The lower portion 206B is separated from the upper portion 206A by the membrane 1600. The lower portion 206B includes the membrane support member 1620 and the electrode 1630. The first conductive layer 1605 and the interface layer 1615 includes a plurality of perforations 1641A and 1641B, respectively. The membrane support member 1620 also includes a plurality of perforations 1641C. The perforations 1641A-1641C substantially align in the various layers and member 1620 to form the apertures 1640 as described in reference to FIG. 16B. In one embodiment, the electrode 1630 may include a plurality of depressions 1632 formed in the reaction surface 262 by drilling or machining. The depressions 1632 may be substantially aligned with the perforations 1641A-1641C to increase the volume of the apertures 1640 and enhance the electrochemical reaction area of the polishing article 205.
  • The membrane support member 1620 also includes a center hole 1705 that substantially aligns with a center hole 1706 of the electrode 1630. The center holes 1705, 1706 of the membrane support member 1620 and electrode 1630, respectively, substantially align with a center hole 1707 of the platen 230 to form a conduit for an electrolyte, such as the catholyte 220B. The catholyte 220B may be delivered to the center holes 1705, 1706, and 1707 by the shaft 212 (FIG. 16A) coupled to the platen 230.
  • FIG. 18 is a top view of one embodiment of a membrane support member 1620. The membrane support member 1620 includes a body 1621 that includes perforations 1641C formed therethrough as described in reference to FIG. 17. The body 1621 may be made of a polymer material with mechanical properties to perform the polishing process and resistance to process chemistry, such as a plastic material. The body 1621 also includes a plurality of internal channels 1622, outer channels 1624, and central channels 1626 at least partially formed in the body 1621. Each of the plurality of channels 1622, 1624, and 1626 may be formed in the body 1621 by molding, casting, milling, or similar operation in order to at least partially intersect with at least one perforation 1641 C. In one embodiment, the depth of each of the plurality of channels 1622, 1624, and 1626 are approximately one half of the thickness of the body 1621. The areas between the channels 1622, 1624, and 1626 comprise a plurality of support surfaces 1625 to support the membrane 1600 in a substantially planar orientation. The support surfaces 1625 share a substantially equal height to provide substantially planar support for the membrane 1600, thus the membrane 1600 is maintained in a substantially parallel orientation with one of both of the first conductive layer 1605 and the electrode 1630.
  • As will be described in detail with reference to FIG. 19, the plurality of channels 1622, 1624, and 1626 facilitate flow of a fluid, such as the catholyte 220B, and polishing by-products, radially across and out of the polishing article 205 during processing. The perforations 1641 are joined at least partially by a plurality of internal channels 1622 to facilitate fluid flow between adjacent perforations 1641. The body 1621 also includes central channels 1626 to enhance fluid flow from the center hole 1705 to the perforations 1641 C. The perimeter of the body also includes outer channels 1624 in communication with a plurality of perforations 1641 on the perimeter of the body 1621.
  • FIG. 19 is a partial sectional side view of the polishing station 104 shown in FIG. 16A. In operation, the substrate (not shown) contacts the polishing surface 1648 during processing. The first conductive layer 1605, which is in contact with the conductive material on the substrate, is biased relative to the electrode 1630. Electrolyte 220A, which permeates the upper portion of the polishing article 205 and at least partially fills the apertures 1640 at least to the membrane 1600, is supplied to the upper portion 206A of the polishing article 205. Catholyte 220B is supplied to the lower portion 206B of the polishing article 205 and at least partially permeates the lower portion. The polishing article 205 and the substrate are rotated relative to one another as the substrate is controllably urged against the polishing surface 1648 of the polishing article 205.
  • As described above, conductive materials and other materials are removed from the substrate. These materials, if allowed to accumulate on the reaction surface 262 of the electrode 1630, may hinder electrochemical reactions within the polishing article 205. The membrane 1600 acts as a filter to minimize or prohibit these materials from reaching the lower portion of the polishing article 205. In this manner, substantially all of the reaction surface 262 of the electrode 1630 remains capable of driving the electrochemical process. Thus, the reaction surface 262 maintains a greater steady-state electrochemical reaction and the electrochemical process is enhanced and stabilized.
  • Likewise, the membrane 1600 prevents or minimizes hydrogen bubbles, which typically form at or near the reaction surface 262 of the electrode 1630, from reaching the upper portion of the polishing article 205. More specifically, the membrane 1600 substantially prevents hydrogen bubbles from reaching the polishing surface 1648 of the polishing article 205. However, the membrane 1600 allows ions to flow through, and as in the case of anodic dissolution, allows the ions to travel from the upper portion of the polishing article to the catholyte 220B in the lower portion of the polishing article 205. Thus, the membrane 1600 assists in reducing substrate defects while enhancing processing uniformity and process control.
  • The rotational movement of the polishing article 205 promotes a flow path of catholyte 220B as shown by arrows in FIG. 19. Catholyte 220B is supplied to the center hole 1707 of the platen 232 and flows to the perimeter of the polishing article 205. Specifically, the catholyte 220B flows by pressure and/or centrifugal forces through the central channels 1626 to enhance fluid flow from the center hole 1705 to the perforations 1641C, and exits the polishing article 205 through the outer channels 1624. In this manner, ions, or other materials, that have accumulated in the catholyte 220B, and hydrogen bubbles formed at or near the reaction surface 262 of the electrode 1630, are removed by the radially flowing catholyte.
  • While foregoing is directed to various embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (31)

1. An article of manufacture for polishing a substrate, comprising:
a polishing surface adapted to contact the substrate;
an electrode disposed below the polishing surface; and
a planar membrane disposed between the polishing surface and the electrode.
2. The article of claim 1, wherein the polishing surface is at least partially conductive.
3. The article of claim 1, wherein the polishing surface is coupled to a power source.
4. The article of claim 1, wherein the polishing surface comprises a conductive film.
5. The article of claim 1, wherein the polishing surface comprises a tin material.
6. The article of claim 1, wherein the polishing surface includes a plurality of perforations formed therein, a plurality of grooves disposed therein, or both.
7. The article of claim 6, wherein at least a portion of the plurality of grooves intersect with al least a portion of a plurality of perforations disposed in the polishing surface of the polishing article.
8. The article of claim 1, wherein the membrane is permeable to ions.
9. The article of claim 1, wherein the membrane comprises a sulfonated tetrafluoroethylene material.
10. The article of claim 1, further comprising:
a membrane support member between the membrane and the electrode.
11. The article of claim 10, wherein the membrane support member includes a plurality of channels and a plurality of perforations.
12. The article of claim 11, wherein at least one of the plurality of channels intersects with at least one of the plurality of perforations.
13. An article of manufacture for polishing a substrate, comprising:
a perforated dielectric support layer;
a perforated conductive material layer disposed on the perforated dielectric support layer;
an electrode disposed below the dielectric support layer; and
a membrane disposed between the electrode and the perforated dielectric support layer, wherein the membrane is substantially parallel to one of the conductive material layer, or the electrode.
14. The article of claim 13, wherein the membrane comprises a sulfonated tetrafluoroethylene material.
15. The article of claim 13, wherein the membrane is permeable to ions.
16. The article of claim 13, wherein the membrane is permeable to cations.
17. The article of claim 13, further comprising:
a membrane support member disposed between the membrane and the electrode, wherein the membrane support member includes a plurality of perforations.
18. The article of claim 17, wherein the membrane support member comprises a plurality of channels in communication with at least one of the plurality of perforations.
19. The article of claim 17, wherein the membrane support member includes a center hole which intersects with a portion of the plurality of channels.
20. An article of manufacture for polishing a substrate, comprising:
a polishing surface adapted to contact the substrate;
an electrode disposed below the polishing surface; and
a membrane disposed between the polishing surface and the electrode, wherein the membrane is permeable to ions and is in a substantially parallel orientation relative to the electrode.
21. The article of claim 20, wherein the polishing surface is at least partially conductive.
22. The article of claim 20, wherein the polishing surface is coupled to a power source.
23. The article of claim 20, wherein the polishing surface comprises a conductive film.
24. The article of claim 20, wherein the polishing surface comprises a tin material.
25. The article of claim 20, wherein the polishing surface includes a plurality of perforations formed therein, a plurality of grooves disposed therein, or both.
26. The article of claim 25, wherein at least a portion of the plurality of grooves intersect with at least a portion of a plurality of perforations disposed in the polishing surface of the polishing article.
27. The article of claim 20, wherein the membrane is permeable to ions.
28. The article of claim 20, wherein the membrane comprises a sulfonated tetrafluoroethylene material.
29. The article of claim 20, further comprising:
a membrane support member between the membrane and the electrode.
30. The article of claim 29, wherein the membrane support member includes a plurality of channels and a plurality of perforations.
31. The article of claim 30, wherein at least one of the plurality of channels intersects with at least one of the plurality of perforations.
US11/555,145 2001-04-24 2006-10-31 Conductive pad with ion exchange membrane for electrochemical mechanical polishing Expired - Fee Related US7344432B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/555,145 US7344432B2 (en) 2001-04-24 2006-10-31 Conductive pad with ion exchange membrane for electrochemical mechanical polishing

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US28610701P 2001-04-24 2001-04-24
US32626301P 2001-10-01 2001-10-01
US10/033,732 US7066800B2 (en) 2000-02-17 2001-12-27 Conductive polishing article for electrochemical mechanical polishing
US11/393,220 US7137879B2 (en) 2001-04-24 2006-03-30 Conductive polishing article for electrochemical mechanical polishing
US11/555,145 US7344432B2 (en) 2001-04-24 2006-10-31 Conductive pad with ion exchange membrane for electrochemical mechanical polishing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/393,220 Continuation-In-Part US7137879B2 (en) 2001-04-24 2006-03-30 Conductive polishing article for electrochemical mechanical polishing

Publications (2)

Publication Number Publication Date
US20070099552A1 true US20070099552A1 (en) 2007-05-03
US7344432B2 US7344432B2 (en) 2008-03-18

Family

ID=46326456

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/555,145 Expired - Fee Related US7344432B2 (en) 2001-04-24 2006-10-31 Conductive pad with ion exchange membrane for electrochemical mechanical polishing

Country Status (1)

Country Link
US (1) US7344432B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080216414A1 (en) * 2007-03-05 2008-09-11 3M Innovative Properties Company Laser cut abrasive article, and methods
US8080072B2 (en) 2007-03-05 2011-12-20 3M Innovative Properties Company Abrasive article with supersize coating, and methods
US20140305458A1 (en) * 2013-04-12 2014-10-16 L'oreal Exfoliating head for a personal care appliance
CN114770372A (en) * 2022-05-30 2022-07-22 南京航空航天大学 Composite surface pattern polishing pad with uniform material removal function

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7059948B2 (en) * 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
TWI293910B (en) * 2006-06-20 2008-03-01 Cando Corp Fixing board and polishing device using the same

Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2112691A (en) * 1936-01-30 1938-03-29 Pyrene Mfg Co Electroplating anode unit
US2240265A (en) * 1937-03-30 1941-04-29 John S Nachtman Method of continuously tin plating ferrous metal stock
US2392687A (en) * 1943-02-15 1946-01-08 John S Nachtman Apparatus for electroplating wire
US2458676A (en) * 1947-07-22 1949-01-11 Brenner Abner Apparatus for electroplating
US2461556A (en) * 1943-04-01 1949-02-15 Carnegie Illinois Steel Corp Method and apparatus for the electrolytic coating of metal strip
US2495695A (en) * 1944-05-08 1950-01-31 Kenmore Metals Corp Electroplating apparatus
US2500206A (en) * 1946-06-29 1950-03-14 Cleveland Graphite Bronze Co Apparatus for plating
US2500205A (en) * 1945-04-12 1950-03-14 Cleveland Graphite Bronze Co Method of plating
US2503863A (en) * 1943-11-18 1950-04-11 Siegfried G Bart Apparatus for electroplating the inside of pipes
US2506794A (en) * 1945-11-23 1950-05-09 Revere Copper & Brass Inc Apparatus for electroplating
US2509304A (en) * 1944-02-24 1950-05-30 Nat Steel Corp Method and apparatus for electrolytic coating of strip material
US2536912A (en) * 1944-07-12 1951-01-02 Ibm Electrolysis etching device
US2539898A (en) * 1946-08-16 1951-01-30 Udylite Corp Electrical contact mechanism for plating machines
US2540175A (en) * 1947-02-11 1951-02-06 Rosenqvist Gunnar Manufacture by electrodeposition
US2544510A (en) * 1943-10-23 1951-03-06 Nat Steel Corp Apparatus and method for plating strips
US2549678A (en) * 1946-08-23 1951-04-17 Conn Ltd C G Method of and apparatus for electroforming metal articles
US2554943A (en) * 1945-10-25 1951-05-29 Bethlehem Steel Corp Electroplating apparatus
US2587630A (en) * 1949-07-28 1952-03-04 Sulphide Ore Process Company I Method for electrodeposition of iron in the form of continuous strips
US2633452A (en) * 1950-05-03 1953-03-31 Jr George B Hogaboom Strainer bags for enclosing electroplating anodes
US2673836A (en) * 1950-11-22 1954-03-30 United States Steel Corp Continuous electrolytic pickling and tin plating of steel strip
US2674550A (en) * 1950-09-05 1954-04-06 Kolene Corp Apparatus and method for processing of steel strip continuously
US2675348A (en) * 1950-09-16 1954-04-13 Greenspan Lawrence Apparatus for metal plating
US2698832A (en) * 1951-03-20 1955-01-04 Standard Process Corp Plating apparatus
US2706173A (en) * 1950-10-12 1955-04-12 Harold R Wells Apparatus for electro-plating crankshaft journals
US2706175A (en) * 1949-03-18 1955-04-12 Electro Metal Hardening Co S A Apparatus for electroplating the inner surface of a tubular article
US2708445A (en) * 1952-07-11 1955-05-17 Nat Standard Co Wire processing apparatus
US3433730A (en) * 1965-04-28 1969-03-18 Gen Electric Electrically conductive tool and method for making
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US4082638A (en) * 1974-09-19 1978-04-04 Jumer John F Apparatus for incremental electro-processing of large areas
US4199902A (en) * 1978-07-17 1980-04-29 Sauerland Franz L Apparatus for automatic lapping control
US4312716A (en) * 1980-11-21 1982-01-26 Western Electric Co., Inc. Supporting an array of elongate articles
US5011510A (en) * 1988-10-05 1991-04-30 Mitsui Mining & Smelting Co., Ltd. Composite abrasive-articles and manufacturing method therefor
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5203884A (en) * 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5633068A (en) * 1994-10-14 1997-05-27 Fuji Photo Film Co., Ltd. Abrasive tape having an interlayer for magnetic head cleaning and polishing
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5871392A (en) * 1996-06-13 1999-02-16 Micron Technology, Inc. Under-pad for chemical-mechanical planarization of semiconductor wafers
US5882491A (en) * 1996-01-02 1999-03-16 Skf Industrial Trading & Development Company B.V. Electrode for electrochemical machining, method of electrochemical machining with said electrode, a bearing and a method of determining a profile using said electrode
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US6017265A (en) * 1995-06-07 2000-01-25 Rodel, Inc. Methods for using polishing pads
US6020264A (en) * 1997-01-31 2000-02-01 International Business Machines Corporation Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US6033293A (en) * 1997-10-08 2000-03-07 Lucent Technologies Inc. Apparatus for performing chemical-mechanical polishing
US6056851A (en) * 1996-06-24 2000-05-02 Taiwan Semiconductor Manufacturing Company Slurry supply system for chemical mechanical polishing
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6176998B1 (en) * 1996-05-30 2001-01-23 Skf Engineering And Research Centre B.V. Method of electrochemically machining a bearing ring
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6210257B1 (en) * 1998-05-29 2001-04-03 Micron Technology, Inc. Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6238271B1 (en) * 1999-04-30 2001-05-29 Speed Fam-Ipec Corp. Methods and apparatus for improved polishing of workpieces
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US20020011417A1 (en) * 1999-04-03 2002-01-31 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US20020020621A1 (en) * 2000-01-14 2002-02-21 Uzoh Cyprian Emeka Semiconductor workpiece proximity plating apparatus
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6372001B1 (en) * 1997-10-09 2002-04-16 3M Innovative Properties Company Abrasive articles and their preparations
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6381169B1 (en) * 1999-07-01 2002-04-30 The Regents Of The University Of California High density non-volatile memory device
US6383066B1 (en) * 2000-06-23 2002-05-07 International Business Machines Corporation Multilayered polishing pad, method for fabricating, and use thereof
US6386956B1 (en) * 1998-11-05 2002-05-14 Sony Corporation Flattening polishing device and flattening polishing method
US20030013397A1 (en) * 2001-06-27 2003-01-16 Rhoades Robert L. Polishing pad of polymer coating
US6517426B2 (en) * 2001-04-05 2003-02-11 Lam Research Corporation Composite polishing pad for chemical-mechanical polishing
US6520843B1 (en) * 1999-10-27 2003-02-18 Strasbaugh High planarity chemical mechanical planarization
US20030034131A1 (en) * 2001-08-16 2003-02-20 Inha Park Chemical mechanical polishing pad having wave shaped grooves
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6537140B1 (en) * 1997-05-14 2003-03-25 Saint-Gobain Abrasives Technology Company Patterned abrasive tools
US6551179B1 (en) * 1999-11-05 2003-04-22 Strasbaugh Hard polishing pad for chemical mechanical planarization
US6685548B2 (en) * 2000-06-29 2004-02-03 International Business Machines Corporation Grooved polishing pads and methods of use
US20040023495A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20040020788A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6692338B1 (en) * 1997-07-23 2004-02-17 Lsi Logic Corporation Through-pad drainage of slurry during chemical mechanical polishing
US20050000801A1 (en) * 2000-02-17 2005-01-06 Yan Wang Method and apparatus for electrochemical mechanical processing
US6841057B2 (en) * 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US6856761B2 (en) * 2003-06-06 2005-02-15 Kevin Doran Wallpaper removing steamers
US20050035001A1 (en) * 2003-08-11 2005-02-17 Hozumi Yasuda Electrolytic processing apparatus and electrolytic processing method
US6863797B2 (en) * 2001-12-21 2005-03-08 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6884153B2 (en) * 2000-02-17 2005-04-26 Applied Materials, Inc. Apparatus for electrochemical processing
US6988942B2 (en) * 2000-02-17 2006-01-24 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US20060032749A1 (en) * 2000-02-17 2006-02-16 Liu Feng Q Contact assembly and method for electrochemical mechanical processing
US7014538B2 (en) * 1999-05-03 2006-03-21 Applied Materials, Inc. Article for polishing semiconductor substrates
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact
US20060070872A1 (en) * 2004-10-01 2006-04-06 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE333311A (en) 1925-05-23
US1927162A (en) 1931-02-27 1933-09-19 Research Corp Electroplating
US2431065A (en) 1938-12-12 1947-11-18 Meaker Company Continuous wire and strip electro-processing machine
US2453481A (en) 1944-03-14 1948-11-09 Nat Steel Corp Anode for electrolytic coating
US2490055A (en) 1944-03-30 1949-12-06 Nat Steel Corp Metal strip electroplating apparatus
US2569578A (en) 1944-08-07 1951-10-02 Nat Steel Corp Apparatus for electrocoating striplike material
US2480022A (en) 1944-10-07 1949-08-23 George B Hogaboom Rotary barrel
US2473290A (en) 1944-10-21 1949-06-14 George E Millard Apparatus for plating journals of crankshafts
US2456185A (en) 1944-11-23 1948-12-14 Gen Motors Corp Electroplating apparatus
US2517907A (en) 1945-01-05 1950-08-08 Conmar Prod Corp Apparatus for electrotreating metal slide fasteners
US2454935A (en) 1945-06-27 1948-11-30 Meaker Company Continuous wire and strip electroprocessing machine
NL69965C (en) 1945-08-10
US2619454A (en) 1945-08-30 1952-11-25 Brush Dev Co Method of manufacturing a magnetic recording medium by electrodeposition
US2530677A (en) 1946-01-17 1950-11-21 Edward L Berkenkotter Apparatus for plating crankshafts
US2457510A (en) 1946-01-23 1948-12-28 Delbert G Van Ornum Electroplating apparatus
US2519945A (en) 1946-01-25 1950-08-22 Gen Electric Electroplating apparatus
US2477808A (en) 1946-05-08 1949-08-02 Carl G Jones Electrolytic apparatus for treatment of moving strip
US2576074A (en) 1946-06-11 1951-11-20 John S Nachtman Method and apparatus for continuous strip metal treatment
US2479323A (en) 1946-06-13 1949-08-16 Udylite Corp Plating machine
US2512328A (en) 1946-06-28 1950-06-20 Armco Steel Corp Continuous electroplating device
US2560534A (en) 1946-07-12 1951-07-17 Nat Standard Co Method of operating a continuous electroplating system
US2556017A (en) 1947-01-29 1951-06-05 Edwin E Vonada Electrolytic method and apparatus for cleaning strip
US2535966A (en) 1947-02-07 1950-12-26 Teplitz Alfred Electrolytic apparatus for cleaning strip
US2569577A (en) 1947-05-09 1951-10-02 Nat Steel Corp Method of and apparatus for electroplating
US2560966A (en) 1947-07-31 1951-07-17 Revere Copper & Brass Inc Method of electroplating copper clad stainless steel cooking vessels
US2571709A (en) 1947-08-26 1951-10-16 Western Electric Co Apparatus for electroplating articles
US2646398A (en) 1948-10-08 1953-07-21 Gen Motors Corp Electroprocessing apparatus
US2689215A (en) 1949-07-13 1954-09-14 Siegfried G Bart Method and apparatus for plating pipe
US2656283A (en) 1949-08-31 1953-10-20 Ohio Commw Eng Co Method of plating wire
US2656284A (en) 1949-09-07 1953-10-20 Ohio Commw Eng Co Method of plating rolled sheet metal
US2657457A (en) 1949-09-10 1953-11-03 Ohio Commw Eng Co Continuous metal production and continuous gas plating
US2684939A (en) 1949-12-17 1954-07-27 Time Inc Apparatus for plating chromium
US2657177A (en) 1950-07-10 1953-10-27 United States Steel Corp Plating thickness regulator
US2680710A (en) 1950-09-14 1954-06-08 Kenmore Metal Corp Method and apparatus for continuously electroplating heavy wire and similar strip material
US2696859A (en) 1950-12-16 1954-12-14 Gildo J Somma Screw driver attachment
US2695269A (en) 1951-03-02 1954-11-23 United States Steel Corp Apparatus for electroplating wire
US2711993A (en) 1951-05-01 1955-06-28 Lyon George Albert Apparatus for conveying cylindrical articles through a bath
US2710834A (en) 1951-10-27 1955-06-14 Vrilakas Marcus Apparatus for selective plating
US3162588A (en) 1961-04-17 1964-12-22 Hammond Machinery Builders Inc Belt type electrolytic grinding machine
US3334041A (en) 1964-08-28 1967-08-01 Norton Co Coated abrasives
US3476677A (en) 1965-02-15 1969-11-04 Carbond Corp Electrolytic grinding tools
US3448023A (en) 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
AU3308268A (en) 1968-02-05 1970-03-12 Raynors Pty. Limited Plating and anodising bath racks
US3992178A (en) 1973-04-17 1976-11-16 Fabrika Ab Eka Flexible coated abrasive with graphite outer layer
US4047902A (en) 1975-04-01 1977-09-13 Wiand Richard K Metal-plated abrasive product and method of manufacturing the product
GB1539309A (en) 1976-12-14 1979-01-31 Inoue Japax Res Electrochemical polishing
US4119515A (en) 1977-03-28 1978-10-10 National Steel Corporation Apparatus for electroplating sheet metals
US4523411A (en) 1982-12-20 1985-06-18 Minnesota Mining And Manufacturing Company Wet surface treating device and element therefor
US4704511A (en) 1985-10-17 1987-11-03 Inoue-Japax Research Incorporated Traveling-wire electroerosion machine with swiveling nozzle assembly
JPS62127492A (en) 1985-11-26 1987-06-09 Shigeo Hoshino Electroplating method using carbon fiber
US4839993A (en) 1986-01-28 1989-06-20 Fujisu Limited Polishing machine for ferrule of optical fiber connector
ES2024439B3 (en) 1986-02-28 1992-03-01 Schering Ag Berlin Und Bergkamen EXTENDED FRAMES AND CORRESPONDING PARTS FOR THE RELEASABLE FIXATION OF PRINTED CIRCUIT PLATES TO BE GALVANIZED, AND CORRESPONDING PRINTED CIRCUIT PLATES.
US4772361A (en) 1987-12-04 1988-09-20 Dorsett Terry E Application of electroplate to moving metal by belt plating
US4793895A (en) 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
JPH01193166A (en) 1988-01-28 1989-08-03 Showa Denko Kk Pad for specularly grinding semiconductor wafer
US4934102A (en) 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
CH678156A5 (en) 1989-03-20 1991-08-15 Exnii Metallorezh Stankov
US5061294A (en) 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5136817A (en) 1990-02-28 1992-08-11 Nihon Dempa Kogyo Co., Ltd. Automatic lapping apparatus for piezoelectric materials
US5137542A (en) 1990-08-08 1992-08-11 Minnesota Mining And Manufacturing Company Abrasive printed with an electrically conductive ink
US5066370A (en) 1990-09-07 1991-11-19 International Business Machines Corporation Apparatus, electrochemical process, and electrolyte for microfinishing stainless steel print bands
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5225034A (en) 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US6979248B2 (en) * 2002-05-07 2005-12-27 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6776693B2 (en) * 2001-12-19 2004-08-17 Applied Materials Inc. Method and apparatus for face-up substrate polishing

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2112691A (en) * 1936-01-30 1938-03-29 Pyrene Mfg Co Electroplating anode unit
US2240265A (en) * 1937-03-30 1941-04-29 John S Nachtman Method of continuously tin plating ferrous metal stock
US2392687A (en) * 1943-02-15 1946-01-08 John S Nachtman Apparatus for electroplating wire
US2461556A (en) * 1943-04-01 1949-02-15 Carnegie Illinois Steel Corp Method and apparatus for the electrolytic coating of metal strip
US2544510A (en) * 1943-10-23 1951-03-06 Nat Steel Corp Apparatus and method for plating strips
US2503863A (en) * 1943-11-18 1950-04-11 Siegfried G Bart Apparatus for electroplating the inside of pipes
US2509304A (en) * 1944-02-24 1950-05-30 Nat Steel Corp Method and apparatus for electrolytic coating of strip material
US2495695A (en) * 1944-05-08 1950-01-31 Kenmore Metals Corp Electroplating apparatus
US2536912A (en) * 1944-07-12 1951-01-02 Ibm Electrolysis etching device
US2500205A (en) * 1945-04-12 1950-03-14 Cleveland Graphite Bronze Co Method of plating
US2554943A (en) * 1945-10-25 1951-05-29 Bethlehem Steel Corp Electroplating apparatus
US2506794A (en) * 1945-11-23 1950-05-09 Revere Copper & Brass Inc Apparatus for electroplating
US2500206A (en) * 1946-06-29 1950-03-14 Cleveland Graphite Bronze Co Apparatus for plating
US2539898A (en) * 1946-08-16 1951-01-30 Udylite Corp Electrical contact mechanism for plating machines
US2549678A (en) * 1946-08-23 1951-04-17 Conn Ltd C G Method of and apparatus for electroforming metal articles
US2540175A (en) * 1947-02-11 1951-02-06 Rosenqvist Gunnar Manufacture by electrodeposition
US2458676A (en) * 1947-07-22 1949-01-11 Brenner Abner Apparatus for electroplating
US2706175A (en) * 1949-03-18 1955-04-12 Electro Metal Hardening Co S A Apparatus for electroplating the inner surface of a tubular article
US2587630A (en) * 1949-07-28 1952-03-04 Sulphide Ore Process Company I Method for electrodeposition of iron in the form of continuous strips
US2633452A (en) * 1950-05-03 1953-03-31 Jr George B Hogaboom Strainer bags for enclosing electroplating anodes
US2674550A (en) * 1950-09-05 1954-04-06 Kolene Corp Apparatus and method for processing of steel strip continuously
US2675348A (en) * 1950-09-16 1954-04-13 Greenspan Lawrence Apparatus for metal plating
US2706173A (en) * 1950-10-12 1955-04-12 Harold R Wells Apparatus for electro-plating crankshaft journals
US2673836A (en) * 1950-11-22 1954-03-30 United States Steel Corp Continuous electrolytic pickling and tin plating of steel strip
US2698832A (en) * 1951-03-20 1955-01-04 Standard Process Corp Plating apparatus
US2708445A (en) * 1952-07-11 1955-05-17 Nat Standard Co Wire processing apparatus
US3433730A (en) * 1965-04-28 1969-03-18 Gen Electric Electrically conductive tool and method for making
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
US4082638A (en) * 1974-09-19 1978-04-04 Jumer John F Apparatus for incremental electro-processing of large areas
US4199902A (en) * 1978-07-17 1980-04-29 Sauerland Franz L Apparatus for automatic lapping control
US4312716A (en) * 1980-11-21 1982-01-26 Western Electric Co., Inc. Supporting an array of elongate articles
US5011510A (en) * 1988-10-05 1991-04-30 Mitsui Mining & Smelting Co., Ltd. Composite abrasive-articles and manufacturing method therefor
US5108463B1 (en) * 1989-08-21 1996-08-13 Minnesota Mining & Mfg Conductive coated abrasives
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5203884A (en) * 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5633068A (en) * 1994-10-14 1997-05-27 Fuji Photo Film Co., Ltd. Abrasive tape having an interlayer for magnetic head cleaning and polishing
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US6017265A (en) * 1995-06-07 2000-01-25 Rodel, Inc. Methods for using polishing pads
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5882491A (en) * 1996-01-02 1999-03-16 Skf Industrial Trading & Development Company B.V. Electrode for electrochemical machining, method of electrochemical machining with said electrode, a bearing and a method of determining a profile using said electrode
US6176998B1 (en) * 1996-05-30 2001-01-23 Skf Engineering And Research Centre B.V. Method of electrochemically machining a bearing ring
US5871392A (en) * 1996-06-13 1999-02-16 Micron Technology, Inc. Under-pad for chemical-mechanical planarization of semiconductor wafers
US6056851A (en) * 1996-06-24 2000-05-02 Taiwan Semiconductor Manufacturing Company Slurry supply system for chemical mechanical polishing
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6020264A (en) * 1997-01-31 2000-02-01 International Business Machines Corporation Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing
US6537140B1 (en) * 1997-05-14 2003-03-25 Saint-Gobain Abrasives Technology Company Patterned abrasive tools
US6692338B1 (en) * 1997-07-23 2004-02-17 Lsi Logic Corporation Through-pad drainage of slurry during chemical mechanical polishing
US6033293A (en) * 1997-10-08 2000-03-07 Lucent Technologies Inc. Apparatus for performing chemical-mechanical polishing
US6372001B1 (en) * 1997-10-09 2002-04-16 3M Innovative Properties Company Abrasive articles and their preparations
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US20020008036A1 (en) * 1998-02-12 2002-01-24 Hui Wang Plating apparatus and method
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6210257B1 (en) * 1998-05-29 2001-04-03 Micron Technology, Inc. Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6386956B1 (en) * 1998-11-05 2002-05-14 Sony Corporation Flattening polishing device and flattening polishing method
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US20020011417A1 (en) * 1999-04-03 2002-01-31 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6238271B1 (en) * 1999-04-30 2001-05-29 Speed Fam-Ipec Corp. Methods and apparatus for improved polishing of workpieces
US7014538B2 (en) * 1999-05-03 2006-03-21 Applied Materials, Inc. Article for polishing semiconductor substrates
US6381169B1 (en) * 1999-07-01 2002-04-30 The Regents Of The University Of California High density non-volatile memory device
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6520843B1 (en) * 1999-10-27 2003-02-18 Strasbaugh High planarity chemical mechanical planarization
US6551179B1 (en) * 1999-11-05 2003-04-22 Strasbaugh Hard polishing pad for chemical mechanical planarization
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US20020020621A1 (en) * 2000-01-14 2002-02-21 Uzoh Cyprian Emeka Semiconductor workpiece proximity plating apparatus
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US20050000801A1 (en) * 2000-02-17 2005-01-06 Yan Wang Method and apparatus for electrochemical mechanical processing
US20040023495A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6884153B2 (en) * 2000-02-17 2005-04-26 Applied Materials, Inc. Apparatus for electrochemical processing
US6988942B2 (en) * 2000-02-17 2006-01-24 Applied Materials Inc. Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7029365B2 (en) * 2000-02-17 2006-04-18 Applied Materials Inc. Pad assembly for electrochemical mechanical processing
US20060032749A1 (en) * 2000-02-17 2006-02-16 Liu Feng Q Contact assembly and method for electrochemical mechanical processing
US20040020788A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Contacts for electrochemical processing
US6383066B1 (en) * 2000-06-23 2002-05-07 International Business Machines Corporation Multilayered polishing pad, method for fabricating, and use thereof
US6685548B2 (en) * 2000-06-29 2004-02-03 International Business Machines Corporation Grooved polishing pads and methods of use
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US20020025760A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US6517426B2 (en) * 2001-04-05 2003-02-11 Lam Research Corporation Composite polishing pad for chemical-mechanical polishing
US20030013397A1 (en) * 2001-06-27 2003-01-16 Rhoades Robert L. Polishing pad of polymer coating
US20030034131A1 (en) * 2001-08-16 2003-02-20 Inha Park Chemical mechanical polishing pad having wave shaped grooves
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US6863797B2 (en) * 2001-12-21 2005-03-08 Applied Materials, Inc. Electrolyte with good planarization capability, high removal rate and smooth surface finish for electrochemically controlled copper CMP
US6841057B2 (en) * 2002-03-13 2005-01-11 Applied Materials Inc. Method and apparatus for substrate polishing
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6856761B2 (en) * 2003-06-06 2005-02-15 Kevin Doran Wallpaper removing steamers
US20050035001A1 (en) * 2003-08-11 2005-02-17 Hozumi Yasuda Electrolytic processing apparatus and electrolytic processing method
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US20060030156A1 (en) * 2004-08-05 2006-02-09 Applied Materials, Inc. Abrasive conductive polishing article for electrochemical mechanical polishing
US20060070872A1 (en) * 2004-10-01 2006-04-06 Applied Materials, Inc. Pad design for electrochemical mechanical polishing
US20060073768A1 (en) * 2004-10-05 2006-04-06 Applied Materials, Inc. Conductive pad design modification for better wafer-pad contact

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080216414A1 (en) * 2007-03-05 2008-09-11 3M Innovative Properties Company Laser cut abrasive article, and methods
US7959694B2 (en) 2007-03-05 2011-06-14 3M Innovative Properties Company Laser cut abrasive article, and methods
US8080072B2 (en) 2007-03-05 2011-12-20 3M Innovative Properties Company Abrasive article with supersize coating, and methods
US20140305458A1 (en) * 2013-04-12 2014-10-16 L'oreal Exfoliating head for a personal care appliance
US9750533B2 (en) * 2013-04-12 2017-09-05 L'oreal Exfoliating head for a personal care appliance
CN114770372A (en) * 2022-05-30 2022-07-22 南京航空航天大学 Composite surface pattern polishing pad with uniform material removal function

Also Published As

Publication number Publication date
US7344432B2 (en) 2008-03-18

Similar Documents

Publication Publication Date Title
US6988942B2 (en) Conductive polishing article for electrochemical mechanical polishing
US6979248B2 (en) Conductive polishing article for electrochemical mechanical polishing
US7207878B2 (en) Conductive polishing article for electrochemical mechanical polishing
US7422516B2 (en) Conductive polishing article for electrochemical mechanical polishing
EP1381491B1 (en) Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) Conductive polishing article for electrochemical mechanical polishing
US6776693B2 (en) Method and apparatus for face-up substrate polishing
US20110053465A1 (en) Method and apparatus for local polishing control
US7311592B2 (en) Conductive polishing article for electrochemical mechanical polishing
US20050178666A1 (en) Methods for fabrication of a polishing article
US7344432B2 (en) Conductive pad with ion exchange membrane for electrochemical mechanical polishing
US20080156657A1 (en) Conductive polishing article for electrochemical mechanical polishing
WO2004108358A2 (en) Conductive polishing article for electrochemical mechanical polishing
EP1640113B1 (en) Conductive polishing article for electrochemical mechanical polishing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BIRANG, MANOOCHER;JIA, RENHE;REEL/FRAME:018461/0162

Effective date: 20061030

AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, LIANG-YUH;WANG, YUCHUN;WANG, YAN;AND OTHERS;REEL/FRAME:018752/0667;SIGNING DATES FROM 20061206 TO 20070108

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160318