US20070202706A1 - Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate - Google Patents

Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate Download PDF

Info

Publication number
US20070202706A1
US20070202706A1 US11/743,882 US74388207A US2007202706A1 US 20070202706 A1 US20070202706 A1 US 20070202706A1 US 74388207 A US74388207 A US 74388207A US 2007202706 A1 US2007202706 A1 US 2007202706A1
Authority
US
United States
Prior art keywords
substrate
gas
passivation
passivation gas
peripheral region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/743,882
Inventor
David Mui
Wei Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/743,882 priority Critical patent/US20070202706A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, WEI, MUI, DAVID
Publication of US20070202706A1 publication Critical patent/US20070202706A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Definitions

  • the present invention generally relates to a method and apparatus for fabricating devices on semiconductor substrates. More specifically, the present invention relates to a method and apparatus for performing an etch process in a semiconductor substrate processing system.
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million micro-electronic devices (e.g., transistors, capacitors, and the like) that are formed on a substrate (e.g., silicon (Si) wafer) and cooperate to perform various functions within the device.
  • Manufacture of ULSI circuits generally comprises processes where one or more material layers of a film stack on the substrate are etched (e.g., plasma etched) to form structures of the devices being fabricated.
  • lateral etch rate non-uniformity is defined as a ratio of a difference between the maximal and minimal lateral etch rate to the sum of such values across the substrate. More specifically, the lateral etch rate at peripheral locations (i.e., near an edge of the substrate) is higher than the lateral etch rate near a center of the substrate. Non-uniformity in the lateral etch rate results in non-uniformity in the critical dimensions of the structures formed by the etch process.
  • non-volatile by-products passivate sidewalls of the structures being formed and, as such, reduce the etch rate.
  • Non-uniformity of the etch rate across the substrate is caused by a higher concentration of the by-products near the center of the substrate than in the peripheral region.
  • a concentric pattern of exhaust pumping in the etch process chamber results in a low concentration of the by-products near the edge of the substrate and, correspondingly, in a high local lateral etch rate.
  • Structures being formed using the conventional etch process are typically over-etched in the peripheral region that may extend about 10-20 mm from the edge of the substrate. Such a region represents approximately 19-36% and 13-25% of usable real estate for the 200 mm and 300 mm substrate, respectively.
  • a loss of accuracy for topographic dimensions (e.g., critical dimensions (CDs), or smallest widths) of the etched structures in the peripheral regions of the substrates may significantly affect performance and increase costs of fabricating the integrated circuits and micro-electronic devices.
  • the present invention is a method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate using a gas mixture that includes a passivation gas.
  • the passivation gas is provided to a peripheral region of the substrate to passivate sidewalls of the structures being etched.
  • the lateral etch rate in the peripheral region of the substrate may be selectively adjusted by controlling a flow rate and a degree of dissociation of the passivation gas in the peripheral region.
  • FIG. 1 depicts a flow diagram of a method for etching features in material layers with high uniformity of lateral etch rate across the substrate in accordance with one embodiment of the present invention
  • FIGS. 2A-2B depict a series of schematic, cross-sectional views of a substrate having a gate structure of a field effect transistor being formed in accordance with the method of FIG. 1 ;
  • FIG. 3 depicts a graph of the concentration of by-products across a substrate being etched in accordance with the method of FIG. 1 ;
  • FIG. 4 depicts a schematic diagram of an exemplary etch processing apparatus of the kind used in performing portions of the inventive method.
  • the present invention is a method and apparatus for etching topographic structures in material layers on a substrate (e.g., semiconductor wafer, and the like) with high uniformity of lateral etch rate across the substrate.
  • the method is generally used during fabrication of ultra-large-scale integrated (ULSI) semiconductor devices and circuits.
  • ULSI ultra-large-scale integrated
  • FIG. 1 depicts a flow diagram of one embodiment of the inventive method for etching topographic structures in material layers on a substrate with high uniformity of lateral etch rate across the substrate as method 100 .
  • the method 100 includes the processes that are performed upon a film stack formed on the substrate.
  • a substrate having a patterned etch mask is provided to an etch reactor (e.g., exemplary DPS II module discussed below with reference to FIG. 4 ) and is positioned on a substrate pedestal in a process chamber of the reactor.
  • the patterned etch mask e.g., photoresist or hard mask
  • the temperature of the substrate pedestal may be adjusted in accordance with a process recipe for the etch process to be performed upon the substrate (discussed in reference to step 114 below). Generally, temperature of the substrate pedestal is maintained constant during processing a plurality of substrates (substrate batch). The temperature of the substrate may be increased or decreased and then is maintained at a pre-determined level using a backside gas, as described below with reference to FIG. 4 . Certain etch processes are performed upon substrates having ambient temperature.
  • an etchant gas is supplied to the process chamber of the etch reactor.
  • the etchant gas is a mixture of individual gases that are suitable for etching the material layer(s) beneath the patterned etch mask.
  • the etchant gas is dispersed into the process chamber through one or more gas inlets (e.g., openings, injectors, and the like) that are disposed substantially above the substrate.
  • a passivation gas is supplied to the process chamber.
  • the passivation gas is dispersed into the process chamber through a plurality of gas inlets (e.g., openings, injectors, and the like) that are disposed substantially equidistantly around a peripheral region of the substrate.
  • the gas inlets are adapted to provide the passivation gas predominantly to the peripheral region of the substrate.
  • a flow rate of the passivation gas may be selectively controlled to facilitate a high concentration of such gas in the peripheral region. Specifically, the concentration of the passivation gas in the peripheral region may be adjusted to be higher than the concentration of such a gas in a central region of the substrate.
  • a gas mixture of the etchant and passivation gases is energized to form a plasma in the process chamber.
  • the plasma is produced using an inductive antenna comprising at least two selectively controlled concentric antenna elements that are disposed above a dielectric ceiling of the process chamber.
  • the gas mixture may be energized to form the plasma using at least one capacitive electrode disposed in a process chamber of the reactor.
  • ECR electron cyclotron resonance
  • the gas mixture in the process chamber is not energized to form a plasma.
  • step 110 is considered optional.
  • a substrate bias power may be applied. However, for certain etch processes substrate biasing is not necessary. As such, step 111 is considered optional.
  • steps 104 and 106 , or steps 106 and 108 , or steps 104 , 106 , and 108 may be performed in the same process chamber.
  • step 110 may be performed before step 108
  • step 112 may precede step 111 .
  • density of a plasma of the passivation gas in the peripheral region may be selectively adjusted to control a degree of dissociation and chemical activity (e.g., a rate of passivating sidewalls of the structures being formed on the substrate) of the passivation gas in that region.
  • the density of the plasma is adjusted by controlling an amount of RF power provided to an outer coil element 412 a (discussed below with respect to FIG. 4 ) of the inductive antenna 412 . More specifically, the density of the plasma and, as such, degree of dissociation of the passivation gas in the peripheral region of the substrate increase when more RF power is provided to the outer coil element 412 a .
  • other techniques may be used for plasma control.
  • an etch process is performed to etch a material layer formed on the substrate.
  • a portion of the etchant gas and by-products from the etch process are pumped away.
  • a remaining portion of the by-products are re-deposited on sidewalls of the structures being formed on the substrate, thus reducing the lateral etch rate.
  • the concentration of such by-products may be depleted in the peripheral region faster than in the center region of the substrate.
  • a low concentration of the by-products in the peripheral region causes an increase in the etch rate in that region.
  • the passivation gas forms a passivation film on sidewalls of the structures being formed in a peripheral region of the substrate.
  • the chemistry of the passivation gas is selected such that the passivation film deposited on the sidewalls of the structures is chemically similar to the by-products of the etch process.
  • the flow rate and degree of dissociation (i.e., plasma density) of the passivation gas may be selectively adjusted to compensate for depletion of the by-products of the etch process and to reduce the lateral etch rate in the peripheral region of the substrate.
  • the flow rate and plasma density of the passivation gas may be selectively adjusted such that lateral etch rates in the central and peripheral regions of the substrate are the same or approximately the same.
  • structures e.g., structures having smallest width, or critical dimensions (CDs)
  • CDs critical dimensions
  • Settings for selectively adjusting the flow rate of the passivation gas and plasma density or a degree of dissociation of the passivation gas that provide a uniform lateral etch rate across the substrate may be defined based on the results of etching one or more test substrates. During subsequent etching of the product substrates, such settings are used at step 108 (flow rate of the passivation gas) and step 112 (RF power to the outer coil element 412 a ).
  • the etch process is terminated.
  • the endpoint of the etch process is determined by an endpoint detection system that may use monitoring of plasma emissions at a particular wavelength, laser interferometery, control of process time, and the like.
  • step 116 terminates the plasma, substrate bias, and supplying of etchant, passivant, and backside gases, as well as prepares the substrate for removal from the process chamber 410 of the etch reactor 400 .
  • the substrate may stay in the process chamber for optional additional in-situ processing.
  • step 118 the method 100 ends.
  • a gate electrode layer of a gate structure of a field effect transistor is etched using the method 100 .
  • CMOS complementary metal-oxide-semiconductor
  • FIGS. 2A-2B depict a series of schematic, cross-sectional views of a substrate having a film stack of a gate structure of the field effect transistor being fabricated.
  • critical topographic dimensions for a gate electrode of the gate structure are controlled using processing steps of the method 100 .
  • steps are illustratively performed using a DPS II module (described below with reference to FIG. 4 ) of a CENTURA® processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • the cross-sectional views in FIGS. 2A-2B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIG. 1 and FIGS. 2A-2B .
  • a substrate 200 e.g., silicon (Si) wafer, and the like
  • Si silicon
  • the substrate 200 generally comprises a plurality of doped source regions (wells) 232 and drain regions (wells) 234 (i.e., regions that will subsequently be doped to form sources and drains after the gate is formed) separated by channel regions 236 , a film stack 202 of a gate structure of the transistor being fabricated, and a patterned mask 214 .
  • the film stack 202 comprises a gate dielectric layer 204 and a gate electrode layer 206 .
  • the patterned mask 214 protects region 220 above the channel region 236 as well as portions of the regions 232 and 234 and exposes adjacent regions 221 and 222 of the substrate 200 to the etched gases.
  • the patterned mask 214 is used as an etch mask.
  • the patterned mask 214 may comprise, for example, photoresist, silicon dioxide (SiO 2 ), silicon oxynitride (SiON), silicon nitride (Si 3 N 4 ), hafnium dioxide (HfO 2 ), Advanced Patterning FilmTM (APF) available from Applied Materials, Inc. of Santa Clara, Calif., and the like.
  • the APF generally comprises films of SiON and ⁇ -carbon. Processes of forming such patterned masks are well known in the art.
  • the patterned mask 214 is formed of silicon oxynitride.
  • the gate electrode layer 206 is formed of doped polysilicon (Si) and the gate dielectric layer 204 is formed of silicon dioxide (SiO 2 ).
  • the gate dielectric layer 204 may comprise a high-K dielectric material having a dielectric constant greater than 4.0, such as hafnium dioxide (HfO 2 ), hafnium silicon dioxide (HfSiO 2 ), and the like.
  • the layers 206 and 204 are formed to a thickness of about 500 to 6000 Angstroms and 10 to 60 Angstroms, respectively.
  • the film stack 202 may also comprise layers formed of other materials having different thicknesses.
  • the layers of the film stack 202 may be formed using any conventional deposition technique, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like. Fabrication of the field effect transistor may be performed using the respective process modules of CENTURA®, ENDURA®, and other semiconductor wafer processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • PECVD plasma enhanced CVD
  • the temperature of the substrate 200 is increased to and then maintained between about 20 and 80 degrees Celsius using a heater and helium (He) as a heat transfer (i.e., backside) gas.
  • He heater and helium
  • the substrate 200 is maintained at about 45 degrees Celsius.
  • the etchant gas for etching polysilicon comprising a gas mixture including one or more halogen-containing gases, such as chlorine (Cl 2 ), hydrogen bromide (HBr), carbon tetrafluoride (CF 4 ), and the like, begins flowing into the process chamber.
  • the etchant gas may optionally include a diluent gas, such as at least one of nitrogen (N 2 ), argon (Ar), helium (He), neon (Ne), and the like.
  • step 106 provides hydrogen bromide (HBr) at a flow rate of 20 to 300 sccm, chlorine (Cl 2 ) at a flow rate of 20 to 300 sccm (i.e., a HBr:Cl 2 flow ratio ranging from 1:15 to 15:1), and nitrogen (N 2 ) at a flow rate of 0 to 200 sccm, and a pressure in the process chamber between 2 and 100 mTorr.
  • HBr hydrogen bromide
  • Cl 2 chlorine
  • N 2 nitrogen
  • One exemplary process provides HBr at a flow rate of 40 sccm, Cl 2 at a flow rate of 40 sccm (i.e., a HBr:Cl 2 flow ratio of about 1:1), N 2 at a flow rate of 20 sccm, and a chamber pressure of about 45 mTorr.
  • the passivation gas comprising a gas mixture including one or more of fluorosilane (SiF 4 ), silane (SiH 4 ), silicon tetrachloride (SiCl 4 ) and an optional diluent inert gas (e.g., argon (Ar), helium (He), and the like) begins flowing into the process chamber.
  • an optional diluent inert gas e.g., argon (Ar), helium (He), and the like
  • the passivation gas forms SiO 2 -like deposits on sidewalls of the gate electrodes. Such deposits are chemically similar to the by-products of the etch process.
  • step 108 provides fluorosilane (SiF 4 ) at a flow rate of 1 to 100 sccm or silane (SiH 4 ) at a flow rate of 1 to 100 and helium (He) at a flow rate of 1 to 200 sccm.
  • SiF 4 fluorosilane
  • SiH 4 silane
  • He helium
  • SF 4 SF 4 at a flow rate of 20 sccm or SiH 4 at a flow rate of 20 sccm and He at a flow rate of 200 sccm.
  • step 110 a gas mixture comprising the etchant gas and the passivant gas is energized to a plasma using the inductive antenna (or other energy applications).
  • step 110 applies to the antenna between 200 and 3000 W of a source power, while one exemplary process applies about 1100 W.
  • a substrate bias (i.e., cathode) power is applied to the substrate pedestal 416 .
  • step 111 applies to the substrate pedestal between 0 and 300 W of the bias power, while one exemplary process applies about 20 W.
  • plasma density of the passivation gas may be selectively adjusted by applying a higher power or current to the outer coil element 412 a (discussed in reference to FIG. 4 below).
  • a ratio between currents in the outer coil element 412 a and the inner coil element 412 b is selectively adjusted in a range from about 0.3:1 to 10:1.
  • the current ratio is between 0.3:1 and 5:1 and the total power is between 300 and 1500 watts.
  • the gate electrode layer 206 (e.g., polysilicon) is etched and removed in the regions 221 and 222 using a gas mixture comprising the etchant gas and the passivation gas. A remaining portion of the gate electrode layer 206 forms gate electrodes 216 having widths 215 a in the peripheral region 203 and widths 215 b in the central region 205 of the substrate, respectively.
  • by-products of the etch process and the passivation gas form passivating films on sidewalls of the gate electrodes 216 .
  • the concentration of these by-products is high in the central region 205 and low in the peripheral region 203 of the substrate 200 .
  • the concentration of the passivation gas is high in the peripheral region 203 and low in the central region 205 of the substrate.
  • High concentrations of the passivation gas in the peripheral region 203 compensates for depletion of the by-products of the etch process near the edge of the substrate 200 and, as such, selectively reduce the lateral etch rate for the polysilicon gate electrode layer 206 in the peripheral region 203 of the substrate.
  • the gate electrodes 216 have high uniformity of critical topographic dimensions (i.e., widths 215 ) across the substrate 200 . Specifically, widths 215 a and 215 b of the gate electrodes 216 across the substrate are the same or about the same (i.e., widths of the gate electrodes 216 are uniform across the substrate 200 ).
  • the etch process is terminated.
  • the endpoint of the etch process is determined using an endpoint detection system that is configured to monitor plasma emissions at a particular wavelength.
  • the plasma, substrate bias, etchant gas, passivation gas and backside gases are terminated.
  • the substrate 200 is removed from the reactor.
  • the substrate 200 may remain in the process chamber for optional additional in-situ processing (e.g., etching the gate dielectric layer 204 , removal of the patterned mask 214 , and the like).
  • step 118 the method 100 ends.
  • FIG. 3 depicts a series of exemplary diagrams illustrating concentrations of by-products of the etch process and the passivation gas across the surface of the substrate 200 in accordance with one embodiment of the method of FIG. 1 .
  • a schematic cross-sectional view of the substrate 200 is provided as a reference for the gas concentrations.
  • a first graph 310 depicts a concentration (y-axis 312 ) of the by-products of the etch process versus a distance (x-axis 314 ) from a center 302 of the substrate 200 .
  • the concentration of by-products is less at the peripheral regions 203 of the substrate 200 as compared to the center region 205 .
  • a second graph 320 depicts a concentration (y-axis 322 ) of the passivation gas process versus a distance (x-axis 324 ) from the center 302 .
  • the concentration of passivation gas is higher at the peripheral regions 203 than in the center region 205 .
  • a third graph 330 depicts a resulting combined concentration (y-axis 332 ) of the by-products and the passivation gas (i.e., concentration of species that may reduce the etch rate) versus a distance (x-axis 334 ) from the center 302 .
  • the combined concentrations of by-products and passivation gas is uniform across the substrate 200 . As such, the lateral etch rate is substantially uniform across the substrate.
  • FIG. 4 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS) II etch reactor 400 that may illustratively be used to practice the invention.
  • the DPS II reactor 400 is a processing module of a CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif.
  • the particular embodiment of the reactor 400 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • the reactor 400 generally comprises a process chamber 410 having a substrate pedestal 416 within a conductive body (wall) 430 , and a controller 440 .
  • the chamber 410 is supplied with a substantially flat dielectric ceiling 420 .
  • Other modifications of the chamber 410 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • an antenna 412 comprising one ore more inductive coil elements (co-axial outer coil element 412 a and inner coil element 412 b are shown) that may be selectively controlled.
  • the antenna 412 is coupled, through a first matching network 419 , to a plasma power source 418 .
  • the plasma power source 418 typically is capable of producing up to 3000 W at a tunable frequency in a range from 50 kHz to 13.56 MHz. For etch reactions, the frequency is set to about 13.56 MHz.
  • the substrate pedestal (cathode) 416 is coupled, through a second matching network 424 , to a biasing power source 422 .
  • the biasing source 422 generally is a source of up to 500 W at a frequency of approximately 13.56 MHz that is capable of producing either continuous or pulsed power. In other embodiments, the source 422 may be a DC or pulsed DC source.
  • a controller 440 comprises a central processing unit (CPU) 444 , a memory 442 , and support circuits 446 for the CPU 444 and facilitates control of the components of the process chamber 410 and, as such, of the etch process, as discussed below in further detail.
  • CPU central processing unit
  • An etchant gas and a passivation gas are provided to the process chamber 410 from a gas panel 438 .
  • the etchant gas is provided through an inlet 426 located above the substrate pedestal 416
  • the passivation gas is provided through a plurality of inlets 428 (e.g., openings, injectors, and the like).
  • the passivation gas may be provided via an edge ring disposed proximate to an edge of a semiconductor substrate 414 being etched.
  • the inlets 428 (two inlets are shown) are positioned substantially equidistantly around the substrate pedestal 416 approximately coplanar with the semiconductor substrate 414 .
  • Embodiment and location of the inlets 428 are selected to provide high controlled concentration of the passivation gas in a peripheral region (i.e., annular region near the edge) of the wafer 414 .
  • the etchant gas and the passivation gas are delivered to the process chamber 410 using separate gas conduits (conduits 437 and 439 , respectively) and the gases do not mix until are dispersed into a reaction volume 453 of the chamber.
  • the etchant gas is illustratively provided to the inlets 426 using an annular gas channel 427 and, similarly, the passivation gas is provided to the inlets 428 using an annular gas channel 429 .
  • the gas channels 427 and 429 may be formed in the wall 430 or in gas rings (as shown) that are coupled to the wall 430 .
  • the etchant and passivation gases form a gaseous mixture 450 .
  • the gaseous mixture 450 may be energized to a plasma 455 by applying power from the plasma source 418 to the antenna 412 .
  • the gas pressure in the chamber 410 is controlled using a throttle valve 477 and a vacuum pump 436 .
  • the temperature of the wall 430 may be controlled using liquid-containing conduits (not shown) that run through the wall 430 .
  • the chamber wall 430 is formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and coupled to an electrical ground 434 .
  • the temperature of the substrate 414 is controlled by stabilizing a temperature of the substrate pedestal 416 .
  • a backside gas e.g., helium (He)
  • a gas source 448 is provided via a gas conduit 449 to channels that are formed in the pedestal surface under the substrate 414 .
  • the backside gas is used to facilitate heat transfer between the pedestal 416 and the substrate 414 .
  • the pedestal 416 may be heated by an embedded resistive heater 432 to a steady-state temperature and then the helium gas facilitates uniform heating of the substrate 414 .
  • the substrate 414 may be maintained at a temperature between about 0 and 350 degrees Celsius.
  • the substrate pedestal 416 comprises an electrostatic chuck 460 , an edge ring 415 , the resistive heater 432 , a heat sink 466 , and a mounting assembly 406 .
  • the electrostatic chuck 460 comprises at least one clamping electrode 480 and is controlled by a chuck power supply 476 .
  • the resistive heater 432 generally comprises at least one heating element 482 and is regulated by a heater power supply 478 .
  • the substrate pedestal 416 may comprise a susceptor clamp ring, a mechanical chuck, and the like substrate retention mechanism.
  • the lift mechanism 462 is used to raise the substrate 414 off the substrate support 416 or to lower the substrate onto the substrate support.
  • the lift mechanism 462 comprises a plurality of lift pins 472 (one lift pin is shown) that travel through respective guide holes 488 .
  • the process chamber 410 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 407 .
  • etch chambers may be used to practice the invention, including chambers with remote plasma sources, electron cyclotron resonance (ECR) plasma chambers, and the like.
  • ECR electron cyclotron resonance
  • the controller 440 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the memory, or computer-readable medium, 442 of the CPU 444 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 446 are coupled to the CPU 444 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like.
  • the inventive method is generally stored in the memory 442 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 444 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate using a gas mixture that includes a passivation gas. The passivation gas is provided to a peripheral region of the substrate to passivate sidewalls of the structures being etched.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 10/927,807, filed Aug. 27, 2004 (APPM/8553), which is incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention generally relates to a method and apparatus for fabricating devices on semiconductor substrates. More specifically, the present invention relates to a method and apparatus for performing an etch process in a semiconductor substrate processing system.
  • 2. Description of the Related Art
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million micro-electronic devices (e.g., transistors, capacitors, and the like) that are formed on a substrate (e.g., silicon (Si) wafer) and cooperate to perform various functions within the device. Manufacture of ULSI circuits generally comprises processes where one or more material layers of a film stack on the substrate are etched (e.g., plasma etched) to form structures of the devices being fabricated.
  • One problem associated with a conventional etch process is the non-uniformity of a lateral etch rate across the substrate due to a substrate edge effect. Herein lateral etch rate non-uniformity is defined as a ratio of a difference between the maximal and minimal lateral etch rate to the sum of such values across the substrate. More specifically, the lateral etch rate at peripheral locations (i.e., near an edge of the substrate) is higher than the lateral etch rate near a center of the substrate. Non-uniformity in the lateral etch rate results in non-uniformity in the critical dimensions of the structures formed by the etch process.
  • During the etch process, non-volatile by-products passivate sidewalls of the structures being formed and, as such, reduce the etch rate. Non-uniformity of the etch rate across the substrate is caused by a higher concentration of the by-products near the center of the substrate than in the peripheral region. In operation, a concentric pattern of exhaust pumping in the etch process chamber results in a low concentration of the by-products near the edge of the substrate and, correspondingly, in a high local lateral etch rate.
  • Structures being formed using the conventional etch process are typically over-etched in the peripheral region that may extend about 10-20 mm from the edge of the substrate. Such a region represents approximately 19-36% and 13-25% of usable real estate for the 200 mm and 300 mm substrate, respectively. A loss of accuracy for topographic dimensions (e.g., critical dimensions (CDs), or smallest widths) of the etched structures in the peripheral regions of the substrates may significantly affect performance and increase costs of fabricating the integrated circuits and micro-electronic devices.
  • Therefore, there is a need in the art for an improved method for etching material layers with high uniformity of a lateral etch rate across a substrate in manufacture of micro-electronic devices.
  • SUMMARY OF THE INVENTION
  • The present invention is a method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate using a gas mixture that includes a passivation gas. The passivation gas is provided to a peripheral region of the substrate to passivate sidewalls of the structures being etched. In one embodiment, the lateral etch rate in the peripheral region of the substrate may be selectively adjusted by controlling a flow rate and a degree of dissociation of the passivation gas in the peripheral region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
  • FIG. 1 depicts a flow diagram of a method for etching features in material layers with high uniformity of lateral etch rate across the substrate in accordance with one embodiment of the present invention;
  • FIGS. 2A-2B depict a series of schematic, cross-sectional views of a substrate having a gate structure of a field effect transistor being formed in accordance with the method of FIG. 1;
  • FIG. 3 depicts a graph of the concentration of by-products across a substrate being etched in accordance with the method of FIG. 1; and
  • FIG. 4 depicts a schematic diagram of an exemplary etch processing apparatus of the kind used in performing portions of the inventive method.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • The present invention is a method and apparatus for etching topographic structures in material layers on a substrate (e.g., semiconductor wafer, and the like) with high uniformity of lateral etch rate across the substrate. The method is generally used during fabrication of ultra-large-scale integrated (ULSI) semiconductor devices and circuits.
  • FIG. 1 depicts a flow diagram of one embodiment of the inventive method for etching topographic structures in material layers on a substrate with high uniformity of lateral etch rate across the substrate as method 100. The method 100 includes the processes that are performed upon a film stack formed on the substrate.
  • The method 100 starts at step 101 and proceeds to step 102. At step 102, a substrate having a patterned etch mask is provided to an etch reactor (e.g., exemplary DPS II module discussed below with reference to FIG. 4) and is positioned on a substrate pedestal in a process chamber of the reactor. The patterned etch mask (e.g., photoresist or hard mask) is generally formed upon an underlying film stack that comprises at least one material layer.
  • At an optional step 104, the temperature of the substrate pedestal may be adjusted in accordance with a process recipe for the etch process to be performed upon the substrate (discussed in reference to step 114 below). Generally, temperature of the substrate pedestal is maintained constant during processing a plurality of substrates (substrate batch). The temperature of the substrate may be increased or decreased and then is maintained at a pre-determined level using a backside gas, as described below with reference to FIG. 4. Certain etch processes are performed upon substrates having ambient temperature.
  • At step 106, an etchant gas is supplied to the process chamber of the etch reactor. Generally, the etchant gas is a mixture of individual gases that are suitable for etching the material layer(s) beneath the patterned etch mask. Generally, the etchant gas is dispersed into the process chamber through one or more gas inlets (e.g., openings, injectors, and the like) that are disposed substantially above the substrate.
  • At step 108, a passivation gas is supplied to the process chamber. The passivation gas is dispersed into the process chamber through a plurality of gas inlets (e.g., openings, injectors, and the like) that are disposed substantially equidistantly around a peripheral region of the substrate. The gas inlets are adapted to provide the passivation gas predominantly to the peripheral region of the substrate. A flow rate of the passivation gas may be selectively controlled to facilitate a high concentration of such gas in the peripheral region. Specifically, the concentration of the passivation gas in the peripheral region may be adjusted to be higher than the concentration of such a gas in a central region of the substrate.
  • At step 110, a gas mixture of the etchant and passivation gases is energized to form a plasma in the process chamber. In one embodiment, the plasma is produced using an inductive antenna comprising at least two selectively controlled concentric antenna elements that are disposed above a dielectric ceiling of the process chamber. Alternatively, in a reactive ion etch reactor (RIE) reactor, the gas mixture may be energized to form the plasma using at least one capacitive electrode disposed in a process chamber of the reactor. Similarly, in an electron cyclotron resonance (ECR) reactor, such a plasma may be produced using a source of microwave power. In yet alternate embodiment, the gas mixture in the process chamber is not energized to form a plasma. For such an embodiment, step 110 is considered optional.
  • At step 111, a substrate bias power may be applied. However, for certain etch processes substrate biasing is not necessary. As such, step 111 is considered optional.
  • In alternate embodiments, steps 104 and 106, or steps 106 and 108, or steps 104, 106, and 108 may be performed in the same process chamber. In a further embodiment, step 110 may be performed before step 108, and similarly step 112 may precede step 111.
  • At step 112, density of a plasma of the passivation gas in the peripheral region may be selectively adjusted to control a degree of dissociation and chemical activity (e.g., a rate of passivating sidewalls of the structures being formed on the substrate) of the passivation gas in that region. In one exemplary embodiment, the density of the plasma is adjusted by controlling an amount of RF power provided to an outer coil element 412 a (discussed below with respect to FIG. 4) of the inductive antenna 412. More specifically, the density of the plasma and, as such, degree of dissociation of the passivation gas in the peripheral region of the substrate increase when more RF power is provided to the outer coil element 412 a. In other types of plasma reactors, other techniques may be used for plasma control.
  • At step 114, an etch process is performed to etch a material layer formed on the substrate. During the etch process, a portion of the etchant gas and by-products from the etch process are pumped away. A remaining portion of the by-products are re-deposited on sidewalls of the structures being formed on the substrate, thus reducing the lateral etch rate. Due to a concentric pattern of gas exhaust in the process chamber, the concentration of such by-products may be depleted in the peripheral region faster than in the center region of the substrate. A low concentration of the by-products in the peripheral region causes an increase in the etch rate in that region.
  • During the etch process, the passivation gas forms a passivation film on sidewalls of the structures being formed in a peripheral region of the substrate. The chemistry of the passivation gas is selected such that the passivation film deposited on the sidewalls of the structures is chemically similar to the by-products of the etch process. The flow rate and degree of dissociation (i.e., plasma density) of the passivation gas may be selectively adjusted to compensate for depletion of the by-products of the etch process and to reduce the lateral etch rate in the peripheral region of the substrate. Specifically, the flow rate and plasma density of the passivation gas may be selectively adjusted such that lateral etch rates in the central and peripheral regions of the substrate are the same or approximately the same. As such, using controlled high concentration and controlled plasma density of the passivation gas in the peripheral region of the substrate, structures (e.g., structures having smallest width, or critical dimensions (CDs)) may be etched with high uniformity of the lateral etch rate across the substrate.
  • Settings for selectively adjusting the flow rate of the passivation gas and plasma density or a degree of dissociation of the passivation gas that provide a uniform lateral etch rate across the substrate may be defined based on the results of etching one or more test substrates. During subsequent etching of the product substrates, such settings are used at step 108 (flow rate of the passivation gas) and step 112 (RF power to the outer coil element 412 a).
  • At step 116, the etch process is terminated. Typically, the endpoint of the etch process is determined by an endpoint detection system that may use monitoring of plasma emissions at a particular wavelength, laser interferometery, control of process time, and the like. Generally, step 116 terminates the plasma, substrate bias, and supplying of etchant, passivant, and backside gases, as well as prepares the substrate for removal from the process chamber 410 of the etch reactor 400. Alternatively, the substrate may stay in the process chamber for optional additional in-situ processing.
  • At step 118, the method 100 ends.
  • In one illustrative application of the present invention, a gate electrode layer of a gate structure of a field effect transistor (e.g., complementary metal-oxide-semiconductor (CMOS) field effect transistor, and the like) is etched using the method 100.
  • FIGS. 2A-2B depict a series of schematic, cross-sectional views of a substrate having a film stack of a gate structure of the field effect transistor being fabricated. In this specific embodiment, critical topographic dimensions for a gate electrode of the gate structure are controlled using processing steps of the method 100. Such steps are illustratively performed using a DPS II module (described below with reference to FIG. 4) of a CENTURA® processing system available from Applied Materials, Inc. of Santa Clara, Calif. The cross-sectional views in FIGS. 2A-2B are not depicted to scale and are simplified for illustrative purposes. To best understand the invention, the reader should simultaneously refer to FIG. 1 and FIGS. 2A-2B.
  • At step 102, a substrate 200 (e.g., silicon (Si) wafer, and the like) is transported to an etch reactor and is placed on the substrate pedestal within the reactor.
  • Referring to FIG. 2A, the substrate 200 generally comprises a plurality of doped source regions (wells) 232 and drain regions (wells) 234 (i.e., regions that will subsequently be doped to form sources and drains after the gate is formed) separated by channel regions 236, a film stack 202 of a gate structure of the transistor being fabricated, and a patterned mask 214. In one embodiment, the film stack 202 comprises a gate dielectric layer 204 and a gate electrode layer 206. The patterned mask 214 protects region 220 above the channel region 236 as well as portions of the regions 232 and 234 and exposes adjacent regions 221 and 222 of the substrate 200 to the etched gases.
  • During etching of the layers of the film stack 202, the patterned mask 214 is used as an etch mask. The patterned mask 214 may comprise, for example, photoresist, silicon dioxide (SiO2), silicon oxynitride (SiON), silicon nitride (Si3N4), hafnium dioxide (HfO2), Advanced Patterning Film™ (APF) available from Applied Materials, Inc. of Santa Clara, Calif., and the like. The APF generally comprises films of SiON and α-carbon. Processes of forming such patterned masks are well known in the art. In one exemplary embodiment, the patterned mask 214 is formed of silicon oxynitride.
  • In one exemplary embodiment, the gate electrode layer 206 is formed of doped polysilicon (Si) and the gate dielectric layer 204 is formed of silicon dioxide (SiO2). Alternatively, the gate dielectric layer 204 may comprise a high-K dielectric material having a dielectric constant greater than 4.0, such as hafnium dioxide (HfO2), hafnium silicon dioxide (HfSiO2), and the like. Generally, the layers 206 and 204 are formed to a thickness of about 500 to 6000 Angstroms and 10 to 60 Angstroms, respectively. The film stack 202 may also comprise layers formed of other materials having different thicknesses.
  • The layers of the film stack 202 may be formed using any conventional deposition technique, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like. Fabrication of the field effect transistor may be performed using the respective process modules of CENTURA®, ENDURA®, and other semiconductor wafer processing systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • At step 104, the temperature of the substrate 200 is increased to and then maintained between about 20 and 80 degrees Celsius using a heater and helium (He) as a heat transfer (i.e., backside) gas. In one exemplary embodiment, the substrate 200 is maintained at about 45 degrees Celsius.
  • At step 106, the etchant gas for etching polysilicon comprising a gas mixture including one or more halogen-containing gases, such as chlorine (Cl2), hydrogen bromide (HBr), carbon tetrafluoride (CF4), and the like, begins flowing into the process chamber. The etchant gas may optionally include a diluent gas, such as at least one of nitrogen (N2), argon (Ar), helium (He), neon (Ne), and the like. In one embodiment, step 106 provides hydrogen bromide (HBr) at a flow rate of 20 to 300 sccm, chlorine (Cl2) at a flow rate of 20 to 300 sccm (i.e., a HBr:Cl2 flow ratio ranging from 1:15 to 15:1), and nitrogen (N2) at a flow rate of 0 to 200 sccm, and a pressure in the process chamber between 2 and 100 mTorr. One exemplary process provides HBr at a flow rate of 40 sccm, Cl2 at a flow rate of 40 sccm (i.e., a HBr:Cl2 flow ratio of about 1:1), N2 at a flow rate of 20 sccm, and a chamber pressure of about 45 mTorr.
  • At step 108, the passivation gas comprising a gas mixture including one or more of fluorosilane (SiF4), silane (SiH4), silicon tetrachloride (SiCl4) and an optional diluent inert gas (e.g., argon (Ar), helium (He), and the like) begins flowing into the process chamber. During etching of the polysilicon gate electrodes (discussed in reference to step 114 below), the passivation gas forms SiO2-like deposits on sidewalls of the gate electrodes. Such deposits are chemically similar to the by-products of the etch process. The concentration of the passivation gas in a peripheral region 203 of the substrate 200 is adjusted to be higher than the concentration of the gas in a central region 205 of the substrate. In one embodiment, step 108 provides fluorosilane (SiF4) at a flow rate of 1 to 100 sccm or silane (SiH4) at a flow rate of 1 to 100 and helium (He) at a flow rate of 1 to 200 sccm. One exemplary process provides SF4 at a flow rate of 20 sccm or SiH4 at a flow rate of 20 sccm and He at a flow rate of 200 sccm.
  • At step 110, a gas mixture comprising the etchant gas and the passivant gas is energized to a plasma using the inductive antenna (or other energy applications). In one embodiment, step 110 applies to the antenna between 200 and 3000 W of a source power, while one exemplary process applies about 1100 W.
  • At step 111, a substrate bias (i.e., cathode) power is applied to the substrate pedestal 416. In one embodiment, step 111 applies to the substrate pedestal between 0 and 300 W of the bias power, while one exemplary process applies about 20 W.
  • At step 112, plasma density of the passivation gas may be selectively adjusted by applying a higher power or current to the outer coil element 412 a (discussed in reference to FIG. 4 below). In one exemplary embodiment, a ratio between currents in the outer coil element 412 a and the inner coil element 412 b is selectively adjusted in a range from about 0.3:1 to 10:1. In a more specific embodiment, the current ratio is between 0.3:1 and 5:1 and the total power is between 300 and 1500 watts.
  • Referring to FIG. 2B, at step 114, the gate electrode layer 206 (e.g., polysilicon) is etched and removed in the regions 221 and 222 using a gas mixture comprising the etchant gas and the passivation gas. A remaining portion of the gate electrode layer 206 forms gate electrodes 216 having widths 215 a in the peripheral region 203 and widths 215 b in the central region 205 of the substrate, respectively.
  • During the etch process, by-products of the etch process and the passivation gas form passivating films on sidewalls of the gate electrodes 216. The concentration of these by-products is high in the central region 205 and low in the peripheral region 203 of the substrate 200. Oppositely, the concentration of the passivation gas is high in the peripheral region 203 and low in the central region 205 of the substrate.
  • High concentrations of the passivation gas in the peripheral region 203 compensates for depletion of the by-products of the etch process near the edge of the substrate 200 and, as such, selectively reduce the lateral etch rate for the polysilicon gate electrode layer 206 in the peripheral region 203 of the substrate. After the etch process, the gate electrodes 216 have high uniformity of critical topographic dimensions (i.e., widths 215) across the substrate 200. Specifically, widths 215 a and 215 b of the gate electrodes 216 across the substrate are the same or about the same (i.e., widths of the gate electrodes 216 are uniform across the substrate 200).
  • At step 116, the etch process is terminated. In one embodiment, the endpoint of the etch process is determined using an endpoint detection system that is configured to monitor plasma emissions at a particular wavelength. At the endpoint of the etch process, the plasma, substrate bias, etchant gas, passivation gas and backside gases are terminated. Further, the substrate 200 is removed from the reactor. In an alternate embodiment, the substrate 200 may remain in the process chamber for optional additional in-situ processing (e.g., etching the gate dielectric layer 204, removal of the patterned mask 214, and the like).
  • At step 118, the method 100 ends.
  • FIG. 3 depicts a series of exemplary diagrams illustrating concentrations of by-products of the etch process and the passivation gas across the surface of the substrate 200 in accordance with one embodiment of the method of FIG. 1. A schematic cross-sectional view of the substrate 200 is provided as a reference for the gas concentrations. A first graph 310 depicts a concentration (y-axis 312) of the by-products of the etch process versus a distance (x-axis 314) from a center 302 of the substrate 200. The concentration of by-products is less at the peripheral regions 203 of the substrate 200 as compared to the center region 205. A second graph 320 depicts a concentration (y-axis 322) of the passivation gas process versus a distance (x-axis 324) from the center 302. The concentration of passivation gas is higher at the peripheral regions 203 than in the center region 205. A third graph 330 depicts a resulting combined concentration (y-axis 332) of the by-products and the passivation gas (i.e., concentration of species that may reduce the etch rate) versus a distance (x-axis 334) from the center 302. The combined concentrations of by-products and passivation gas is uniform across the substrate 200. As such, the lateral etch rate is substantially uniform across the substrate.
  • FIG. 4 depicts a schematic diagram of an exemplary Decoupled Plasma Source (DPS) II etch reactor 400 that may illustratively be used to practice the invention. The DPS II reactor 400 is a processing module of a CENTURA® integrated semiconductor wafer processing system available from Applied Materials, Inc. of Santa Clara, Calif. The particular embodiment of the reactor 400 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • The reactor 400 generally comprises a process chamber 410 having a substrate pedestal 416 within a conductive body (wall) 430, and a controller 440.
  • In one embodiment, the chamber 410 is supplied with a substantially flat dielectric ceiling 420. Other modifications of the chamber 410 may have other types of ceilings, e.g., a dome-shaped ceiling. Above the ceiling 420 is disposed an antenna 412 comprising one ore more inductive coil elements (co-axial outer coil element 412 a and inner coil element 412 b are shown) that may be selectively controlled. The antenna 412 is coupled, through a first matching network 419, to a plasma power source 418. The plasma power source 418 typically is capable of producing up to 3000 W at a tunable frequency in a range from 50 kHz to 13.56 MHz. For etch reactions, the frequency is set to about 13.56 MHz.
  • In one embodiment, the substrate pedestal (cathode) 416 is coupled, through a second matching network 424, to a biasing power source 422. The biasing source 422 generally is a source of up to 500 W at a frequency of approximately 13.56 MHz that is capable of producing either continuous or pulsed power. In other embodiments, the source 422 may be a DC or pulsed DC source.
  • A controller 440 comprises a central processing unit (CPU) 444, a memory 442, and support circuits 446 for the CPU 444 and facilitates control of the components of the process chamber 410 and, as such, of the etch process, as discussed below in further detail.
  • An etchant gas and a passivation gas are provided to the process chamber 410 from a gas panel 438. In one embodiment, the etchant gas is provided through an inlet 426 located above the substrate pedestal 416, while the passivation gas is provided through a plurality of inlets 428 (e.g., openings, injectors, and the like). Alternatively, the passivation gas may be provided via an edge ring disposed proximate to an edge of a semiconductor substrate 414 being etched. In one embodiment, the inlets 428 (two inlets are shown) are positioned substantially equidistantly around the substrate pedestal 416 approximately coplanar with the semiconductor substrate 414. Embodiment and location of the inlets 428 are selected to provide high controlled concentration of the passivation gas in a peripheral region (i.e., annular region near the edge) of the wafer 414.
  • In one embodiment, the etchant gas and the passivation gas are delivered to the process chamber 410 using separate gas conduits ( conduits 437 and 439, respectively) and the gases do not mix until are dispersed into a reaction volume 453 of the chamber. In the depicted embodiment, the etchant gas is illustratively provided to the inlets 426 using an annular gas channel 427 and, similarly, the passivation gas is provided to the inlets 428 using an annular gas channel 429. The gas channels 427 and 429 may be formed in the wall 430 or in gas rings (as shown) that are coupled to the wall 430. In the process chamber 410, the etchant and passivation gases form a gaseous mixture 450. During an etch process, the gaseous mixture 450 may be energized to a plasma 455 by applying power from the plasma source 418 to the antenna 412.
  • In one embodiment, the gas pressure in the chamber 410 is controlled using a throttle valve 477 and a vacuum pump 436. The temperature of the wall 430 may be controlled using liquid-containing conduits (not shown) that run through the wall 430. Typically, the chamber wall 430 is formed from a metal (e.g., aluminum (Al), stainless steel, and the like) and coupled to an electrical ground 434.
  • In operation, the temperature of the substrate 414 is controlled by stabilizing a temperature of the substrate pedestal 416. In one embodiment, a backside gas (e.g., helium (He)) from a gas source 448 is provided via a gas conduit 449 to channels that are formed in the pedestal surface under the substrate 414. The backside gas is used to facilitate heat transfer between the pedestal 416 and the substrate 414. During the processing, the pedestal 416 may be heated by an embedded resistive heater 432 to a steady-state temperature and then the helium gas facilitates uniform heating of the substrate 414. Using such thermal control, the substrate 414 may be maintained at a temperature between about 0 and 350 degrees Celsius.
  • In one embodiment, the substrate pedestal 416 comprises an electrostatic chuck 460, an edge ring 415, the resistive heater 432, a heat sink 466, and a mounting assembly 406. The electrostatic chuck 460 comprises at least one clamping electrode 480 and is controlled by a chuck power supply 476. The resistive heater 432 generally comprises at least one heating element 482 and is regulated by a heater power supply 478. In alternative embodiments, the substrate pedestal 416 may comprise a susceptor clamp ring, a mechanical chuck, and the like substrate retention mechanism.
  • In operation, the lift mechanism 462 is used to raise the substrate 414 off the substrate support 416 or to lower the substrate onto the substrate support. Generally, the lift mechanism 462 comprises a plurality of lift pins 472 (one lift pin is shown) that travel through respective guide holes 488. The process chamber 410 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 407.
  • Those skilled in the art will understand that other forms of etch chambers may be used to practice the invention, including chambers with remote plasma sources, electron cyclotron resonance (ECR) plasma chambers, and the like.
  • To facilitate control of the process chamber 410, the controller 440 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory, or computer-readable medium, 442 of the CPU 444 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 446 are coupled to the CPU 444 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 442 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 444.
  • The invention may be practiced using other etch processes wherein parameters may be adjusted to achieve acceptable characteristics by those skilled in the art by utilizing the teachings disclosed herein without departing from the spirit of the invention. Although the forgoing discussion referred to fabrication of a field effect transistor, fabrication of the other devices and structures used in the integrated circuits can also benefit from the invention
  • While the foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (21)

1. A method of etching a material layer on a substrate, comprising:
(a) providing a substrate in a process chamber;
(b) supplying an etchant gas into the process chamber through a first gas inlet; and
(c) supplying a passivation gas having a greater concentration proximate a peripheral region of the substrate relative to the center region of the substrate.
2. The method of claim 1 wherein the step (c) further comprises:
selectively adjusting a flow rate of the passivation gas.
3. The method of claim 1 further comprising:
energizing the etchant gas and the passivation gas to form a plasma.
4. The method of claim 3 further comprising:
selectively adjusting a degree of dissociation of the passivation gas in the peripheral region of the substrate.
5. The method of claim 3 further comprising:
selectively adjusting a density of the plasma of the passivation gas in the peripheral region of the substrate.
6. The method of claim 5 further comprising:
controlling a power level applied an element of an inductively coupled antenna to adjust the plasma density.
7. The method of claim 1 wherein the etchant gas removes a polysilicon from the substrate to form a gate structure of a transistor.
8. The method of claim 1 wherein the passivation gas is at least one gas selected from the group consisting of SiF4 and SiH4.
9. The method of claim 7 wherein the etchant gas comprises at least one of HBr, Cl2, and O2.
10. The method of claim 9 further comprising:
providing HBr and Cl2 at a flow ratio HBr:Cl2 in a range from 1:15 to 15:1.
11. The method of claim 10 wherein the passivation gas comprises at least one of SiF4 and SiH4.
12. The method of claim 11 further comprising:
providing one of SiF4 and SiH4 at a flow rate of about 1 to 100 sccm.
13. The method of claim 3, wherein the step of selecting the process condition further comprises:
dissociating a greater amount of ions of the passivation gas in the peripheral region relative to the central region.
14. The method of claim 3, further comprising:
selecting an inner to outer coil power ratio that produces a higher degree of dissociation of the passivation gas at the peripheral region of the substrate relative to the center region.
15. The method of claim 3 further comprising:
energizing the passivation gas to produce a higher plasma density of the passivation gas in the peripheral region of the substrate.
16. The method of claim 1, wherein the step of supplying the passivation gas further comprises:
injecting the passivation gas from a nozzle coupled to a chamber sidewall.
17. The method of claim 1, wherein the step pf supplying the passivation gas further comprises:
reacting the passivation gas with an etched material on the periphery region of the substrate; and
forming a passivation film on sidewall of the etched polysilicon material.
18. The method of claim 17, wherein the step of forming a passivation film on sidewall of the etched polysilicon material further comprises:
reducing the lateral etch rate in the peripheral region of the substrate.
19. The method of claim 17, wherein the step of forming a passivation film on sidewall of the etched polysilicon material further comprises:
preferentially etching polysilicon in the center region of the substrate relative to the peripheral region.
20. The method of claim 1, wherein the step of supplying the passivation gas further comprises:
selecting a process condition to establish an edge to center ratio of passivation gas sufficiently high enough to maintain lateral polysilicon etch rate uniformity across the substrate.
21. The method of claim 1, wherein the step of supplying the passivation gas further comprises:
selectively adjusting the degree of dissociation of the passivation gas to compensate the depletion of the etched by-products in the center region of the substrate associated with the etchant gas.
US11/743,882 2004-08-27 2007-05-03 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate Abandoned US20070202706A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/743,882 US20070202706A1 (en) 2004-08-27 2007-05-03 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/927,807 US7250373B2 (en) 2004-08-27 2004-08-27 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US11/743,882 US20070202706A1 (en) 2004-08-27 2007-05-03 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/927,807 Continuation US7250373B2 (en) 2004-08-27 2004-08-27 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Publications (1)

Publication Number Publication Date
US20070202706A1 true US20070202706A1 (en) 2007-08-30

Family

ID=35943920

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/927,807 Expired - Fee Related US7250373B2 (en) 2004-08-27 2004-08-27 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US11/743,882 Abandoned US20070202706A1 (en) 2004-08-27 2007-05-03 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US11/831,357 Abandoned US20070295455A1 (en) 2004-08-27 2007-07-31 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/927,807 Expired - Fee Related US7250373B2 (en) 2004-08-27 2004-08-27 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/831,357 Abandoned US20070295455A1 (en) 2004-08-27 2007-07-31 Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Country Status (3)

Country Link
US (3) US7250373B2 (en)
TW (1) TW200620457A (en)
WO (1) WO2006026422A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
US20110303960A1 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
KR100801711B1 (en) * 2007-02-27 2008-02-11 삼성전자주식회사 Semiconductor fabrication equipments performing semiconductor etching and deposition processes and methods of forming semiconductor device using the same
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP2009021584A (en) * 2007-06-27 2009-01-29 Applied Materials Inc High temperature etching method of high k material gate structure
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
CN102398887B (en) * 2010-09-14 2015-02-18 中微半导体设备(上海)有限公司 Deep hole silicon etching method
CN101948494B (en) * 2010-09-14 2012-11-21 河北华荣制药有限公司 Method for extracting cobamamide
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
CN105493255B (en) * 2013-08-27 2021-04-20 东京毅力科创株式会社 Method for laterally trimming a hard mask
JP2015201567A (en) * 2014-04-09 2015-11-12 東京エレクトロン株式会社 plasma processing apparatus and method
KR102436210B1 (en) * 2016-06-20 2022-08-26 도쿄엘렉트론가부시키가이샤 How to process the object
US10199252B2 (en) * 2017-06-30 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal pad for etch rate uniformity
US10347540B1 (en) * 2017-12-14 2019-07-09 International Business Machines Corporation Gate cut using selective deposition to prevent oxide loss

Citations (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5213659A (en) * 1990-06-20 1993-05-25 Micron Technology, Inc. Combination usage of noble gases for dry etching semiconductor wafers
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6303512B1 (en) * 1997-02-20 2001-10-16 Robert Bosch Gmbh Anisotropic, fluorine-based plasma etching method for silicon
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6424417B1 (en) * 1998-06-14 2002-07-23 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6466492B2 (en) * 2000-08-31 2002-10-15 Fujitsu Limited Synchronous semiconductor memory device and method for controlling mask data input circuit
US20020171828A1 (en) * 1998-07-14 2002-11-21 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US20020173162A1 (en) * 2000-03-10 2002-11-21 Jingbao Liu Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20030060054A1 (en) * 1997-12-26 2003-03-27 Kazue Takahashi Plasma treatment method
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US20030136766A1 (en) * 2000-03-17 2003-07-24 Applied Materials, Inc. MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US20030178144A1 (en) * 2001-03-28 2003-09-25 Tadahiro Ohmi Plasma processing device
US20040011464A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection
US20040110375A1 (en) * 2002-09-27 2004-06-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20040134615A1 (en) * 2002-10-23 2004-07-15 Klaus Breitschwerdt Device and method for anisotropic plasma etching of a substrate, particularly a silicon element
US20040175950A1 (en) * 2003-03-03 2004-09-09 Lam Research Corporation Method to improve profile control and n/p loading in dual doped gate applications
US6790779B2 (en) * 2002-07-24 2004-09-14 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US20040203177A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method and system for monitoring an etch process
US20040219737A1 (en) * 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20040224090A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. HDP-CVD uniformity control
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6828187B1 (en) * 2004-01-06 2004-12-07 International Business Machines Corporation Method for uniform reactive ion etching of dual pre-doped polysilicon regions
US6833625B1 (en) * 2002-04-25 2004-12-21 Advanced Micro Devices, Inc. Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect
US20050019962A1 (en) * 2003-07-16 2005-01-27 Sony Coporation Plasma monitoring method, plasma processing method, method of manufacturing semiconductor device, and plasma processing system
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
US20050106868A1 (en) * 2002-01-01 2005-05-19 Asao Yamashita Etching method
US20050153564A1 (en) * 2004-01-09 2005-07-14 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20050167399A1 (en) * 2002-05-14 2005-08-04 Tokyo Electron Limited Plasma etching of cu-containing layers
US20050208773A1 (en) * 2004-03-19 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a hard mask polysilicon gate
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US20060000803A1 (en) * 2002-11-26 2006-01-05 Akira Koshiishi Plasma processing method and apparatus
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20070117399A1 (en) * 2003-03-03 2007-05-24 Helene Del Puppo Method to improve profile control and n/p loading in dual doped gate applications
US7285228B2 (en) * 2002-03-05 2007-10-23 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, a silicon body in particular
US20080135519A1 (en) * 2003-05-16 2008-06-12 Tokyo Electron Limited Plasma processing apparatus and control method thereof
US7520244B2 (en) * 2003-04-04 2009-04-21 Asm Japan K.K. Plasma treatment apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement

Patent Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5213659A (en) * 1990-06-20 1993-05-25 Micron Technology, Inc. Combination usage of noble gases for dry etching semiconductor wafers
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US5948203A (en) * 1996-07-29 1999-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Optical dielectric thickness monitor for chemical-mechanical polishing process monitoring
US6303512B1 (en) * 1997-02-20 2001-10-16 Robert Bosch Gmbh Anisotropic, fluorine-based plasma etching method for silicon
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6162323A (en) * 1997-08-12 2000-12-19 Tokyo Electron Yamanashi Limited Plasma processing apparatus
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
US20030060054A1 (en) * 1997-12-26 2003-03-27 Kazue Takahashi Plasma treatment method
US6424417B1 (en) * 1998-06-14 2002-07-23 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US20020171828A1 (en) * 1998-07-14 2002-11-21 Nova Measuring Instruments Ltd. Method and system for controlling the photolithography process
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6455437B1 (en) * 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6388253B1 (en) * 1999-06-29 2002-05-14 Applied Materials, Inc. Integrated critical dimension control for semiconductor device manufacturing
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US20020173162A1 (en) * 2000-03-10 2002-11-21 Jingbao Liu Magnetically enhanced plasma oxide etch using hexafluorobutadiene
US20030136766A1 (en) * 2000-03-17 2003-07-24 Applied Materials, Inc. MERIE plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6466492B2 (en) * 2000-08-31 2002-10-15 Fujitsu Limited Synchronous semiconductor memory device and method for controlling mask data input circuit
US20030038111A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20030037880A1 (en) * 2000-11-01 2003-02-27 Applied Materials, Inc. Dielectric etch chamber with expanded process window
US20050003675A1 (en) * 2000-11-01 2005-01-06 Carducci James D. Dielectric etch chamber with expanded process window
US20030178144A1 (en) * 2001-03-28 2003-09-25 Tadahiro Ohmi Plasma processing device
US7115184B2 (en) * 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
US20040219737A1 (en) * 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20050106868A1 (en) * 2002-01-01 2005-05-19 Asao Yamashita Etching method
US7285228B2 (en) * 2002-03-05 2007-10-23 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, a silicon body in particular
US6833625B1 (en) * 2002-04-25 2004-12-21 Advanced Micro Devices, Inc. Self-aligned barrier formed with an alloy having at least two dopant elements for minimized resistance of interconnect
US7553427B2 (en) * 2002-05-14 2009-06-30 Tokyo Electron Limited Plasma etching of Cu-containing layers
US20050167399A1 (en) * 2002-05-14 2005-08-04 Tokyo Electron Limited Plasma etching of cu-containing layers
US20040011464A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection
US6790779B2 (en) * 2002-07-24 2004-09-14 Lockheed Martin Corporation Anisotropic dry etching technique for deep bulk silicon etching
US7202169B2 (en) * 2002-09-27 2007-04-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20040110375A1 (en) * 2002-09-27 2004-06-10 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US20070155181A1 (en) * 2002-09-27 2007-07-05 Tokyo Electron Limited Method and system for etching high-k dielectric materials
US7288485B2 (en) * 2002-10-23 2007-10-30 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, particularly a silicon element
US20040134615A1 (en) * 2002-10-23 2004-07-15 Klaus Breitschwerdt Device and method for anisotropic plasma etching of a substrate, particularly a silicon element
US20060000803A1 (en) * 2002-11-26 2006-01-05 Akira Koshiishi Plasma processing method and apparatus
US20070119545A1 (en) * 2003-03-03 2007-05-31 Helene Del Puppo Method to improve profile control and n/p loading in dual doped gate applications
US7682980B2 (en) * 2003-03-03 2010-03-23 Lam Research Corporation Method to improve profile control and N/P loading in dual doped gate applications
US20070117399A1 (en) * 2003-03-03 2007-05-24 Helene Del Puppo Method to improve profile control and n/p loading in dual doped gate applications
US7186661B2 (en) * 2003-03-03 2007-03-06 Lam Research Corporation Method to improve profile control and N/P loading in dual doped gate applications
US20040175950A1 (en) * 2003-03-03 2004-09-09 Lam Research Corporation Method to improve profile control and n/p loading in dual doped gate applications
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US7520244B2 (en) * 2003-04-04 2009-04-21 Asm Japan K.K. Plasma treatment apparatus
US20040203177A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method and system for monitoring an etch process
US20040224090A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. HDP-CVD uniformity control
US20080135519A1 (en) * 2003-05-16 2008-06-12 Tokyo Electron Limited Plasma processing apparatus and control method thereof
US20050019962A1 (en) * 2003-07-16 2005-01-27 Sony Coporation Plasma monitoring method, plasma processing method, method of manufacturing semiconductor device, and plasma processing system
US20050026431A1 (en) * 2003-07-30 2005-02-03 Hitachi High-Technologies Corporation LSI device etching method and apparatus thereof
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6828187B1 (en) * 2004-01-06 2004-12-07 International Business Machines Corporation Method for uniform reactive ion etching of dual pre-doped polysilicon regions
US20070296980A1 (en) * 2004-01-09 2007-12-27 Mak Alfred W Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US7250309B2 (en) * 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20050153564A1 (en) * 2004-01-09 2005-07-14 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US20050208773A1 (en) * 2004-03-19 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a hard mask polysilicon gate
US20050279457A1 (en) * 2004-06-04 2005-12-22 Tokyo Electron Limited Plasma processing apparatus and method, and plasma control unit
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20070295455A1 (en) * 2004-08-27 2007-12-27 David Mui Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060166416A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Addition of ballast hydrocarbon gas to doped polysilicon etch masked by resist
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
US20110303960A1 (en) * 2010-06-10 2011-12-15 Applied Materials, Inc. Low resistivity tungsten pvd with enhanced ionization and rf power coupling
US8558299B2 (en) * 2010-06-10 2013-10-15 Applied Materials, Inc. Semiconductor device with gate electrode stack including low resistivity tungsten and method of forming
US8895450B2 (en) 2010-06-10 2014-11-25 Applied Materials, Inc. Low resistivity tungsten PVD with enhanced ionization and RF power coupling

Also Published As

Publication number Publication date
US7250373B2 (en) 2007-07-31
US20070295455A1 (en) 2007-12-27
TW200620457A (en) 2006-06-16
WO2006026422A3 (en) 2007-02-22
US20060046496A1 (en) 2006-03-02
WO2006026422A2 (en) 2006-03-09

Similar Documents

Publication Publication Date Title
US20070202706A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
KR102483741B1 (en) Apparatus and methods for spacer deposition and selective removal in advanced patterning processes
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US6911399B2 (en) Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US8722547B2 (en) Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US20050085090A1 (en) Method for controlling accuracy and repeatability of an etch process
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
US5948703A (en) Method of soft-landing gate etching to prevent gate oxide damage
US20050048789A1 (en) Method for plasma etching a dielectric layer
US6855643B2 (en) Method for fabricating a gate structure
US20050064714A1 (en) Method for controlling critical dimensions during an etch process
KR100595090B1 (en) Improved techniques for etching with a photoresist mask
US20040206724A1 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
US20100003828A1 (en) Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
EP1422751A2 (en) Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
KR20090008130A (en) Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US20040132311A1 (en) Method of etching high-K dielectric materials
US20020132488A1 (en) Method of etching tantalum
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
US10229838B2 (en) Plasma etching method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MUI, DAVID;LIU, WEI;REEL/FRAME:019244/0540;SIGNING DATES FROM 20040827 TO 20040907

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION