US20080042219A1 - finFET Device - Google Patents

finFET Device Download PDF

Info

Publication number
US20080042219A1
US20080042219A1 US11/923,121 US92312107A US2008042219A1 US 20080042219 A1 US20080042219 A1 US 20080042219A1 US 92312107 A US92312107 A US 92312107A US 2008042219 A1 US2008042219 A1 US 2008042219A1
Authority
US
United States
Prior art keywords
design structure
substrate
fin
design
channel region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/923,121
Inventor
Roger Booth
William Hovis
Jack Mandelman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/923,121 priority Critical patent/US20080042219A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BOOTH, ROGER ALLEN, JR, HOVIS, WILLIAM PAUL, MANDELMAN, JACK ALLAN
Publication of US20080042219A1 publication Critical patent/US20080042219A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to the field of semiconductor devices; more specifically, it relates to finFETs, methods of fabricating finFETs and design structures for finFETs.
  • FinFET fin field-effect-transistor
  • FinFET structures comprise narrow isolated bars of silicon (fins) with a gate(s) on the sides of the fin.
  • Prior art finFET structures are formed on silicon-on-insulator (SOI) substrates.
  • SOI substrates silicon-on-insulator
  • finFETs fabricated on SOI substrates suffer from floating body effects.
  • the floating body of a finFET on an SOI substrate stores charge, which is a function of the history of the device.
  • floating body finFETs experience threshold voltages which are difficult to anticipate and control, and which vary in time.
  • the body charge storage effects result in dynamic sub-Vt leakage and Vt mismatch among geometrically identical adjacent devices.
  • FinFETs fabricated on bulk silicon substrates do not experience floating body effects, but they do experience greatly increased source/drain to substrate capacitance. Increased source-drain to substrate capacitance is a parasitic effect, which degrades performance (speed).
  • a first aspect of the present invention is a structure comprising: a finFET having a silicon body formed on a bulk silicon substrate; a body contact between the silicon body and the substrate; and first and second source/drains formed in the silicon body and insulated from the substrate by a dielectric layer under the fins.
  • a second aspect of the present invention is a structure, comprising: a single crystal silicon fin extending in a first direction parallel to a top surface of a bulk silicon substrate, the fin having a channel region between first and a second source/drains; an electrically conductive gate electrode extending in a second direction parallel to the top surface of the substrate and crossing over the channel region, the second direction different from the first direction; a gate dielectric between the gate electrode and the fin; at least a portion of the channel region of the fin in direct physical and electrical contact with the substrate; and a dielectric layer between at least a portion of the first source/drain and the substrate and between at least a portion of the second source/drain and the substrate.
  • a third aspect of the present invention is a method, comprising: forming a silicon fin on a top surface of a silicon substrate; forming a gate dielectric on opposite sidewalls of the fin; forming a gate electrode over a channel region of the fin, the gate electrode in direct physical contact with the gate dielectric layer on the opposite sidewalls of the fin; forming a first source/drain in the fin on a first side of the channel region and forming a second source/drain in the fin on a second side of the channel region; removing a portion of the substrate from under at least a portion of the first and second source/drains to create a void; and filling the void with a dielectric material.
  • FIGS. 1A through 1F are cross-sectional views illustrating initial steps in the fabrication of finFETs according to embodiments of the present invention
  • FIG. 2 is a three dimensional isometric view of the structure illustrated in FIG. 1F ;
  • FIG. 3 is a three dimensional isometric view of the structure illustrated in FIG. 2 after additional fabrication steps;
  • FIG. 4 is a top view and FIGS. 5A, 5B , 5 C and 5 D are cross-sectional views through respective lines 5 A- 5 A, 5 B- 5 B, 5 C- 5 C and 5 D- 5 D of the structure illustrated in FIG. 3 ;
  • FIG. 6 is a top view and FIGS. 7A, 7B , 7 C and 7 D are cross-sectional views through respective lines 7 A- 7 A, 7 B- 7 B, 7 C- 7 C and 7 D- 7 D of the structure illustrated in respective FIGS. 4, 5A , 5 B, 5 C and 5 D after additional processing;
  • FIG. 8 is a top view and FIGS. 9A, 9B , 9 C and 9 D are cross-sectional views through respective lines 9 A- 9 A, 9 B- 9 B, 9 C- 9 C and 9 D- 9 D of the structure illustrated in respective FIGS. 6, 7A , 7 B, 7 C and 7 D after additional processing;
  • FIG. 10 is a top view and FIGS. 11A, 11B , 11 C and 11 D are cross-sectional views through respective lines 11 A- 11 A, 11 B- 11 B, 11 C- 11 C and 11 D- 11 D of the structure illustrated in respective FIGS. 8, 9A , 9 B, 9 C and 9 D after additional processing; and
  • FIG. 12 is a flow diagram of a design process used in semiconductor design, manufacturing, and/or test.
  • FIGS. 1A through 1F are cross-sectional views illustrating initial steps in the fabrication of finFETs according to embodiments of the present invention.
  • a pad silicon oxide layer 105 formed on the pad oxide layer is a pad silicon nitride layer 110 .
  • a bulk silicon substrate is defined as a monolithic block of single-crystal-silicon.
  • STI dielectric shallow trench isolation
  • An optional dielectric liner 120 around the sides and bottom surfaces, but not the top surface, of STI 115 is shown.
  • STI 115 may be formed, by photolithographically defining openings in the pad silicon oxide 105 and silicon nitride 110 layers, etching (for example, by reactive ion etch (RIE)) a trench into substrate 100 where the substrate is not protected by the pad layers, backfilling the trenches with dielectric and performing a chemical-mechanical-polish (CMP) so a top surface of the STI is co-planar with a top surface of the pad silicon nitride layer.
  • RIE reactive ion etch
  • pad oxide layer 105 is formed by thermal oxidation of substrate 100 and between about 5 nm and about 20 nm thick.
  • pad silicon nitride layer 110 is formed by chemical-vapor-deposition (CVD) and is between about 50 nm and about 500 nm thick.
  • STI 115 comprises a CVD oxide such as tetraethoxysilane (TEOS) or high-density-plasma (HDP) oxide.
  • liner 120 comprises less than 50 nm of silicon oxide, silicon nitride or a dual layer of silicon oxide under silicon nitride.
  • STI 115 is between about 50 nm and about 500 nm thick.
  • Pad silicon nitride layer 110 is then stripped selective to oxide and STI 115 is planarized to be approximately flush with the top surface of pad oxide layer 105 .
  • an etch stop layer 125 is deposited over pad silicon oxide 110 , STI 115 and exposed edges of liner 120 if present, and a mandrel layer 130 is deposited over the etch stop layer.
  • etch stop layer comprises CVD silicon nitride and is between about 2 nm and about 10 nm thick.
  • mandrel layer 130 is CVD oxide described supra, and is between about 100 nm and about 500 nm thick. The thickness of mandrel layer determines the height of the silicon fin (above the current bulk silicon 100 /pad silicon oxide layer 125 interface) that will be formed subsequently.
  • a trench 135 is etched through mandrel layer 130 and etch stop layer 125 to expose substrate 100 in the bottom of the trench.
  • trench 135 has a width “W” of between about 20 and about 100 nm wide. The width “W” defines the width of the silicon fin (less any subsequent sidewall oxidations, if any) to be subsequently formed.
  • a single-crystal silicon fin 140 covered by a cap 145 is formed in trench 135 .
  • Fin 140 may be formed by selective epitaxial growth to above the top surface of mandrel layer 130 followed by planarization and a recess RIE.
  • the top of fin 140 is recessed between about 20 nm and about 100 nm below the top surface of mandrel layer 130 .
  • cap 145 may be formed by CVD deposition of silicon nitride of sufficient thickness top overfill the recess followed by a CMP so a top surface of cap 145 is coplanar with a top surface of mandrel 130 .
  • a polysilicon fin may be formed instead of a single-crystal silicon fin.
  • mandrel 130 is removed.
  • the mandrel is removed with an RIE selective to etch oxide faster than silicon nitride.
  • mandrel layer 130 may be removed by a wet etching process (i.e. aqueous hydrofluoric acid when mandrel 130 is a silicon oxide).
  • etch stop layer 125 is removed with a RIE selective to etch silicon nitride faster than silicon oxide, in which case cap 145 (see FIG. 1D ) is thinned to form cap 145 A.
  • a gate dielectric layer 150 is formed on the sidewalls of fin 140 .
  • gate dielectric 150 is a thermally grown silicon oxide, so a thin region of exposed substrate 100 is also oxidized.
  • gate dielectric 150 may be deposited.
  • gate dielectric 150 may be a high K (dielectric constant) material, examples of which include but are not limited metal oxides such as Ta 2 O 5 , BaTiO 3 , HfO 2 , ZrO 2 , Al 2 O 3 , or metal silicates such as HfSi x O y or HfSi x O y N z or combinations of layers thereof.
  • a high K dielectric material has a relative permittivity above about 10.
  • gate dielectric 150 is between about 0.5 nm and about 20 nm thick.
  • gate 155 is formed crossing over fin 140 and a capping layer 160 formed on the top (but not the sidewalls of the gate (see FIG. 2 ).
  • gate 155 comprises doped or undoped polysilicon or a highly silicided metal layer and is at least thick enough to cover the sidewalls of fin 140 .
  • capping layer 160 is silicon nitride and is between about 100 nm and about 500 nm thick.
  • FIG. 2 is a three dimensional isometric view of the structure illustrated in FIG. 1F .
  • gate 155 and capping layer cross fin 140 .
  • fin 140 and gate 155 are orthogonal to each other.
  • fin 140 and gate 155 may cross at an angle defined by a crystal plane of the fin.
  • gate 155 and capping layer 160 are formed by blanket CVD deposition of the gate, followed by a CMP, followed by blanket CVD deposition of the capping layer followed by a photolithographic and etch process to define the gate and capping layer.
  • FIG. 3 is a three dimensional isometric view of the structure illustrated in FIG. 2 after additional fabrication steps.
  • source/drains 180 are formed by ion implantation and then a first protective layer 165 is formed on the exposed sidewalls of fin 140 and gate 155 , a second protective layer 170 formed over first protective layer 165 on the sidewalls of gate 155 and a spacer 175 formed on top edges of first and second protective layers 165 and 170 adjacent to capping layer 160 .
  • Formation of first and second protective layers 165 and 170 and spacer 175 may be accomplished, in one example by:
  • FIG. 4 is a top view and FIGS. 5A, 5B , 5 C and 5 D are cross-sectional views through respective lines 5 A- 5 A, 5 B- 5 B, 5 C- 5 C and 5 D- 5 D of the structure illustrated in FIG. 3 .
  • FIGS. 5B, 5C and 5 D that the boundaries of source/drains 180 are indicated by the small-dash dashed lines.
  • FIGS. 5A and 5D the interface between substrate 100 and fin 140 is indicated by the large-dash dashed line even though this interface is not detectable since the fin was grown epitaxially. It is shown for reference purposes.
  • a channel region 185 exists under gate 155 in fin 140 .
  • FIG. 6 is a top view and FIGS. 7A, 7B , 7 C and 7 D are cross-sectional views through respective lines 7 A- 7 A, 7 B- 7 B, 7 C- 7 C and 7 D- 7 D of the structure illustrated in respective FIGS. 4, 5A , 5 B, 5 C and 5 D after additional processing.
  • FIGS. 7A and 7D are identical to respective FIGS. 5A and 5D .
  • a trench 7 C has been etched into substrate 100 a depth “D” using, for example, an RIE selective to etch silicon faster than silicon dioxide and silicon nitride wherever the substrate is exposed (see FIGS. 4, 5B and 5 C).
  • “D:” is between about 50 nm and about 250 nm. In one example, “D” is about one half the thickness of STI 115 (or the thickness of STI 115 and liner 120 , if liner 1120 is present). Fin 140 is protected from etching by cap 145 A, gate dielectric 150 and protective layer 165 while gate 155 is protected from etching by first and second protective layers 165 and 170 as well as cap 160 and spacers 175 .
  • FIG. 8 is a top view and FIGS. 9A, 9B , 9 C and 9 D are cross-sectional views through respective lines 9 A- 9 A, 9 B- 9 B, 9 C- 9 C and 9 D- 9 D of the structure illustrated in respective FIGS. 6, 7A , 7 B, 7 C and 7 D after additional processing.
  • FIG. 9A is identical with FIG. 7A .
  • 9B , 9 C and 9 D a wet etch of silicon has been performed to enlarge trench 190 (see, FIGS. 7B and 7C ) to form trench 190 A and undercut fin 140 in source/drains 180 leaving a pedestal 195 of silicon connecting fin 140 to substrate 100 in channel region 185 .
  • Pedestal 195 has an edge 200 indicated by the dashed line in FIG. 8 .
  • source/drain regions 180 may be completely or partially undercut and the cross-sectional area of pedestal 195 may vary.
  • channel region 185 is partially undercut and the source/drains (not shown in FIG. 9D ) are completely undercut and not present in FIG. 9D .
  • a portion of substrate 100 and fin 140 is removed in the undercutting process.
  • the undercutting may be performed isotropically, for example, by wet etching in a mixture of nitric and hydrofluoric acids or by RIE using CF 4 or SF 4 .
  • the undercutting may be performed an-isotropically by wet etching in an aqueous or alcoholic solution of a strong base such as potassium hydroxide or tetrametylammonium hydroxide which etches the [001] crystal plane of silicon faster than the [001] crystal plane.
  • Pedestal 195 provides an electrically conductive body contact between channel region 185 and substrate 100 , effectively eliminating floating body effects.
  • FIG. 10 is a top view and FIGS. 11A, 11B , 11 C and 11 D are cross-sectional views through respective lines 11 A- 11 A, 11 B- 11 B, 11 C- 11 C and 11 D- 1 D of the structure illustrated in respective FIGS. 8, 9A , 9 B, 9 C and 9 D after additional processing.
  • 11A , 11 B, 11 C and 11 D a dielectric layer 205 is deposited, filling (shown) or partially filling (not shown) the undercut regions of trench 190 A.
  • a top surface of dielectric layer 205 is coplanar with a top surface of capping layer 160 .
  • dielectric layer 205 is formed by conformal CVD oxide deposition (such as TEOS or HDP) followed by a CMP. It is permissible not to completely fill undercut regions 190 A and leave voids because the remainder of dielectric layer 205 will seal any voids.
  • Contacts may be formed to the finFET by forming contact via holes through dielectric 205 and capping layers 145 A and 160 to source-drains 180 and gate 155 , filling the via holes with metal (e.g. barrier liner and tungsten) and performing a CMP.
  • metal e.g. barrier liner and tungsten
  • standard processing including formation of levels of wiring and intervening dielectric layers are formed through completion of an integrated circuit chip containing finFET devices according to embodiments of the present invention.
  • FIG. 12 is a flow diagram of a design process used in semiconductor design, manufacturing, and/or test.
  • a design flow 300 may vary depending on the type of IC being designed.
  • a design flow 300 for building an application specific IC (ASIC) may differ from a design flow 300 for designing a standard component.
  • Design structure 320 is preferably an input to a design process 310 and may come from an IP provider, a core developer, or other design company or may be generated by the operator of the design flow, or from other sources.
  • Design structure 320 comprises circuit 100 in the form of schematics or HDL, a hardware-description language (e.g., Verilog, VHDL, C, etc.).
  • Design structure 320 may be contained on one or more machine readable medium.
  • design structure 320 may be a text file or a graphical representation of circuit 100 .
  • Design process 310 preferably synthesizes (or translates) circuit 100 into a netlist 380 , where netlist 380 is, for example, a list of wires, transistors, logic gates, control circuits, I/O, models, etc. that describes the connections to other elements and circuits in an integrated circuit design and recorded on at least one of machine readable medium. This may be an iterative process in which netlist 380 is re-synthesized one or more times depending on design specifications and parameters for the circuit.
  • Design process 310 may include using a variety of inputs; for example, inputs from library elements 330 which may house a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 30 nm, etc.), design specifications 340 , characterization data 350 , verification data 360 , design rules 370 , and test data files 385 (which may include test patterns and other testing information). Design process 310 may further include, for example, standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc.
  • One of ordinary skill in the art of integrated circuit design can appreciate the extent of possible electronic design automation tools and applications used in design process 310 without deviating from the scope and spirit of the invention.
  • the design structure of the invention is not limited to any specific design flow.
  • design process 310 preferably translates the structure illustrated in FIGS. 10, 11A , 11 B, 11 C and 11 D, along with the rest of the integrated circuit design into a final design structure 330 (e.g., information stored in a GDS storage medium).
  • Final design structure 330 may comprise information such as, for example, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, test data, data for routing through the manufacturing line, and any other data required by a semiconductor manufacturer to produce the finFET of FIGS. 10, 11A , 11 B, 11 C and 11 D.
  • Final design structure 330 may then proceed to a stage 335 where, for example, final design structure 330 : proceeds to tape-out, is released to manufacturing, is sent to another design house or is sent back to the customer.
  • the embodiments of the present invention provide finFET, a method of fabricating finFET and a design structure of a finFET without floating body effects and with reduced parasitic capacitance.

Abstract

A finFET, a method of fabricating the finFET and a design structure of the finFET. The method includes: forming a silicon fin on a top surface of a silicon substrate; forming a gate dielectric on opposite sidewalls of the fin; forming a gate electrode over a channel region of the fin, the gate electrode in direct physical contact with the gate dielectric layer on the opposite sidewalls of the fin; forming a first source/drain in the fin on a first side of the channel region and forming a second source/drain in the fin on a second side of the channel region; removing a portion of the substrate from under at least a portion of the first and second source/drains to create a void; and filling the void with a dielectric material. The finFET includes a body contact between the silicon body of the finFET and the substrate.

Description

  • This Application is a continuation-in-part and claims priority of copending U.S. patent application Ser. No. 11/427,486 filed on Jun. 29, 2006.
  • FIELD OF THE INVENTION
  • The present invention relates to the field of semiconductor devices; more specifically, it relates to finFETs, methods of fabricating finFETs and design structures for finFETs.
  • BACKGROUND OF THE INVENTION
  • FinFET (fin field-effect-transistor) is an emerging technology, which allows smaller and higher performance devices. FinFET structures comprise narrow isolated bars of silicon (fins) with a gate(s) on the sides of the fin. Prior art finFET structures are formed on silicon-on-insulator (SOI) substrates. However, finFETs fabricated on SOI substrates suffer from floating body effects. The floating body of a finFET on an SOI substrate stores charge, which is a function of the history of the device. As such, floating body finFETs experience threshold voltages which are difficult to anticipate and control, and which vary in time. The body charge storage effects result in dynamic sub-Vt leakage and Vt mismatch among geometrically identical adjacent devices. FinFETs fabricated on bulk silicon substrates do not experience floating body effects, but they do experience greatly increased source/drain to substrate capacitance. Increased source-drain to substrate capacitance is a parasitic effect, which degrades performance (speed).
  • Therefore, there is a need for finFET devices and methods of fabricating finFET devices without floating body effects and with reduced parasitic capacitance.
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a structure comprising: a finFET having a silicon body formed on a bulk silicon substrate; a body contact between the silicon body and the substrate; and first and second source/drains formed in the silicon body and insulated from the substrate by a dielectric layer under the fins.
  • A second aspect of the present invention is a structure, comprising: a single crystal silicon fin extending in a first direction parallel to a top surface of a bulk silicon substrate, the fin having a channel region between first and a second source/drains; an electrically conductive gate electrode extending in a second direction parallel to the top surface of the substrate and crossing over the channel region, the second direction different from the first direction; a gate dielectric between the gate electrode and the fin; at least a portion of the channel region of the fin in direct physical and electrical contact with the substrate; and a dielectric layer between at least a portion of the first source/drain and the substrate and between at least a portion of the second source/drain and the substrate.
  • A third aspect of the present invention is a method, comprising: forming a silicon fin on a top surface of a silicon substrate; forming a gate dielectric on opposite sidewalls of the fin; forming a gate electrode over a channel region of the fin, the gate electrode in direct physical contact with the gate dielectric layer on the opposite sidewalls of the fin; forming a first source/drain in the fin on a first side of the channel region and forming a second source/drain in the fin on a second side of the channel region; removing a portion of the substrate from under at least a portion of the first and second source/drains to create a void; and filling the void with a dielectric material.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
  • FIGS. 1A through 1F are cross-sectional views illustrating initial steps in the fabrication of finFETs according to embodiments of the present invention;
  • FIG. 2 is a three dimensional isometric view of the structure illustrated in FIG. 1F;
  • FIG. 3 is a three dimensional isometric view of the structure illustrated in FIG. 2 after additional fabrication steps;
  • FIG. 4 is a top view and FIGS. 5A, 5B, 5C and 5D are cross-sectional views through respective lines 5A-5A, 5B-5B, 5C-5C and 5D-5D of the structure illustrated in FIG. 3;
  • FIG. 6 is a top view and FIGS. 7A, 7B, 7C and 7D are cross-sectional views through respective lines 7A-7A, 7B-7B, 7C-7C and 7D-7D of the structure illustrated in respective FIGS. 4, 5A, 5B, 5C and 5D after additional processing;
  • FIG. 8 is a top view and FIGS. 9A, 9B, 9C and 9D are cross-sectional views through respective lines 9A-9A, 9B-9B, 9C-9C and 9D-9D of the structure illustrated in respective FIGS. 6, 7A, 7B, 7C and 7D after additional processing;
  • FIG. 10 is a top view and FIGS. 11A, 11B, 11C and 11D are cross-sectional views through respective lines 11A-11A, 11B-11B, 11C-11C and 11D-11D of the structure illustrated in respective FIGS. 8, 9A, 9B, 9C and 9D after additional processing; and
  • FIG. 12 is a flow diagram of a design process used in semiconductor design, manufacturing, and/or test.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIGS. 1A through 1F are cross-sectional views illustrating initial steps in the fabrication of finFETs according to embodiments of the present invention. In FIG. 1A, formed on a bulk silicon substrate 100 is a pad silicon oxide layer 105 and formed on the pad oxide layer is a pad silicon nitride layer 110. A bulk silicon substrate is defined as a monolithic block of single-crystal-silicon. Formed through pad silicon oxide layer 105 and pad silicon nitride layer 110 is a dielectric shallow trench isolation (STI) 115. An optional dielectric liner 120 around the sides and bottom surfaces, but not the top surface, of STI 115 is shown. STI 115 may be formed, by photolithographically defining openings in the pad silicon oxide 105 and silicon nitride 110 layers, etching (for example, by reactive ion etch (RIE)) a trench into substrate 100 where the substrate is not protected by the pad layers, backfilling the trenches with dielectric and performing a chemical-mechanical-polish (CMP) so a top surface of the STI is co-planar with a top surface of the pad silicon nitride layer.
  • In one example, pad oxide layer 105 is formed by thermal oxidation of substrate 100 and between about 5 nm and about 20 nm thick. In one example, pad silicon nitride layer 110 is formed by chemical-vapor-deposition (CVD) and is between about 50 nm and about 500 nm thick. In one example, STI 115 comprises a CVD oxide such as tetraethoxysilane (TEOS) or high-density-plasma (HDP) oxide. In one example, liner 120 comprises less than 50 nm of silicon oxide, silicon nitride or a dual layer of silicon oxide under silicon nitride. In one example, STI 115 is between about 50 nm and about 500 nm thick. Pad silicon nitride layer 110 is then stripped selective to oxide and STI 115 is planarized to be approximately flush with the top surface of pad oxide layer 105.
  • In FIG. 1B, an etch stop layer 125 is deposited over pad silicon oxide 110, STI 115 and exposed edges of liner 120 if present, and a mandrel layer 130 is deposited over the etch stop layer. In one example, etch stop layer comprises CVD silicon nitride and is between about 2 nm and about 10 nm thick. In one example, mandrel layer 130 is CVD oxide described supra, and is between about 100 nm and about 500 nm thick. The thickness of mandrel layer determines the height of the silicon fin (above the current bulk silicon 100/pad silicon oxide layer 125 interface) that will be formed subsequently.
  • In FIG. 1C, a trench 135 is etched through mandrel layer 130 and etch stop layer 125 to expose substrate 100 in the bottom of the trench. In one example, trench 135 has a width “W” of between about 20 and about 100 nm wide. The width “W” defines the width of the silicon fin (less any subsequent sidewall oxidations, if any) to be subsequently formed.
  • In FIG. 1D, a single-crystal silicon fin 140 covered by a cap 145 is formed in trench 135. Fin 140 may be formed by selective epitaxial growth to above the top surface of mandrel layer 130 followed by planarization and a recess RIE. In one example, the top of fin 140 is recessed between about 20 nm and about 100 nm below the top surface of mandrel layer 130. In one example, cap 145 may be formed by CVD deposition of silicon nitride of sufficient thickness top overfill the recess followed by a CMP so a top surface of cap 145 is coplanar with a top surface of mandrel 130. Alternatively, a polysilicon fin may be formed instead of a single-crystal silicon fin.
  • In FIG. 1E, mandrel 130 (see FIG. 1D) is removed. In one example, when mandrel layer 130 is oxide and cap 145 and etch stop layer 125 are silicon nitride, the mandrel is removed with an RIE selective to etch oxide faster than silicon nitride. Alternatively, mandrel layer 130 may be removed by a wet etching process (i.e. aqueous hydrofluoric acid when mandrel 130 is a silicon oxide). Then etch stop layer 125 is removed with a RIE selective to etch silicon nitride faster than silicon oxide, in which case cap 145 (see FIG. 1D) is thinned to form cap 145A.
  • In FIG. 1F, a gate dielectric layer 150 is formed on the sidewalls of fin 140. In the present example, gate dielectric 150 is a thermally grown silicon oxide, so a thin region of exposed substrate 100 is also oxidized. Alternatively, gate dielectric 150 may be deposited. In the example of a deposited gate dielectric, gate dielectric 150 may be a high K (dielectric constant) material, examples of which include but are not limited metal oxides such as Ta2O5, BaTiO3, HfO2, ZrO2, Al2O3, or metal silicates such as HfSixOy or HfSixOyNz or combinations of layers thereof. A high K dielectric material has a relative permittivity above about 10. In one example, gate dielectric 150 is between about 0.5 nm and about 20 nm thick.
  • Next a gate 155 is formed crossing over fin 140 and a capping layer 160 formed on the top (but not the sidewalls of the gate (see FIG. 2). In one example, gate 155 comprises doped or undoped polysilicon or a highly silicided metal layer and is at least thick enough to cover the sidewalls of fin 140. In one example, capping layer 160 is silicon nitride and is between about 100 nm and about 500 nm thick.
  • FIG. 2 is a three dimensional isometric view of the structure illustrated in FIG. 1F. In FIG. 2, gate 155 and capping layer cross fin 140. In one example, fin 140 and gate 155 are orthogonal to each other. In one example, fin 140 and gate 155 may cross at an angle defined by a crystal plane of the fin. In one example, gate 155 and capping layer 160 are formed by blanket CVD deposition of the gate, followed by a CMP, followed by blanket CVD deposition of the capping layer followed by a photolithographic and etch process to define the gate and capping layer.
  • FIG. 3 is a three dimensional isometric view of the structure illustrated in FIG. 2 after additional fabrication steps. In FIG. 3, source/drains 180 are formed by ion implantation and then a first protective layer 165 is formed on the exposed sidewalls of fin 140 and gate 155, a second protective layer 170 formed over first protective layer 165 on the sidewalls of gate 155 and a spacer 175 formed on top edges of first and second protective layers 165 and 170 adjacent to capping layer 160. Formation of first and second protective layers 165 and 170 and spacer 175 may be accomplished, in one example by:
  • performing a blanket CVD deposition of silicon nitride to form a blanket of layer first protective layer 165;
  • (2) performing a blanket deposition of a CVD oxide (as described supra) to form a blanket layer of second protective layer 170 over the blanket of layer first protective layer 165;
  • (3) performing a CMP of the CVD oxide to expose capping layer 160;
  • (4) performing a RIE recess etch to recess the CVD oxide below the top surface of capping layer 160;
  • (5) performing a blanket CVD silicon nitride deposition followed by a spacer RIE to form spacers 175; and
  • (6) performing a RIE to remove all CVD oxide not protected by spacers 175.
  • FIG. 4 is a top view and FIGS. 5A, 5B, 5C and 5D are cross-sectional views through respective lines 5A-5A, 5B-5B, 5C-5C and 5D-5D of the structure illustrated in FIG. 3. It should be noted in FIGS. 5B, 5C and 5D that the boundaries of source/drains 180 are indicated by the small-dash dashed lines. In FIGS. 5A and 5D, the interface between substrate 100 and fin 140 is indicated by the large-dash dashed line even though this interface is not detectable since the fin was grown epitaxially. It is shown for reference purposes. Also in FIGS. 5A and 5D, a channel region 185 exists under gate 155 in fin 140.
  • FIG. 6 is a top view and FIGS. 7A, 7B, 7C and 7D are cross-sectional views through respective lines 7A-7A, 7B-7B, 7C-7C and 7D-7D of the structure illustrated in respective FIGS. 4, 5A, 5B, 5C and 5D after additional processing. FIGS. 7A and 7D are identical to respective FIGS. 5A and 5D. In FIGS. 6, 7B and 7C a trench 7C has been etched into substrate 100 a depth “D” using, for example, an RIE selective to etch silicon faster than silicon dioxide and silicon nitride wherever the substrate is exposed (see FIGS. 4, 5B and 5C). In one example “D:” is between about 50 nm and about 250 nm. In one example, “D” is about one half the thickness of STI 115 (or the thickness of STI 115 and liner 120, if liner 1120 is present). Fin 140 is protected from etching by cap 145A, gate dielectric 150 and protective layer 165 while gate 155 is protected from etching by first and second protective layers 165 and 170 as well as cap 160 and spacers 175.
  • FIG. 8 is a top view and FIGS. 9A, 9B, 9C and 9D are cross-sectional views through respective lines 9A-9A, 9B-9B, 9C-9C and 9D-9D of the structure illustrated in respective FIGS. 6, 7A, 7B, 7C and 7D after additional processing. FIG. 9A is identical with FIG. 7A. In FIGS. 8, 9B, 9C and 9D a wet etch of silicon has been performed to enlarge trench 190 (see, FIGS. 7B and 7C) to form trench 190A and undercut fin 140 in source/drains 180 leaving a pedestal 195 of silicon connecting fin 140 to substrate 100 in channel region 185. Pedestal 195 has an edge 200 indicated by the dashed line in FIG. 8. Depending upon the amount of undercutting, source/drain regions 180 may be completely or partially undercut and the cross-sectional area of pedestal 195 may vary. There may or may not be undercutting of channel region 185. As an example, channel region 185 is partially undercut and the source/drains (not shown in FIG. 9D) are completely undercut and not present in FIG. 9D. A portion of substrate 100 and fin 140 is removed in the undercutting process. The undercutting may be performed isotropically, for example, by wet etching in a mixture of nitric and hydrofluoric acids or by RIE using CF4 or SF4. Alternatively, the undercutting may be performed an-isotropically by wet etching in an aqueous or alcoholic solution of a strong base such as potassium hydroxide or tetrametylammonium hydroxide which etches the [001] crystal plane of silicon faster than the [001] crystal plane. Pedestal 195 provides an electrically conductive body contact between channel region 185 and substrate 100, effectively eliminating floating body effects.
  • FIG. 10 is a top view and FIGS. 11A, 11B, 11C and 11D are cross-sectional views through respective lines 11A-11A, 11B-11B, 11C-11C and 11D-1D of the structure illustrated in respective FIGS. 8, 9A, 9B, 9C and 9D after additional processing. In FIGS. 10, 11A, 11B, 11C and 11D a dielectric layer 205 is deposited, filling (shown) or partially filling (not shown) the undercut regions of trench 190A. A top surface of dielectric layer 205 is coplanar with a top surface of capping layer 160. In one example, dielectric layer 205 is formed by conformal CVD oxide deposition (such as TEOS or HDP) followed by a CMP. It is permissible not to completely fill undercut regions 190A and leave voids because the remainder of dielectric layer 205 will seal any voids. The distance “T” between fin 140 and substrate 100 under source/drains 180 (see FIG. 11D) whether completely filled or containing voids, greatly reduces parasitic capacitance between the fin and the substrate. In one example, “T” is between about 50 nm and about 250 nm.
  • Contacts (not shown, but well known in the art) may be formed to the finFET by forming contact via holes through dielectric 205 and capping layers 145A and 160 to source-drains 180 and gate 155, filling the via holes with metal (e.g. barrier liner and tungsten) and performing a CMP. Next, standard processing including formation of levels of wiring and intervening dielectric layers are formed through completion of an integrated circuit chip containing finFET devices according to embodiments of the present invention.
  • FIG. 12 is a flow diagram of a design process used in semiconductor design, manufacturing, and/or test. In FIG. 12, a design flow 300 may vary depending on the type of IC being designed. For example, a design flow 300 for building an application specific IC (ASIC) may differ from a design flow 300 for designing a standard component. Design structure 320 is preferably an input to a design process 310 and may come from an IP provider, a core developer, or other design company or may be generated by the operator of the design flow, or from other sources. Design structure 320 comprises circuit 100 in the form of schematics or HDL, a hardware-description language (e.g., Verilog, VHDL, C, etc.). Design structure 320 may be contained on one or more machine readable medium. For example, design structure 320 may be a text file or a graphical representation of circuit 100. Design process 310 preferably synthesizes (or translates) circuit 100 into a netlist 380, where netlist 380 is, for example, a list of wires, transistors, logic gates, control circuits, I/O, models, etc. that describes the connections to other elements and circuits in an integrated circuit design and recorded on at least one of machine readable medium. This may be an iterative process in which netlist 380 is re-synthesized one or more times depending on design specifications and parameters for the circuit.
  • Design process 310 may include using a variety of inputs; for example, inputs from library elements 330 which may house a set of commonly used elements, circuits, and devices, including models, layouts, and symbolic representations, for a given manufacturing technology (e.g., different technology nodes, 32 nm, 45 nm, 30 nm, etc.), design specifications 340, characterization data 350, verification data 360, design rules 370, and test data files 385 (which may include test patterns and other testing information). Design process 310 may further include, for example, standard circuit design processes such as timing analysis, verification, design rule checking, place and route operations, etc. One of ordinary skill in the art of integrated circuit design can appreciate the extent of possible electronic design automation tools and applications used in design process 310 without deviating from the scope and spirit of the invention. The design structure of the invention is not limited to any specific design flow.
  • Ultimately, design process 310 preferably translates the structure illustrated in FIGS. 10, 11A, 11B, 11C and 11D, along with the rest of the integrated circuit design into a final design structure 330 (e.g., information stored in a GDS storage medium). Final design structure 330 may comprise information such as, for example, test data files, design content files, manufacturing data, layout parameters, wires, levels of metal, vias, shapes, test data, data for routing through the manufacturing line, and any other data required by a semiconductor manufacturer to produce the finFET of FIGS. 10, 11A, 11B, 11C and 11D. Final design structure 330 may then proceed to a stage 335 where, for example, final design structure 330: proceeds to tape-out, is released to manufacturing, is sent to another design house or is sent back to the customer.
  • Thus, the embodiments of the present invention provide finFET, a method of fabricating finFET and a design structure of a finFET without floating body effects and with reduced parasitic capacitance.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims (18)

1. A design structure embodied in a machine readable medium used in a design process, the design structure comprising:
a structure comprising:
a finFET having a semiconductor body formed on a substrate;
a body contact between said body and said substrate; and
first and second source/drains formed in said body and insulated from said substrate by a dielectric layer under said fins.
2. The design structure of claim 1, wherein said body comprises single-crystal silicon or polysilicon and said substrate comprises single-crystal silicon.
3. The design structure of claim 1, wherein said body comprises an epitaxial layer on said substrate.
4. The design structure of claim 1, wherein said dielectric layer extends below a top surface of said substrate into said substrate.
5. The design structure of claim 1, wherein said body contact comprises a pedestal of said substrate contacting a channel region of said finFET, said channel region between said first and second source/drains and under a gate electrode of said finFET.
6. The design structure of claim 1, wherein the design structure comprises a netlist, which describes the circuit.
7. The design structure of claim 1, wherein the design structure resides on a GDS storage medium.
8. The design structure of claim 1, wherein the design structure includes at least one of test data files, characterization data, verification data, or design specifications.
9. A design structure embodied in a machine readable medium used in a design process, the design structure comprising:
a structure comprising:
a semiconductor fin extending in a first direction parallel to a top surface of a substrate, said fin having a channel region between first and a second source/drains;
an electrically conductive gate electrode extending in a second direction parallel to said top surface of said substrate and crossing over said channel region, said second direction different from said first direction;
a gate dielectric between said gate electrode and said fin;
at least a portion of said channel region of said fin in direct physical and electrical contact with said substrate; and
a dielectric layer and between at least a portion of said first source/drain and said substrate and between at least a portion of said second source/drain and said substrate.
10. The design structure of claim 9, wherein said dielectric layer extends under a portion of said channel region.
11. The design structure of claim 9, wherein said gate dielectric is formed on opposite sides of said fin and said gate electrode is in direct physical contact with said gate dielectric on said opposite sides of said fin and passes over a top surface of said fin.
12. The design structure of claim 9, further including voids in said dielectric layer.
13. The design structure of claim 9, wherein said dielectric layer extends below said top surface of said substrate into said substrate.
14. The design structure of claim 9, wherein said dielectric layer extends above a bottom surface of said fin into said fin,
15. The design structure of claim 9, wherein a bottom surface of said fin is in direct physical and electrical contact with said top surface of said substrate.
16. The design structure of claim 9, wherein the design structure comprises a netlist, which describes the circuit.
17. The design structure of claim 9, wherein the design structure resides on a GDS storage medium.
18. The design structure of claim 9, wherein the design structure includes at least one of test data files, characterization data, verification data, or design specifications.
US11/923,121 2006-06-29 2007-10-24 finFET Device Abandoned US20080042219A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/923,121 US20080042219A1 (en) 2006-06-29 2007-10-24 finFET Device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/427,486 US7517764B2 (en) 2006-06-29 2006-06-29 Bulk FinFET device
US11/923,121 US20080042219A1 (en) 2006-06-29 2007-10-24 finFET Device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/427,486 Continuation-In-Part US7517764B2 (en) 2006-06-29 2006-06-29 Bulk FinFET device

Publications (1)

Publication Number Publication Date
US20080042219A1 true US20080042219A1 (en) 2008-02-21

Family

ID=38875688

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/427,486 Active 2027-01-11 US7517764B2 (en) 2006-06-29 2006-06-29 Bulk FinFET device
US11/923,121 Abandoned US20080042219A1 (en) 2006-06-29 2007-10-24 finFET Device
US12/028,916 Active 2026-07-11 US7667248B2 (en) 2006-06-29 2008-02-11 Bulk FinFET device
US12/133,440 Active 2027-02-07 US7863122B2 (en) 2006-06-29 2008-06-05 Bulk FinFET device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/427,486 Active 2027-01-11 US7517764B2 (en) 2006-06-29 2006-06-29 Bulk FinFET device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US12/028,916 Active 2026-07-11 US7667248B2 (en) 2006-06-29 2008-02-11 Bulk FinFET device
US12/133,440 Active 2027-02-07 US7863122B2 (en) 2006-06-29 2008-06-05 Bulk FinFET device

Country Status (4)

Country Link
US (4) US7517764B2 (en)
JP (2) JP5259990B2 (en)
CN (1) CN101097956B (en)
TW (1) TWI399856B (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090218597A1 (en) * 2008-02-29 2009-09-03 Jinping Liu Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US20100155842A1 (en) * 2008-12-23 2010-06-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US20110079855A1 (en) * 2009-10-06 2011-04-07 International Business Machines Corporation Merged finfets and method of manufacturing the same
US20110316080A1 (en) * 2009-12-30 2011-12-29 Institute of Microelectronics, Chinese Academy of Sciences Fin transistor structure and method of fabricating the same
US20120142182A1 (en) * 2006-01-31 2012-06-07 International Business Machines Corporation Microelectronic structure by selective deposition
US8643108B2 (en) 2011-08-19 2014-02-04 Altera Corporation Buffered finFET device
US8716156B1 (en) 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9324841B2 (en) 2013-10-09 2016-04-26 Globalfoundries Inc. Methods for preventing oxidation damage during FinFET fabrication
CN106298522A (en) * 2015-05-20 2017-01-04 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9620360B1 (en) * 2015-11-27 2017-04-11 International Business Machines Corporation Fabrication of semiconductor junctions
US10109717B2 (en) 2016-11-09 2018-10-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7384838B2 (en) * 2005-09-13 2008-06-10 International Business Machines Corporation Semiconductor FinFET structures with encapsulated gate electrodes and methods for forming such semiconductor FinFET structures
US7663185B2 (en) * 2006-05-27 2010-02-16 Taiwan Semiconductor Manufacturing Co, Ltd FIN-FET device structure formed employing bulk semiconductor substrate
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7648915B2 (en) * 2007-01-12 2010-01-19 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of recessing materials within openings
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
DE102008030864B4 (en) * 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device as a double-gate and tri-gate transistor, which are constructed on a solid substrate and method for producing the transistor
KR101168468B1 (en) * 2008-07-14 2012-07-26 에스케이하이닉스 주식회사 Method for fabricating semiconductor device
US8153493B2 (en) * 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8124507B2 (en) * 2009-06-24 2012-02-28 Panasonic Corporation Semiconductor device and method for fabricating the same
CN101995713B (en) * 2009-08-21 2012-08-01 北京京东方光电科技有限公司 TFT-LCD array substrate and manufacturing method thereof
JP2011066362A (en) * 2009-09-18 2011-03-31 Toshiba Corp Semiconductor device
US8101486B2 (en) 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
US8445340B2 (en) * 2009-11-19 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial offset protection film for a FinFET device
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
CN102157554A (en) * 2010-02-12 2011-08-17 中国科学院微电子研究所 Fin-type transistor structure and manufacturing method thereof
US8174055B2 (en) * 2010-02-17 2012-05-08 Globalfoundries Inc. Formation of FinFET gate spacer
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
CN101986435B (en) * 2010-06-25 2012-12-19 中国科学院上海微系统与信息技术研究所 Manufacturing method of metal oxide semiconductor (MOS) device structure for preventing floating body and self-heating effect
WO2012015022A1 (en) * 2010-07-30 2012-02-02 京セラ株式会社 Composite substrate, electronic component, method for producing composite substrate, and method for manufacturing electronic component
CN102456734B (en) * 2010-10-29 2015-06-10 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
CN102569074B (en) * 2010-12-08 2014-07-02 中国科学院微电子研究所 Surrounding-gate field effect transistor fabrication method
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
JP5325932B2 (en) 2011-05-27 2013-10-23 株式会社東芝 Semiconductor device and manufacturing method thereof
CN102842595B (en) * 2011-06-20 2015-12-02 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
KR20140049075A (en) 2011-09-30 2014-04-24 인텔 코오퍼레이션 Capping dielectric structure for transistor gates
US9580776B2 (en) 2011-09-30 2017-02-28 Intel Corporation Tungsten gates for non-planar transistors
KR101780916B1 (en) 2011-09-30 2017-09-21 인텔 코포레이션 Integrated circuit structure and method for fabricating thereof
US8981435B2 (en) 2011-10-01 2015-03-17 Intel Corporation Source/drain contacts for non-planar transistors
US9087915B2 (en) 2011-12-06 2015-07-21 Intel Corporation Interlayer dielectric for non-planar transistors
CN103165428B (en) * 2011-12-14 2015-12-09 中芯国际集成电路制造(上海)有限公司 Make the method for semiconductor device
DE112011105979B4 (en) 2011-12-20 2022-09-15 Intel Corporation Semiconductor device with isolated semiconductor body parts and manufacturing method
CN103177963B (en) * 2011-12-21 2016-02-17 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of FinFET
US9117877B2 (en) 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8659097B2 (en) * 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US8466012B1 (en) 2012-02-01 2013-06-18 International Business Machines Corporation Bulk FinFET and SOI FinFET hybrid technology
CN103311111B (en) * 2012-03-16 2015-12-16 中芯国际集成电路制造(上海)有限公司 The formation method of fin transistor
CN103367147A (en) * 2012-03-29 2013-10-23 中芯国际集成电路制造(上海)有限公司 Manufacturing method of fin type semiconductor device
CN103378005B (en) * 2012-04-23 2016-04-20 中芯国际集成电路制造(上海)有限公司 The manufacture method of multiple gate field effect transistor fin structure
US8853750B2 (en) 2012-04-27 2014-10-07 International Business Machines Corporation FinFET with enhanced embedded stressor
US8921218B2 (en) 2012-05-18 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate finFET device and method of fabricating thereof
US8470714B1 (en) * 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
CN102683418B (en) * 2012-05-22 2014-11-26 清华大学 FINFET dynamic random access memory unit and processing method thereof
US9018713B2 (en) 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US9024387B2 (en) 2012-06-25 2015-05-05 International Business Machines Corporation FinFET with body contact
CN103515234B (en) * 2012-06-25 2016-12-21 中芯国际集成电路制造(上海)有限公司 The method forming FinFET
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8741701B2 (en) 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
US8993402B2 (en) * 2012-08-16 2015-03-31 International Business Machines Corporation Method of manufacturing a body-contacted SOI FINFET
CN103594497A (en) * 2012-08-16 2014-02-19 中国科学院微电子研究所 Semiconductor device and making method thereof
CN103681331B (en) * 2012-09-10 2016-06-29 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof
US9240352B2 (en) 2012-10-24 2016-01-19 Globalfoundries Inc. Bulk finFET well contacts with fin pattern uniformity
US9263585B2 (en) 2012-10-30 2016-02-16 Globalfoundries Inc. Methods of forming enhanced mobility channel regions on 3D semiconductor devices, and devices comprising same
US8697536B1 (en) 2012-11-27 2014-04-15 International Business Machines Corporation Locally isolated protected bulk finfet semiconductor device
CN103855026B (en) * 2012-12-06 2017-04-19 中国科学院微电子研究所 Finfet and manufacturing method thereof
US9362406B2 (en) * 2012-12-12 2016-06-07 Taiwan Semiconductor Manufacturing Company Limited Faceted finFET
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8940602B2 (en) 2013-04-11 2015-01-27 International Business Machines Corporation Self-aligned structure for bulk FinFET
US8912609B2 (en) 2013-05-08 2014-12-16 International Business Machines Corporation Low extension resistance III-V compound fin field effect transistor
US8816428B1 (en) * 2013-05-30 2014-08-26 International Business Machines Corporation Multigate device isolation on bulk semiconductors
US20140374838A1 (en) * 2013-06-21 2014-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with Nitride Liners and Methods of Forming the Same
US9385233B2 (en) 2013-06-26 2016-07-05 Globalfoundries Inc. Bulk finFET with partial dielectric isolation featuring a punch-through stopping layer under the oxide
CN104282571B (en) * 2013-07-09 2016-12-28 中国科学院微电子研究所 Fin FET and manufacture method thereof
CN104425346A (en) * 2013-09-10 2015-03-18 中国科学院微电子研究所 Manufacturing method for fin on insulator
US9093561B2 (en) * 2013-11-21 2015-07-28 GlobalFoundries, Inc. Modified, etch-resistant gate structure(s) facilitating circuit fabrication
KR102145262B1 (en) 2013-12-16 2020-08-18 인텔 코포레이션 Dual strained cladding layers for semiconductor devices
US9224841B2 (en) 2014-01-23 2015-12-29 Globalfoundries Inc. Semiconductor fins on a trench isolation region in a bulk semiconductor substrate and a method of forming the semiconductor fins
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9570554B2 (en) 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
US9093478B1 (en) 2014-04-11 2015-07-28 International Business Machines Corporation Integrated circuit structure with bulk silicon FinFET and methods of forming
TWI538108B (en) * 2014-05-08 2016-06-11 林崇榮 Non-volatile memory with resistive element and associated manufacturing method
CN105097533B (en) * 2014-05-12 2018-06-29 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9548358B2 (en) 2014-05-19 2017-01-17 International Business Machines Corporation Dual fill silicon-on-nothing field effect transistor
US9312364B2 (en) * 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
US20150372107A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Semiconductor devices having fins, and methods of forming semiconductor devices having fins
US10347766B2 (en) * 2014-09-02 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
KR102150942B1 (en) 2014-12-01 2020-09-03 삼성전자주식회사 Semiconductor device including fin FET
TWI682466B (en) * 2015-01-28 2020-01-11 聯華電子股份有限公司 Manufacturing method of an oxide layer, manufacturing method of a semiconductor structure using the same and semiconductor structure manufactured thereby
US9525036B2 (en) 2015-03-19 2016-12-20 Samsung Electronics Co., Ltd. Semiconductor device having gate electrode with spacers on fin structure and silicide layer filling the recess
CN105633157B (en) * 2015-03-31 2019-07-30 中国科学院微电子研究所 Semiconductor devices and its manufacturing method
US10084085B2 (en) 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
CN104966669A (en) * 2015-07-22 2015-10-07 上海华力微电子有限公司 Totally-surrounding gate structure manufacturing method
CN106549053B (en) 2015-09-17 2021-07-27 联华电子股份有限公司 Semiconductor structure and manufacturing method thereof
US9779959B2 (en) * 2015-09-17 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
WO2017099792A1 (en) * 2015-12-11 2017-06-15 Intel Corporation Metal-oxide-polysilicon tunable resistor for flexible circuit design and method of fabricating same
US10217707B2 (en) * 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
US9773893B1 (en) 2016-09-26 2017-09-26 International Business Machines Corporation Forming a sacrificial liner for dual channel devices
US9972621B1 (en) * 2017-04-10 2018-05-15 Globalfoundries Inc. Fin structure in sublitho dimension for high performance CMOS application
US10629730B2 (en) * 2018-05-25 2020-04-21 International Business Machines Corporation Body contact in Fin field effect transistor design
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
KR102516879B1 (en) 2018-08-17 2023-03-31 삼성전자주식회사 Semiconductor devices with various line widths and method for manufacturing the same
US10896971B2 (en) * 2019-02-25 2021-01-19 International Business Machines Corporation Vertical transistor with body contact fabrication
US11437491B2 (en) * 2019-10-31 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Non-conformal capping layer and method forming same
CN112271216B (en) * 2020-09-18 2023-04-07 宁波大学 Three-input FinFET with series operation function
JP2023088079A (en) 2021-12-14 2023-06-26 ユナイテッド・セミコンダクター・ジャパン株式会社 Semiconductor device and method for manufacturing semiconductor device

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5384710A (en) * 1990-03-13 1995-01-24 National Semiconductor Corporation Circuit level netlist generation
US6662350B2 (en) * 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6960804B1 (en) * 2003-08-04 2005-11-01 Hussman Corporation Semiconductor device having a gate structure surrounding a fin
US6989308B2 (en) * 2004-03-11 2006-01-24 International Business Machines Corporation Method of forming FinFET gates without long etches
US7095065B2 (en) * 2003-08-05 2006-08-22 Advanced Micro Devices, Inc. Varying carrier mobility in semiconductor devices to achieve overall design goals
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7145220B2 (en) * 2003-03-17 2006-12-05 Kabushiki Kaisha Toshiba Fin semiconductor device and method for fabricating the same
US7247896B2 (en) * 2004-04-09 2007-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having a field effect transistor and methods of fabricating the same
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070252198A1 (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc. Semiconductor device having a fin channel transistor
US20070267668A1 (en) * 2006-05-17 2007-11-22 Micron Technology, Inc. Semiconductor constructions, DRAM arrays, and methods of forming semiconductor constructions
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
US7667248B2 (en) * 2006-06-29 2010-02-23 International Business Machines Corporation Bulk FinFET device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768719B2 (en) * 1988-11-21 1998-06-25 株式会社日立製作所 Semiconductor device and semiconductor storage device
JPH04162727A (en) * 1990-10-26 1992-06-08 Fujitsu Ltd Manufacture of semiconductor device
JP2851968B2 (en) * 1991-04-26 1999-01-27 キヤノン株式会社 Semiconductor device having improved insulated gate transistor and method of manufacturing the same
JP3783308B2 (en) * 1997-01-17 2006-06-07 ソニー株式会社 Semiconductor device manufacturing method and semiconductor device
KR100304713B1 (en) * 1999-10-12 2001-11-02 윤종용 Semiconductor device having quasi-SOI structure and manufacturing method thereof
US6376286B1 (en) * 1999-10-20 2002-04-23 Advanced Micro Devices, Inc. Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer
KR100553683B1 (en) * 2003-05-02 2006-02-24 삼성전자주식회사 Semiconductor device and method for fabricating the same
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
KR100584776B1 (en) * 2004-03-05 2006-05-29 삼성전자주식회사 Method of forming active structure, isolation and MOS transistor
KR100849186B1 (en) * 2006-04-28 2008-07-30 주식회사 하이닉스반도체 Method for manufacturing semiconductor device using lsoi process

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5384710A (en) * 1990-03-13 1995-01-24 National Semiconductor Corporation Circuit level netlist generation
US6662350B2 (en) * 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7145220B2 (en) * 2003-03-17 2006-12-05 Kabushiki Kaisha Toshiba Fin semiconductor device and method for fabricating the same
US6960804B1 (en) * 2003-08-04 2005-11-01 Hussman Corporation Semiconductor device having a gate structure surrounding a fin
US7095065B2 (en) * 2003-08-05 2006-08-22 Advanced Micro Devices, Inc. Varying carrier mobility in semiconductor devices to achieve overall design goals
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US6989308B2 (en) * 2004-03-11 2006-01-24 International Business Machines Corporation Method of forming FinFET gates without long etches
US7247896B2 (en) * 2004-04-09 2007-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having a field effect transistor and methods of fabricating the same
US7101763B1 (en) * 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070252198A1 (en) * 2006-04-28 2007-11-01 Hynix Semiconductor Inc. Semiconductor device having a fin channel transistor
US20070267668A1 (en) * 2006-05-17 2007-11-22 Micron Technology, Inc. Semiconductor constructions, DRAM arrays, and methods of forming semiconductor constructions
US7667248B2 (en) * 2006-06-29 2010-02-23 International Business Machines Corporation Bulk FinFET device
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120142182A1 (en) * 2006-01-31 2012-06-07 International Business Machines Corporation Microelectronic structure by selective deposition
US8697561B2 (en) * 2006-01-31 2014-04-15 International Business Machines Corporation Microelectronic structure by selective deposition
US8716076B2 (en) 2008-02-29 2014-05-06 Globalfoundries Singapore Pte. Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US20090218597A1 (en) * 2008-02-29 2009-09-03 Jinping Liu Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US9023694B2 (en) 2008-12-23 2015-05-05 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8227867B2 (en) 2008-12-23 2012-07-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US20100155842A1 (en) * 2008-12-23 2010-06-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US8962398B2 (en) 2008-12-23 2015-02-24 International Business Machines Corporation Body contacted hybrid surface semiconductor-on-insulator devices
US20110079855A1 (en) * 2009-10-06 2011-04-07 International Business Machines Corporation Merged finfets and method of manufacturing the same
US8946028B2 (en) * 2009-10-06 2015-02-03 International Business Machines Corporation Merged FinFETs and method of manufacturing the same
US20110316080A1 (en) * 2009-12-30 2011-12-29 Institute of Microelectronics, Chinese Academy of Sciences Fin transistor structure and method of fabricating the same
US8445973B2 (en) * 2009-12-30 2013-05-21 Institute of Microelectronics, Chinese Academy of Sciences Fin transistor structure and method of fabricating the same
US8643108B2 (en) 2011-08-19 2014-02-04 Altera Corporation Buffered finFET device
US8716156B1 (en) 2013-02-01 2014-05-06 Globalfoundries Inc. Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
US9324841B2 (en) 2013-10-09 2016-04-26 Globalfoundries Inc. Methods for preventing oxidation damage during FinFET fabrication
CN106298522A (en) * 2015-05-20 2017-01-04 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9620360B1 (en) * 2015-11-27 2017-04-11 International Business Machines Corporation Fabrication of semiconductor junctions
US20170170271A1 (en) * 2015-11-27 2017-06-15 International Business Machines Corporation Fabrication of semiconductor junctions
US10411092B2 (en) * 2015-11-27 2019-09-10 International Business Machines Corporation Fabrication of semiconductor junctions
US10109717B2 (en) 2016-11-09 2018-10-23 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10586852B2 (en) 2016-11-09 2020-03-10 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
CN101097956A (en) 2008-01-02
JP5648933B2 (en) 2015-01-07
US20080001187A1 (en) 2008-01-03
CN101097956B (en) 2012-06-27
US7863122B2 (en) 2011-01-04
TW200807717A (en) 2008-02-01
JP2013123077A (en) 2013-06-20
US7517764B2 (en) 2009-04-14
US20080142891A1 (en) 2008-06-19
US20080233699A1 (en) 2008-09-25
JP2008010876A (en) 2008-01-17
JP5259990B2 (en) 2013-08-07
TWI399856B (en) 2013-06-21
US7667248B2 (en) 2010-02-23

Similar Documents

Publication Publication Date Title
US20080042219A1 (en) finFET Device
US11764299B2 (en) FinFETs having step sided contact plugs and methods of manufacturing the same
EP2959505B1 (en) Finfets and fin isolation structures
CN108133934B (en) Semiconductor device with a plurality of semiconductor chips
KR102480219B1 (en) Semiconductor devices and methods of manufacturing semiconductor devices
US11145658B2 (en) Semiconductor structures with deep trench capacitor and methods of manufacture
KR20160074859A (en) Semiconductor device, methods of forming patterns for semiconductor device, and methods of manufacturing semiconductor devices
KR102476142B1 (en) Semiconductor devices
US11901359B2 (en) Method of manufacturing a semiconductor device
US10115725B2 (en) Structure and method for hard mask removal on an SOI substrate without using CMP process
KR20200010918A (en) Semiconductor devices
KR20170058232A (en) Method for fabricating semiconductor device
US9299841B2 (en) Semiconductor devices and methods of manufacture
US9171952B2 (en) Low gate-to-drain capacitance fully merged finFET
US7694262B2 (en) Deep trench capacitor and method of making same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BOOTH, ROGER ALLEN, JR;HOVIS, WILLIAM PAUL;MANDELMAN, JACK ALLAN;REEL/FRAME:020007/0543

Effective date: 20071017

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION